Está en la página 1de 10

UNIVERSIDAD ESTATAL PENINSULA DE SANTA ELENA

FACULTAD DE SISTEMAS Y TELECOMUNICACIONES


CARRERA DE LECTRONICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Nombres: Balón Perero Irma


Muñoz Borbor Luis
Pullupaxi Tenelema Mario
LABORATORIO DE Electronica DURACION
NOMBRE DE LA PRACTICA Diseño de un Multiplexor 5 a 1 y Decodificador con 2h
VHDL

INFORME PRACTICA 4 PASO DE PARAMETRO ENTRE PROCESO

1 OBJETIVOS

 Repasar conocimientos del diseño de circuitos combinatoriales mediante multiplexores y


decodificadores.
 Practicar el diseño de circuitos combinatoriales con VHDL.
 Revisar el manual de la tarjeta DE2 y documentación sobre Quartus II.

2 FUNDAMENTO TEORICO

ENTIDADES
La entidad sirve para definir las entradas y salidas que tendrá un determinado circuito. Para definir una
entidad se realizará mediante la palabra reservada ENTITY.

DECLARACIONES
Un sistema o subsistema descrito en VHDL es una entidad.
UNIVERSIDAD ESTATAL PENINSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE LECTRONICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Nombres: Balón Perero Irma


Muñoz Borbor Luis
Pullupaxi Tenelema Mario
Describe las señales de interfaces entre la entidad y el mundo real.
Hay tres tipos de puertos:
In (entradas): Solo puede ser leído
Out (salidas): Solo puede ser escrito
Inout (bidireccional): Puede ser leído y escrito

OBJETOS
Un objeto en VHDL es un elemento que guarda el valor de un tipo de dato determinado:
Constantes
Variables
Señales

CONSTANT
Una constante es un valor que no puede ser modificado. Un valor es asignado asi:
indentificador_constante := valor

VARIABLES:
Es similar al concepto de variable en otros lenguajes. Su valor puede ser alterado en cualquier instante y
se le puede asignar un valor inicial. Las variables sólo se declaran en los procesos o subprogra:mas.
Utilizadas en ejecuciones en serie. Un valor es asignado así:
indentificador_variable := valor

SEÑALES:
Se declaran igual que las constantes y variables. La diferencia es que pueden ser normal, register y bus.
Si no se especifica nada en la declaración el compilador entenderá que es del tipo normal. Se puede
decir que la señal tiene dos partes una donde se escribe y otra donde se lee. Las señales pueden ser
declaradas sólo en las arquitecturas, paquetes (PACKAGE) o en bloques concurrentes (BLOCK). Utilizadas
en ejecuciones concurrentes. Un valor es asignado así:
indentificador_señal <= valor

Asignaciones de Señales por Selección – La construcción with-select-when


UNIVERSIDAD ESTATAL PENINSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE LECTRONICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Nombres: Balón Perero Irma


Muñoz Borbor Luis
Pullupaxi Tenelema Mario

3 PROCEDIMIENTO
3.1 EQUIPO
EQUIPO NECESARIO MATERIAL DE APOYO
 Computador  Practica Impresa
 Programa Quartus II  Pizarron
 Tarjeta DE2 con dispositivo FPGA  Marcadores
EP2C35F672C  Proyector

3.2 DESARROLLO DE LA PRACTICA

Parte I
Se pide que se realice la construcción, compilación, simulación e implementación del circuito de la
Figura 1.
UNIVERSIDAD ESTATAL PENINSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE LECTRONICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Nombres: Balón Perero Irma


Muñoz Borbor Luis
Pullupaxi Tenelema Mario
Figura.1. Multiplexor 5 a 1, 3 bits de ancho.

Desarrollar los siguientes pasos


 Crea un nuevo proyecto en Quartus II para el circuito de la Figura.1.
 Crea una entidad VHDL para multiplexor 5 a 1 de 3 bits de ancho. Conecta las entradas de
selección a los interruptores SW17-SW15, y use los 15 interruptores SW14-SW0 para proveer
las cinco entradas de tres bits U a Y. Conecte la salida M a los LEDG2-LEDG0.
 Incluye en tu proyecto la requerida asignación de pines para la tarjeta DE2. Compile el
proyecto.
 Bajar el circuito compilado en la FPGA. Probar la funcionalidad del multiplexor activando los
interruptores y observando los LEDs. Asegúrese de que cada una de las entradas de U a Y
puede ser adecuadamente seleccionado como la salida M.

Parte II

Figura.2. Muestra un módulo decodificador de 7 segmentos que tiene tres bits de entrada C 0, C1, C2.
Este decodificador posee 7 salidas que son usadas por el display para presentar un carácter. La tabla
1. Muestra los caracteres que deben ser presentados para cada valor de C 0, C1, C2de 7 segmentos.
Para mantener el diseño simple, solo cuatro caracteres son incluidos en la Tabla 1 (mas el caracteres
‘blanco’, el cual es seleccionado para los códigos 100-111).
Cada uno de los 7 segmentos en el display es identificado por los índices de 0 a 6 como muestra
Figura 2. Cada segmento es iluminado con el valor lógico 0. Debes escribir una identidad en VHDL
que implemente las funciones lógicas que represente el circuito necesitado para activar cada uno de
los 7 segmentos.
UNIVERSIDAD ESTATAL PENINSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE LECTRONICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Nombres: Balón Perero Irma


Muñoz Borbor Luis
Pullupaxi Tenelema Mario

Figura.2. Decodificador de 7 segmentos

Tabla 1. Código de caracteres.

Desarrollar los siguientes pasos:


 Crea un nuevo proyecto en Quartus II para el circuito de la Figura.2.
 Crea una entidad VHDL para el decodificador de 7 segmentos que tenga los tres bits de
entrada C0, C1, C2. Conecte las entradas C0, C1, C2 a los interruptores SW2-SW0, y conecta la
salida del decodificador al HEX0 del display de la tarjeta DE2. Los segmentos en el display son
llamados HEX00, HEX01, . . ., HEX06, correspondiente a la Figura 2. Es decir debes declarar un
puerto de 7 bits.
 Después de hacer el requerimiento de asignación de pines de la tarjeta DE2, compile el
proyecto.
 Bajar el circuito compilado en la FPGA. Probar la funcionalidad del circuito activando los
UNIVERSIDAD ESTATAL PENINSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE LECTRONICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Nombres: Balón Perero Irma


Muñoz Borbor Luis
Pullupaxi Tenelema Mario
interruptores SW2 – SW0 y observando el display de 7 segmentos.

4 TABLA DE RESULTADOS

Pegue aquí el cronograma resultado de su simulación.

CODIGO

BLOCK DIAGRAM
UNIVERSIDAD ESTATAL PENINSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE LECTRONICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Nombres: Balón Perero Irma


Muñoz Borbor Luis
Pullupaxi Tenelema Mario

VECTOR WAVEFORM
UNIVERSIDAD ESTATAL PENINSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE LECTRONICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Nombres: Balón Perero Irma


Muñoz Borbor Luis
Pullupaxi Tenelema Mario

Pegue aquí una foto del resultado de su implementación.


UNIVERSIDAD ESTATAL PENINSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE LECTRONICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Nombres: Balón Perero Irma


Muñoz Borbor Luis
Pullupaxi Tenelema Mario
UNIVERSIDAD ESTATAL PENINSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE LECTRONICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Nombres: Balón Perero Irma


Muñoz Borbor Luis
Pullupaxi Tenelema Mario

5 Conclusiones y Bibliografia
5.1 Conclusiones
Los resultados obtenidos de cada de una de las entradas cumplen con cada condició n que se
obtuvimos mediante el análisis del có digo en VHDL dando como resultado para el estudiante
conocimientos del diseñ o de circuitos combinatoriales mediante multiplexores y decodificadores.

5.2 Bibliografia
 Sistemas Digitales Principios y Aplicaciones, Ronald J. Tocci, 10ma Edición.
 Guía del programa QUARTUS Edición y Simulación, Universidad del País Vasco,
http://www.sc.ehu.es/acwarila/LDD/Practica/GuiaQuartus1.pdf
 DE2 Development and Education Board, User Manual, ALTERA.
 Don Meador. Begining Digital From a VHDL Perpesctive. First edition 2011.

También podría gustarte