Está en la página 1de 6

Nombre: Esteban Sergio Iván Velásquez Mendoza Código: 1094352257

Programa: Ingeniería en Telecomunicaciones


Materia: Lógica digital

Solución Ejercicio 1
N A B C D E S Expresion S H Expresion H
0 0 0 0 0 0 1 A'B'C'D'E' 0 -
1 0 0 0 0 1 0 - 0 -
2 0 0 0 1 0 0 - 1 A'B'C'DE' Aplicación del Mapa de Karnaugh
3 0 0 0 1 1 0 - 1 A'B'C'DE Salida S
4 0 0 1 0 0 1 A'B'CD'E' 0 -
5 0 0 1 0 1 1 A'B'CD'E 1 A'B'CD'E K
6 0 0 1 1 0 0 - 1 A'B'CDE' 1 0 0 0
7 0 0 1 1 1 0 - 1 A'B'CDE 1 1 0 0
8 0 1 0 0 0 1 A'BC'D'E' 1 A'BC'D'E' 0 0 1 0
9 0 1 0 0 1 1 A'BC'D'E 0 - 1 1 0 1
10 0 1 0 1 0 1 A'BC'DE' 0 - 1 1 1 0
11 0 1 0 1 1 0 - 1 A'BC'DE 0 0 1 1
12 0 1 1 0 0 0 - 1 A'BCD'E' 1 1 1 1
13 0 1 1 0 1 0 - 1 A'BCD'E 0 0 0 0
14 0 1 1 1 0 0 - 1 A'BCDE'
15 0 1 1 1 1 1 A'BCDE 1 A'BCDE Aplicación del Mapa de Karnaugh
16 1 0 0 0 0 1 AB'C'D'E' 1 AB'C'D'E' Salida H
17 1 0 0 0 1 1 AB'C'D'E 0 -
18 1 0 0 1 0 0 - 0 - K
19 1 0 0 1 1 1 AB'C'DE 0 - 0 0 1 1
20 1 0 1 0 0 0 - 0 - 0 1 1 1
21 1 0 1 0 1 0 - 0 - 1 1 1 1
22 1 0 1 1 0 1 AB'CDE' 1 AB'CDE' 1 0 1 0
23 1 0 1 1 1 1 AB'CDE 1 AB'CDE 1 0 0 0
24 1 1 0 0 0 0 - 0 - 0 0 1 1
25 1 1 0 0 1 0 - 0 - 0 1 0 0
26 1 1 0 1 0 0 - 1 ABC'DE' 0 0 0 1
27 1 1 0 1 1 0 - 0 -
28 1 1 1 0 0 1 ABCD'E' 0 -
29 1 1 1 0 1 1 ABCD'E 1 A'B'C'DE'
30 1 1 1 1 0 1 ABCDE' 0 -
31 1 1 1 1 1 1 ABCDE 0 -

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 1
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Expresion Reducida Salida S
S= ACD + ABC + B'C'D'E' + A'B'CD' + A'BC'D' + A'BC'E' + BCDE + AB'C'E

Expresion Reducida Salida H


H=A'B'D + A'DE + A'CE + A'CD + B'CD + A'BD'E' + BCD'E + AB'C'D'E' + ABC'DE'

Grafico del circuito lógico:

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 2
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Solución Ejercicio 2

N A B C D E Salida Expresiones
0 0 0 0 0 0 1 A'B'C'D'E'
1 0 0 0 0 1 1 A'B'C'D'E Ventana 1 A
2 0 0 0 1 0 1 A'B'C'DE' Ventana 2 B
3 0 0 0 1 1 1 A'B'C'DE Ventana 3 C
4 0 0 1 0 0 1 A'B'CD'E' Ventana 4 D
5 0 0 1 0 1 1 A'B'CD'E Ventana 5 E
6 0 0 1 1 0 1 A'B'CDE'
7 0 0 1 1 1 0 - Luz encendida 1
8 0 1 0 0 0 1 A'BC'D'E' Luz apagada 0
9 0 1 0 0 1 1 A'BC'D'E
10 0 1 0 1 0 1 A'BC'DE' Ventanas abiertas 1
11 0 1 0 1 1 0 - Ventanas cerradas 0
12 0 1 1 0 0 1 A'BCD'E'
13 0 1 1 0 1 0 -
14 0 1 1 1 0 0 - Aplicación del Mapa de Karnaugh
15 0 1 1 1 1 0 - Salida S
16 1 0 0 0 0 1 AB'C'D'E'
17 1 0 0 0 1 1 AB'C'D'E K
18 1 0 0 1 0 1 AB'C'DE' 1 1 1 1
19 1 0 0 1 1 0 - 1 1 0 1
20 1 0 1 0 0 1 AB'CD'E' 1 0 0 0
21 1 0 1 0 1 0 - 1 1 0 1
22 1 0 1 1 0 0 - 1 1 0 1
23 1 0 1 1 1 0 - 1 0 0 0
24 1 1 0 0 0 1 ABC'D'E' 0 0 0 0
25 1 1 0 0 1 0 - 1 0 0 0
26 1 1 0 1 0 0 -
27 1 1 0 1 1 0 -
28 1 1 1 0 0 0 -
29 1 1 1 0 1 0 -
30 1 1 1 1 0 0 -
31 1 1 1 1 1 0 -

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 3
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Expresión Reducida Salida S
A'B'C' + A'B'D' + A'C'D' + B'C'D' + A'B'E' + A'C'E' + B'C'E' + A'D'E' + B'D'E' + C'D'E'

Grafico del circuito lógico:

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 4
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Solución Ejercicio 3

N A B C D E Salida Expresiones
0 0 0 0 0 0 1 A'B'C'D'E'
1 0 0 0 0 1 1 A'B'C'D'E Ventana 1 A
2 0 0 0 1 0 1 A'B'C'DE' Ventana 2 B
3 0 0 0 1 1 1 A'B'C'DE Ventana 3 C
4 0 0 1 0 0 1 A'B'CD'E' Ventana 4 D
5 0 0 1 0 1 1 A'B'CD'E Ventana 5 E
6 0 0 1 1 0 1 A'B'CDE'
7 0 0 1 1 1 0 - Luz encendida 1
8 0 1 0 0 0 1 A'BC'D'E' Luz apagada 0
9 0 1 0 0 1 1 A'BC'D'E
10 0 1 0 1 0 1 A'BC'DE' Ventanas abiertas 1
11 0 1 0 1 1 0 - Ventanas cerradas 0
12 0 1 1 0 0 1 A'BCD'E'
13 0 1 1 0 1 0 -
14 0 1 1 1 0 0 - Aplicación del Mapa de Karnaugh
15 0 1 1 1 1 0 - Salida S
16 1 0 0 0 0 1 AB'C'D'E'

Expresión Reducida Salida 1


BCD + ACD + ABD + ABC

Expresión Reducida Salida 2


A'B'CD + A'BC'D + A'BCD' + AB'C'D + AB'CD' + ABC'D'

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 5
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Grafico del circuito lógico:

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 6
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co

También podría gustarte