Está en la página 1de 4

GUIA RAPIDA PARA CLASES Practica 6: sept 2019

Universidad de Cuenca
Programación con VHDL en Quartus II

Nuevo Proyecto:

Introduction: next

Directory, Name, Top level Entity: utilizar una carpeta temporal

Empty Project: next

Add files: next

Family and Device Setting: elegir Cyclone II: EP2C35F672C6N; next.

EDA tool Setting: elegir ModelSim-Altera; format VHDL: next;

Summary: finish

NEW en File

En Design File: elegir VHDL File

Puede usar la pestaña: insert template

VHDL, Constructs, (utilizar las cuatro primeras opciones y editar el


código adjunto).

Dentro de begin, insertar el template: Concurrente statement, with


select statement. Completar la edición.

Tener cuidado. Dentro de Architecture hay hacer la declaración Signal


de señal interna.

Grabar, compilar

Compilación:

Processing

Start compilation

Cuando la compilación ha sido exitosa, hacer asignación de pines

Simulación: New
Escoger: University Program VWF

Vaya a edit

En Insert escoja Insert Node

En la nueva ventana escoja Node Finder

En la nueva ventana presione List

Escoja las señales a simular

Presione Ok. Vuelva a presionar OK en la ventana que aparece

En Edit indicar el tiempo de simulación en 500 ns (set end time)

Con el mouse formar las señales de entrada

En simulation, señalar Run Functional Simulation

Hacer captura del resultado

Asignación de pines

Assignments

Pin planner

Consultar tablas del manual del Usuario del fpga

Grabar y compilar nuevamente


Programar el fpga

Tools: programmer

Chequear que USB Blaster este activo

Con Add File incluir el archivo de salida con extensión .sof

Señalar el grafico que se carga. Comenzar a grabar.

Programacion con schematic grapghic en Quartus II


New

Seleccionar en Design Files: Block Diagram/Schematic File

Con pin tool insertar entradas

Insertar una compuerta OR con Symbol Tool

Buscar el símbolo en la librería

C:\altera\13.0sp1\quartus\libraries\primitives\logic

Insertar una salida

Realizar el cableado con la opción Orthogonal node tool

Poner nombres a las entradas y salidas


grabar

Compilar: Processing > start compilation

Simular nuevamente

Asignar pines

Compilar nuevamente

Programar fpga

También podría gustarte