Está en la página 1de 34

Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing.

Oscar Santa Cruz - 2010

PLL - PHASE LOOP LOCKED - Lazos Enganchados en Fase

General
 Es un circuito que permite que una señal de referencia externa, controle la frecuencia y la fase
de un oscilador.

 El primer uso documentado de un PLL fue en 1932, en un receptor homodino o sincrodino, pero
no fue hasta los años 60, con la aparición de los circuitos integrados que se los comenzó a usar
profusamente.

Diagrama en bloques y principio de funcionamiento


fO
Ve F()
pen=kd
0db
Vd -3db ff

 Vd
fS-fO B
fo-fs Oscilador con-
VS, fS, VS Detector de Filtro Vd
Ve trolado por
Fase Pasabajos
kd F(s) tensión
kO
d=S -O
VO, fO, VO

 El VCO (Voltage Controlled Oscilator) oscila libremente a una frecuencia, determinada por una
red RC o LC, llamada frecuencia de corrida libre ff (free frequency). Esta frecuencia es compa-
rada con la frecuencia fS de una señal de referencia en el detector de fase (que se comporta como
un mezclador cuando excede su rango lineal, esto se demostrará en la próxima sección), el cual
entrega la mezcla de ambas fS-fO o fO-fS dependiendo cual es mayor. Los productos de alta fre-
cuencia tal como fS+fO, 2fS, 2fO, etc. son eliminados por el filtro pasabajos F(s).

 Si la frecuencia de la señal Ve (fS-fO o fO-fS) es lo suficientemente baja para que el filtro pasaba-
jos no la atenúe ni la desfase en exceso, Vd controlará el VCO,
tendiendo a reducir la diferencia de frecuencias hasta que se fo
igualen.
ff
 Una vez que se sincronizan VO y VS, esto es fO=fS, el detector Vd
de fase entrega una tensión Ve, con una componente continua
estable necesaria para que el VCO iguale la frecuencia de la Ve
señal de referencia. En este caso se establece una diferencia de d
fase d para producir la tensión Ve antedicha.

Demostración de que el comparador de fase se

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

comporta como un mezclador cuando se excede su rango lineal


Ve Ve

Rango lineal
d=S -O d
 3/2
-3/2 - -/2 /2

Comparador de fase ideal Comparador de fase real

Sea V0  cos(ω0 t   0 ) y VS  cos(ωS t  S )


si ωs  ω0  Δω  ωs t  ω0 t  Δωt entonces se puede expresar que
VS  cos(ω0 t  Δωt  ΘS )  cos(ω0 t  θS )
θ d  θS - θ 0  Δωt  S - 0 si forzamos S  0  0
θ d  Δωt que crece monótona con el tiempo

Ve Ve

2 d t

d T

ΔωT  2π

Δω 
T
T

Vd=t Δω  ω S  ω 0 
T
1
fS  f0 
T

 La frecuencia fundamental de Ve(t) es la diferencia fS-fO.

Estados de funcionamiento

Estado de corrida libre


 Esta condición ocurre cuando no hay señal de entrada o hay una señal de entrada a la cual el
lazo no tiene posibilidades de enganchar. En esta condición, generalmente Vd=0 o Vd VDD /2,
cuando el chip es alimentado con una fuente de tensión VDD no partida.

Estado fijo
 Es el que corresponde cuando el lazo está enganchado en fase. fO=fS salvo una diferencia finita
de fase d.

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

 Cuando un lazo está enganchado por cada ciclo de la señal de entrada, hay uno y solo un ciclo
de la señal de salida. Si el comparador de fase no excede su rango lineal se asegura el cumpli-
miento de esta condición.

Estado de captura
 Es el estado previo al fijo, es cuando el VCO está cambiando de frecuencia, intentando engan-
char la frecuencia de la señal de referencia.

Rangos de funcionamiento
 Es conveniente definir los rangos de funcionamiento a partir de las variaciones de Vd cuando se
varía la frecuencia de la señal de referencia.

Vd máx
captura en forma instantánea

ff fS

2fC

Vd mín 2fP

2fL

 ff: frecuencia de corrida libre


 2fC: rango de captura
 2fP: rango de tracción
 2fL: rango de seguimiento
 siempre se cumple que 2fC < 2fP < 2fL

 El rango de seguimiento 2fL no depende de las características del filtro. Los límites superior y/o
inferior quedan definidos por el dispositivo que primero se sature, puede ser el comparador de
fase, el VCO o algún otro dispositivo activo del lazo.

 El rango de captura 2fC y el rango de tracción 2fP dependen entre otras cosas, del filtro pasaba-
jos.

 Las características del filtro, entre otras cosas, limitan la rapidez en que el estado fijo puede ser
alcanzado, ya que la tensión del capacitor del filtro pasabajos no puede cambiar instantáneamen-
te, oficiando el capacitor de memoria, asegurando una rápida recaptura de la señal, si el sistema
sale de sincronismo por un transitorio de ruido.

 El proceso de adquisición del estado fijo, es complicado, no lineal, y de difícil análisis, por lo
cual acá solo se analizará el PLL ya en estado fijo.

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

 Una vez que el proceso de corrección (o adquisición del sincronismo en el lazo, o fijación del
lazo) se ha completado, el PLL va a seguir automáticamente las variaciones de la señal de entra-
da.

 Si esta señal es más bien estable, con poco ruido y disturbios, el lazo necesita muy poca infor-
mación para reproducirla fielmente. En este caso, un filtro de ancho de banda muy pequeño
(puede ser tan angosto como 1 o 2Hz) es suficiente. El uso del PLL reduce tremendamente el
contenido de ruido de la señal de entrada.

 El lazo funciona como un filtro de frecuencia variable y de pequeño ancho de banda, que puede
seguir automáticamente una señal de referencia, aun cuando esta posea una relación señal a rui-
do muy pobre.

 A la luz de los razonamientos anteriores, se concluye que dentro del rango de captura sólo es
posible un estado de equilibrio, correspondiente al PLL enganchado. Fuera del rango de captura
pero dentro del rango de tracción, son posibles dos situaciones: enganchado u oscilando a la
frecuencia libre, dependiendo si se entra en esa región estando el PLL enganchado o no.
 Finalmente, fuera del rango de seguimiento, sólo funcionará desenganchado.

Aplicaciones del PLL


 Los PLL se usan básicamente para:
-Generadores de portadoras para emisión con modulación de ángulo o no.
-Generación de osciladores locales en recepción.
-Sintetizadores de frecuencia.
-Demoduladores de señales moduladas en ángulo.
-Recuperación de impulsos de reloj en transmisiones digitales.
-Circuitos de sincronismo para barrido horizontal y vertical en receptores de televisión.
-Recepción de señales satelitales de satélites no geoestacionarios.
-etc.

 Más adelante se analizarán algunas apli- Ve(promedio)


Ve
caciones específicas.
t
θd/ωs
Detectores de fase
VO
Detector de fase con puerta XOR t

Vs 2π/ωs
Ve VS
VO t

Ve(promedio)
VDD VO
t
Q
Ve(baja frecuencia)
d Ve
t
0  2
24
fO-fS

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

Ve  k d  θ d
1 1
θ d  θS - θ O fS  fO 
8 6
VDD
kd  f O  fS 
1 1 1
 
π 6 8 24

 La puerta XOR es un comparador de desigualdad, cuando sus entradas tienen niveles lógicos
distintos la salida es alta.

 Es sensible a los cambios del ciclo de trabajo (duty cycle).

 Da un valor de continua estable para armónicos de la señal de entrada, posibilitando que el PLL
sincronice con armónicos.

Detector de fase controlado por flancos

Vs
Ck Q
d/S
74HC74
VDD
D Cl t
Vs

VO
Ck Q VO t
74HC74
Ve
D Cl t
Ve

Ve(promedio)

Ve(promedio)
VDD

kd=VDD/2

θd
0 2π 2π

 Tiene el doble de rango lineal que la puerta XOR, por lo tanto el PLL tiene mejor captura y se-
guimiento.

 Es sensible a armónicos de la señal de entrada.

 Esta versión, demasiado simple, es sensible al cambio del duty cycle, resultando extraños erro-
res.

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

 Para evitar la sensibilidad al duty cycle, y a los armónicos, existen detectores de fase más elabo-
rados como el MC4044(4 radianes) o como el comparador II del CD4046 que está elaborado
con 4 flip flops. Normalmente cuanto más complicados son los detectores, menor es la máxima
frecuencia de trabajo.

Multiplicador como detector de fase (MC1496)

 Este circuito se usa en una gran variedad de PLL integrados, y también tiene aplicación como
modulador balanceado, mezclador y detector de producto.

 Este circuito no necesita que las señales sean cuadradas, mientras una, o ambas sean lo suficien-
temente intensas como para que los transistores trabajen en una zona no lineal.

 En una aplicación del PLL como demodulador de FM, la entrada del VCO, puede ser cuadrada,
mientras que la señal de FI puede ser senoidal, la función de transferencia resulta en vez de
triangular, cosenoidal.

VCC
Vs VO Ve
- - +
- + - Ley del pro-
+ - + ducto
+ + -
+
VO
-
ver
+ MC1357
Vs VBB MC3357
- MC3359
MC1496

d/S

Ve(promedio)
Vs t
VDD

VO t
θd
Ve -π 0  2
t
kd=VDD/
Ve(promedio)

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

Comparador con bomba de carga

 El comparador II del CD4046 es un comparador con bomba de carga. En la siguiente figura se


muestra un diagrama simplificado del circuito. Nótese que el comparador con FF accionado por
flancos, conecta las fuentes de corrientes solo durante el desfasaje entre Vs y Vo. La rampa de i
se produce por la corrientes I o –I inyectadas al capacitor. La tensión Ve del capacitor se man-
tiene constante cuando no se producen las corrientes mencionadas, ya que no tiene un circuito
de descarga.
VDD θd

Vs
I
θs i
θd Comp.
c/FF Vo
θo I Ve
C
I
i
-I
Ve

 Formalmente, el comparador no admite una función de transferencia ya que no es invariante en


el tiempo. A continuación se muestra un circuito lineal no invariante en el tiempo, como es este
comparador, y un circuito invariante en el tiempo pero alineal.
D
1 2

R R

 Sin embargo se le puede atribuir una función de transferencia que produzca los mismos resulta-
dos prácticos. Se muestra una situación hipotética donde el andar tipo escalera de Ve se reem-
plaza por una recta con efecto equivalente.

Vs

Vo

θd /ωs

Pen=I/C
I θd
Pen 
C 2π
Ve

θd
1
Ve   Idt discontinua integrada durante ω s segundos por período equivale a una
C
θ
 
1 I
Ve  I d dt  θ d dt continua integrada durante todo el tiempo, aplicando la transforma-
C 2π 2  C
da de Laplace a la expresión anterior

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

I θ d (s) Ve (s) I 1
Ve (s)  por lo tanto F(s)  
2π  C s θ d (s) 2π  C s
k
F(s)  el comparador ya no es más una constante, se comporta como un integrador
s

 La introducción de un nuevo integrador, ya que como veremos el VCO actúa para la fase como
un integrador, cambia totalmente el comportamiento del PLL. Es fácil comprender que no puede
existir ningún desfasaje constante en la entrada del comparador, ya que la acción integradora
provoca una rampa a la salida del mismo obligando a realizar un barrido al VCO. Esto explica
que en el laboratorio no se pueda medir ningún desfasaje entre Ve y Vo.

 En la hoja de datos del CD4046 se indica que para el Comparador II, el rango de captura es
igual al rango de seguimiento. La existencia de un desfasaje provoca grandes excursiones a la
salida del comparador provocando un barrido del VCO hasta que este se aproxima a la fs produ-
ciéndose el enganche.

Osciladores controlados por tensión (VCO)


 Hay muchos tipos de VCO, pero los más comunes son los astables o de relajación y el oscilador
senoidal LC sintonizado por diodo varicap.

Multivibrador astable controlado por tensión (MC4324, LM566, XR-2206, 8038, etc.)
 La variación de la frecuencia con la tensión es bastante lineal y la salida del VCO es una onda
cuadrada, lo cual es una ventaja para el comparador de fase por los flancos abruptos.
VCC
 La mayoría son de capacitor único, pero muchos más
complicados que el que se muestra en figura, pero se
R1 Rc R1
basan en el mismo principio. Rc

Q1 Q2
 En los circuitos utilizados, las Re se substituyen por
fuentes de corriente, lo que hace que las exponenciales R2 R2
de carga y descarga del capacitor C, se transforman en C
rampas, resultando que el período T sea una función Re Re
lineal de la tensión de control Vd. Vd

Oscilador LC sintonizado con diodo varicap


 Se usan en alta frecuencia, o cuando se desea una forma de onda senoidal.

 También se usa osciladores a cristal sintonizado por diodo varicap, para casos muy específicos,
donde se desea alta estabilidad y bajo ruido, pero adolecen de tener un rango de sintonía muy
limitado.

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

 El MC12148 (tecnología MOSAIC III)es el reemplazo directo del MC1648 (obsoleto), llega a
1100MHz con onda cuadrada (en realidad trapezoidal)

.01 .1 100


Vd VCC VDD

L 1 7
4
C 6
5V
MC12148
VO Vd Vd
3
5 8 2 0V
Vd

.1
Colppits

Diferentes tipos de osciladores


Tipo de Circuito Circuito Resonante Rango de sintonía Ruido de fase
Oscilador RC Resistor y capacitor Amplio Pobre
VCO común LC Inductor y Capacitor Amplio Justo
Stripline VCO Microstrip Amplio Justo
SAW (Surface acous-
Filtro SAW Angosto Excelente
tic wave)
VXCO Cristal Muy angosto El mejor
CRO (ceramic reso-
Cerámico Amplio Excelente
nator osc)
DRO (dielectric re-
Dielectric Amplio Excelente
sonador osc)
VCO YIG Esfera YIG Muy amplio Justo
Se usa con cables espira-
VCO silicio Muy amplio Justo
dos

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

Una vista del VCO con oscilador Clapp

Componente Propósito primario Valor


32pf @ 0V
Ctune Diodo varactor, capacitancia variable con voltaje 15pf @ 2V
12,5pf @ 3V
T1 Ampificador
L Inductor para el tanque 56nH
Acoplan la salida en el tanque y forman parte resonante
C1 y C2 27pf
Del mismo
Mejora el ruido de fase debido a la resistencia del diodo
C3
varactor estando en paralelo
Bloqueo de CC para no perjudicar la polarización del
C4 100pf
transistor
C5 Trabaja con R5 para prevenir ruido desde el VCO.
10KΩ,8,2KΩ,
R1,R2,R3,R4 Polarización del transistor
10KΩ, 75Ω
Aísla el tanque del VCO del filtro para que la capacitan-
R5 10KΩ
cia del filtro no varíe la frecuencia del VCO

Impacto de los componentes en la frecuencia del VCO


Para este circuito tanque, existen dos componentes adicionales: el diodo varactor y C4. El circuito
simplificado del tanque se muestra a continuación.

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

En orden de entender mejor el impacto de los componentes en la frecuencia de este VCO, se ha cal-
culado teóricamente asumiendo que la capacitancia parásita es cero. Luego se cambiaron los com-
ponentes y se calculó la capacitancia parásita bajo estas circunstancias diferentes para ver los cam-
bios. La frecuencia teórica fue calculada con la siguiente fórmula:
1
f teórica 
2 LCequiv

El impacto de C4 en la frecuencia es muy pequeño, pero es muy fácil tenerlo en cuenta puesto que
está justo en serie con los otros capacitores donde dominará el de menor valor por estar en serie.
El valor del capacitor Cparasita se asumió inicialmente cero, pero luego fue extrapolado comparando
las frecuencias operativa y teórica por la fórmula:
1
C parasita  C
2f medida 2 L equivalente
Una vez que la capacitancia parásita fue extrapolada cuando el varactor fue polarizado a un valor
fijo de 2V, se pudo calcular el rango de frecuencias del VCO. En orden de medir las frecuencias
extremas del VCO, fue sintonizado a frecuencias distantes de su frecuencia de sintonía y se anotó la
frecuencia real lograda.
C1 C2 C3 L Frecuencia medida Frecuencia Cparasita
Teórica
pf pf pf nH Min V= 2V Máx V = 2V Pf
#1 27 27 12 385,3 430,3 445,3 564,1 4,8
#2 27 27 56 204,8 227,8 235,2 261,1 2,1
#3 27 27 120 139,8 155,1 160 178,4 2,1
#4 27 27 10 56 194,1 205,4 208,5 230,5 2,2
#5 15 150 56 204,7 226,2 233,3 260,5 2,2
#6 18 56 56 203,3 226,3 233,7 260,5 2,2

El primer hecho notable es que el valor calculado para la capacitancia parásita es muy constante,
excepto para la primera fila, donde está la frecuencia más alta y por lo tanto podría haber otros efec-
tos. También cambia el valor de la inductancia. El efecto de la capacitancia parásita constante cuan
efectivo es este modelo para predecir la frecuencia del VCO.
Las primeras tres filas de la tabla muestran el impacto del cambio del valor de la inductancia. De-
bemos notar que el rango de sintonía absoluto crece con la frecuencia, pero en porcentaje, permane-
ce constante.
Comparando la cuarta línea con la tercera, vemos el impacto de agregar un capacitor en paralelo con
el varactor. Esto reduce en forma importante el rango de sintonía, pero se coloca para mejorar el
ruido de fase.
Las ultimas dos filas tratan los capacitores de acople en el tanque. Fueron elegidos para mantener
sus valores perfectamente constantes y la frecuencia no varía como podríamos suponer teóricamen-
te.

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

Cuando la frecuencia de salida es alta, hay entonces otros efectos causan que la capacidad parásita
crezca.
En resumen, vemos qué poderosa puede ser la capacidad parásita en la creación de un modelo.
Las opciones 5 y 6 exploran el impacto de cambiar los capacitores de acople. Estos no actúan sobre
el rango de sintonía, pero tienen algún impacto sobre el ruido de fase. El ruido de fase óptimo exis-
tiría si C2 fuera infinito y C1 fuera cero, o haciendo la relación C1/C2 lo más alta posible. Sin em-
bargo, la ganancia del amplificador es lo que limita el valor práctico de esta relación. Como con la
analogía del péndulo, esto estaría creando disturbios en el tanque de la forma más pequeña posible.
En este caso, la ganancia mínima posible sería aplicada al ruido 1/f3 y 1/f2. Pero esto no es práctico,
lo que realmente limita esta relación es cuan grande puede ser C2.

Función de transferencia del PLL


 Las funciones de transferencia, son aplicables solo a sistemas lineales invariantes en el tiempo.

 En los PLL, al igual que la polarización de los transistores, algunos valores de reposo, no están
vinculados en forma lineal. Por lo tanto se buscará un modelo equivalente lineal válido única-
mente en un restringido rango de operación.

x y
Sistema lineal
invariante en
el tiempo

 Un sistema lineal invariante en el tiempo, está definido por la siguiente ecuación diferencial
lineal:
a 0 y (n)  a 1 y (n -1)  ...  a n -1 y  a n y  b 0 x (m)  b1 x (m-1)  ...  b m-1 x  b m x
donde n  m, transformado por Laplace a ambos miembros,

y(s) b 0 s m  b1s m-1  ...  b m-1s  b m


F(s)   F(s), es una propiedad del sistema, el valor de n
x(s) a 0 s n  a 1s n -1  ...  a n -1s  a n
da el orden del sistema.

 El siguiente detector de fase, no tiene una función de transferencia, ni aún para la línea puntea-
da: V e
VE
pen=kd
VE (t)  VDD  kd  D (t) VDD
a n y  VDD  b m x Q'
d
VE Q
Q''
La última ecuación no satisface la ecuación dife- D
-π 0 π D 2π
rencial lineal exigida.

 Sin embargo si se intenta encontrar la función de


-VDD
transferencia de todos modos, transformando por
Laplace la ecuación de la recta:

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

VDD
VE (s)    kd  D (s)
s
Función de transferencia
V (s) V
F(s)  E  kd  DD
 D (s) s  D (s)

La posible función de transferencia no es tal, ya que depende de la entrada.

 Por esta razón, solo se desarrollará un modelo matemático para variaciones alrededor del punto
de trabajo Q. A diferencia de los transistores, las relaciones de pequeña señal no dependen del
punto de trabajo Q, por ser tramos lineales, tanto en el detector como en el VCO, siempre y
cuando el PLL no salga de su estado fijo (ver Q, Q' y Q'').

Función de transferencia del detector


Ve (t)  k d θ d (t), Ve (s)  k d θ d (s) 
Ve (s)
 kd d(s) kd Ve(s)
θ d (s)

Función de transferencia del VCO


O ω 0 (t)  k O Vd (t)
O
dθ 0 (t)
ω 0 (t) 
dt 
θ 0 (t)  ω 0 (t)dt
pen=ko

θ 0 (t)  ko Vd (t)dt
O Q Vd ko
θ 0 (s)  Vd (s)
s

VD
VD
 El VCO, actúa para la fase como un integrador, por lo tanto ningún error estático (Ve y d) pue-
de mantenerse en el tiempo debido a este efecto.

 También puede advertirse que el efecto promediador (integrador) del VCO ayuda a mantener
constante la fase de salida O, durante perturbaciones momentáneas en la entrada S.

Función de transferencia total


 Combinando todos los bloques constitutivos se obtiene la función de transferencia :
S + O S + d Ve Vd O
A(s) kd F(s) kO/s
- -

(s) O /N
1/N

k d k O F(s)
θO A(s) θO s k d k O F(s)
  
θ S 1  A(s)(s) θS k d k O F(s) k k F(s)
1 s d O
sN N

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

Filtro pasabajos (FPB)

 El filtro pasabajos tiene dos importantes funciones. Primero, elimina el ruido y cualquier com-
ponente de alta frecuencia de la salida del detector de fase, tales como fS+fO, armónicas de fS y
fO, etc., dejando pasar solo la componente de baja frecuencia fS-fO o fO-fS cuando se está adqui-
riendo el estado fijo, una continua, o pequeñas variaciones cuando el PLL ya está en estado fijo.

 Segundo, es el bloque más importante en la determinación de las características dinámicas del


lazo, rango de captura, respuesta en frecuencia y respuesta transitoria.

 Los filtros pasabajos más comunes usados en PLL son los siguientes:

1 1  sT2 1  sT2
FA (s)  FB (s)  FC (s) 
1  sT1 1  s(T1  T2 ) sT1
donde T1  R 1C y T2  R 2 C

|FA(j)|dB |FB(j)|dB |FC(j)|dB


0dB 0dB
-20dB/dec -20dB/dec
-20dB/dec
20logR2/(R1+R2) 20logR2/R1
  
1/T1 1/(T1+T2) 1/T2 1/T1

Función de transferencia del PLL con FPB FB(s)

θ0 k d k O (1  sT2 ) k k 1  sT2
  d O
θS k k (1  sT2 ) T1  T2 2 1  k d k O T2 /N kd ko
s  s 2 (T1  T2 )  d O s s 
N T1  T2 N(T1  T2 )

 El tipo de respuesta queda fundamentalmente definido por los coeficientes del polinomio deno-
minador. Las características de las respuestas de las funciones de transferencia de 2do orden se
especifican en función del coeficiente de amortiguamiento  y la frecuencia natural n.

 No debe establecerse ninguna relación entre el  de la función de transferencia y el s o o,


frecuencias de entrada y salida del PLL. Son absolutamente independientes y normalmente n
 s.

 Se sabe de la teoría de los servomecanismos que:

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

O k k T2 s  1 kdkO
 d O por comparación n 
 S T1  T2 s 2  2 n s   2n N(T1  T2 )

1 N(T1  T2 )  1  k d k O T2 / N  1  N k d k O 
     T2
2 k d k O  T1  T2  2  k d k O (T1  T2 ) N(T1  T2 ) 

1 kdkO  N  n  N 
Queda   T2   entonces   T2  

N(T1  T2 )    
2 kdkO  2  kdkO 
2 N
Despejando T2  
n k d k O

 El tipo de un sistema es el número de polos en el origen de la función de transferencia a lazo


abierto. Con el FA(s) y FB(s) el sistema es de 2do orden tipo 1, pero con el filtro FC(s), el sistema
es de 2do orden tipo 2. Esta clasificación está relacionada con el error de fase para distintos tipos
de señal de entrada.

lim  d ( t ) lims  d (s)


El teorema del valor final expresa que 
t s0
1
Donde  d (s)   S (s)
1  A (s)(s)
Si se aplica un escalón de posición S ( t )  C P para t  0
CP
Transformando por Laplace  S (s) 
s
Si se aplica un escalón de velocidad S ( t )  C V t para t  0
CV
Transformando por Laplace  S (s) 
s2

Si se aplica un escalón de aceleración  S ( t )  C A t 2 para t  0


2C A
Transformando por Laplace  S (s) 
s3

 Las expresiones de la ganancia de lazo abierto para un filtro pasabajos tipo B y tipo C son res-
pectivamente

kd kO 1  sT2 k d k O 1  sT2
A (s)(s)  A (s)(s) 
sN 1  s(T1  T2 ) sN sT1

La primera es de tipo 1 y la segunda de tipo 2. Aplicando el teorema del valor final a la ganancia
de lazo tipo 1, para un escalón de posición no hay error de régimen:

 
lim  d ( t ) lims  d (s) lim s 1
 S (s)
   1  A(s)(s) 
t s0
s0

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

   
   
lim  d ( t ) lim s 1 C  lim  1
P
CP   0
  kdkO 1  sT2 s   1 k d k O 
t  1 
   
 sN 1 s ( T1 T 2 )  sN
s0 s0
 Nótese que para un escalón de velocidad, lo que equivale a un escalón de frecuencia, el error de
régimen es constante, proporcional a la amplitud del escalón e inversamente proporcional al
producto de las ganancias del detector y del VCO:

lim  d ( t ) NC V

t kdkO

Se puede resumir los errores de régimen d en una tabla:

Entrada Tipo 1 Tipo 2 Tipo 3


Escalón de posición 0 0 0
Escalón de velocidad Constante 0 0
Escalón de acelera- Se incrementa Constante 0
ción constantemente

Diseño del FPB

 La exigencia más usual que se le hace a un PLL, es que ante un cambio de la frecuencia de en-
trada (escalón de velocidad de fase), la frecuencia de salida se establezca en su nuevo valor en
un tiempo determinado. Esto condiciona fundamentalmente el valor de n. Un efecto equivalen-
te se produce en un sintetizador al cambiar N.

 Se debe tener en cuenta que la función de transferencia para las fases es igual que la correspon-
diente a las frecuencias como se muestra a continuación:

d O ( t )
 O (t )  transformando  O (s)  s O (s) y S (s)  sS (s)
dt
 (s )  (s )
por lo tanto O  O  F(s) igual función de transferencia
 S (s)  S (s)

 La información de la respuesta a un escalón para sistemas de 2do orden tipo 1 está normalizada
para escalones unitarios, y el tiempo con la inversa de n, para distintos valores de amortigua-
miento.

Ejemplo: En un PLL con FPB tipo B, determine T1 y T2 para =0.5 y un tiempo de respuesta
t=10ms (%10).
La gráfica muestra la respuesta normalizada a un escalón de un sistema tipo 1 de 2do orden para
=0.5.

 n t  4 .5
4 .5 rad
n   450
10ms s

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

=0.5
1.1
1.0
0.9

nt
4.5

T1 y T2 se despejan de
kdkO
n 
N(T1  T2 )
kdkO
T1  T2 
 2n N
n  N  2 N
y de    T2   se despeja T2  
  n k d k O
2  k dkO 

Nótese que de haberse utilizado un filtro como FA(s), donde T2=0, no es posible la elección inde-
pendiente de  y n, ya que fijado  queda fijado n o viceversa.
n N
 si T2  0
2 kdkO
Normalmente N, kd y ko no son valores que el diseñador pueda cambiar a voluntad.

Ancho de Banda
 Si en la función de transferencia del PLL realizado con el filtro pasabajos tipo B, se hace T2=0,
la función de transferencia para el filtro FA(s) queda:
O k d k O 1

S T1 s 2  2 n s   2n
Para condición de régimen, esto es s  0, θ O  Nθ S por lo tanto la función queda:
O  2n
N 2
S s  2 n s   2n
La respuesta en frecuencia se encuentra reemplazando s por j
O  2n O N 2n
N 
S -  2  j2 n    2n S  2n -  2  j2 n 
Consideraremos al ancho de banda, como la frecuencia correspondiente a -3dB por debajo del
valor de la función para =0 que llamaremos 3dB, entonces:
O O N
N 
S   0  S    3dB 2 O/SdB
=0.2
2  (   
4 2 2
)  4  
2 2 2 2 =0.5
n n 3 dB n 3dB 0dB
0   4n  2 2n  32dB   34dB  4 2  2n  32dB  2 4n -3dB =1
0  34dB   32dB (2 2n  4 2
 2n )   4n

32dB   2n  2 2  2n  (1  2 2 ) 2  4n   4n

n 1.27n

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

2
 3dB 
   1  2 2  (1  2 2 ) 2  1
 n 
3dB   n 1  2 2  (1  2 2 ) 2  1
para =0.5 3dB=1.27n

/n
 Es interesante relacionar el rango de captura con el ancho de banda. Si aceptamos como válida
la expresión del rango de captura para un FPB tipo A, que figura en las hojas de datos del PLL
CD4046, podemos comparar a C con n y con 3dB para un  dado.

Las hojas de datos indican para el FPB tipo A y comparador XOR que el rango de captura es
1 2f L L
2f C  reemplazando 2f por  queda C 
 T1 T1
VDD  k d y 2 L  k O VDD
 Ve O
2 L  k O k d , L  kOkd
2
VDD
 kOkd kd 2L kO
C   1.25 n d Vd
2 T1
 VDD
De esto se deduce que para ~0.5 3dB~C

 Analicemos el significado del ancho de banda 3dB.Un PLL bien diseñado debe ser inmune a las
variaciones de amplitud de la señal de entrada. Trabaja con la fase y la frecuencia de la señal.
θst   θmax  cosωt  θot   F jω θmax  cosωt  faseF jω
Como la función de transferencia para las frecuencias es la misma que para la de las fases, en-
tonces las relaciones temporales para una variación senoidal de la frecuencia de entrada son las
siguientes
fst   Δfmax  cosωt  y fot   F jω  fmax  cosωt  faseF jω 
Gráficamente,
f

fase[F(jω)]

fs(t)
Δfmax
fo(t) |F(jω)|Δfmax

frecuencia
media

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

Para ω= ω3dB la amplitud de fo(t) es el 70.7% (-3dB) de la amplitud de fs(t), siempre y cuando
fs(t) sea senoidal.

Aplicaciones de los PLL- Sintetizadores

Sintetizador básico
fS
kd FPB VCO f

fO/N
1/N
divisor programable

programación digital

 Normalmente, es conveniente que la frecuencia de referencia fS, sea lo más alta posible, para
que sea removida fácilmente por el FPB, y no sea la frecuencia de referencia fS, la que obligue a
fijar la frecuencia de corte del FPB.

 Generalmente la frecuencia de corte del FPB quede definida por la frecuencia natural n y el
coeficiente de amortiguamiento .

 La energía en frecuencia de referencia que alcanza al VCO, lo modula, y se traduce en bandas


laterales espurias llamadas bandas laterales de referencia.

 Otra causa que justifica la conveniencia de seleccionar una frecuencia de referencia lo más alta
posible, es que la corrección de la tensión de control solo puede realizarse una vez cada ciclo de
la señal de entrada. Por ejemplo si la frecuencia de referencia es de 1kHz la corrección es cada
1ms.

 Cuando la fo es elevada, no siempre es simple y económico la realización del divisor programa-


ble. A continuación se analizarán dos técnicas para resolver este problema.

Sintetizador tipo "down converter"


 Para disminuir la frecuencia del divisor programable se heterodina la fO con la de un oscilador a
cristal de cuarzo, de frecuencia fija fH.

 Se analizará sobre un ejemplo de un sintetizador para el oscilador local de un receptor de FM de


200 canales separados cada 100kHz, desde 88 a 108MHz, se usa una frecuencia intermedia
FI=10.7MHz.
fo
Oscilador 1/N1 Comp FPB VCO
de Referencia fX fS Fase OL
98.7MHz
fMIX/N2
1/N2 Mez- 118.7MHz
divisor programable fmix cla- fCH=100kHz
(ejemplo 74192) dorr
fMIX=fo-fH
fH
programación
Oscilador
digital
Heterodinaje

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

 Parece aceptable exigir al circuito que cuando N2 cambie en una unidad fO cambie en un canal
fCH.

fo  f H f  f CH  f H
fS  , si se incrementa el OL para el canal siguiente f S  O
N2 N2 1
despejando de la primera f O  N 2 f S  f H y reemplazando en la segunda
( N 2  1)f S  N 2 f S  f H  f CH  f H simplificando queda f S  f CH

 Para el caso numérico f S  f CH  100kHz


fX
si se elije a f X  1MHz , N 1   10
fS
se elije f H  98MHz , la fH máxima es 98.6MHz
es conveniente que fH sea lo más grande posible para que fMIX sea posible dividirla con un divi-
sor programable convencional (CMOS o TTL), para este caso fMIX va de 0.7 a 20.7MHz

f O máx  f H 118.7  98
N 2 máx    207
fS 0.1 200 canales
f mín  f H 98.7  98
N 2 mín  O  7
fS 0.1

Sintetizador tipo "prescaling"

 Esta otra alternativa, emplea un divisor fijo de alta frecuencia o prescaler, el cual antecede al
divisor programable.

Oscilador 1/N1 Comp FPB VCO f


de Referencia fX fS Fase OL
98.7MHz
fO/(KN2) 1/N2 K 118.7MHz
fO/K Prescaler fCH=100kHz
programación
digital

 Si se plantea la misma exigencia, cuando N2 cambie en una unidad fo cambie en un canal fCH
fo f  f CH
fS  , si se incrementa el OL para el canal siguiente f S  O
KN 2 K ( N 2  1)
despejando de la primera f O  KN 2 f S y reemplazando en la segunda
f CH
KN 2 f S  Kf S  KN 2 f S  f CH simplificando queda f S 
K
Se puede demostrar fácilmente que si se plantea que N2 cambie dos unidades por cambio de ca-
f
nal, el resultado es f S  CH , con lo cual resulta una fs a la mitad.
2K

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

fO
 Para el caso numérico dado, se elige K=10 lo que da una  11.87 MHz como máximo, que
K
puede ser aceptada sin inconvenientes por cualquier divisor programable de lógica convencio-
nal.
f
si f X  1MHz , N 1  X  10
fS
f máx 118.7
N 2 máx  O   1187
Kf S 0.1 200 canales
f mín 98.7
N 2 mín  O   987
Kf S 0.1

 Nótese, que si K=1 fS=fCH. Es posible obtener un divisor programable N2 que soporte altas fre-
cuencias y sea económicamente aceptable, usando un divisor programable de doble módulo. Es-
to evita el uso del prescaler fijo (equivale a K=1) y la consecuente disminución de la frecuencia
f CH
de referencia ( f S  ).
K

Divisor programable de doble módulo


 Es una técnica que se utiliza en sintetizadores de VHF con lógica convencional, para no reducir
la frecuencia de referencia a un valor inaceptable por el uso de un prescaler fijo.

 El corazón del divisor programable de doble módulo es un prescaler de doble módulo. Este cir-
cuito ECL (u otra lógica rápida), divide por dos factores que difieren en uno, dependiendo de
una entrada de control.

 Para sintetizadores programados en BCD es conveniente la relación 10/11. Algunas relaciones


normalizadas son: 5/6, 8/9, 16/17, 20/21, 32/33, 64/65, 128/129, etc.
M (entrada de programación)

Prescaler de doble módulo

Entrada N
 Ck Salida
N 1 Detector
de Cero
Preset

Ck
Detector
de Cero
Preset

A (entrada de programación)

 Está compuesto por dos contadores decrecientes con entradas de preset, uno cuenta desde M y
otro desde A, y siempre M A.

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

 Supóngase que el prescaler comienza dividiendo por N+1, entonces deben ser aplicados
(N+1)A pulsos en la entrada para que el contador de abajo llegue a cero, y el prescaler comience
a dividir por N, después deben ser aplicados N(M-A) pulsos a la entrada para que el contador
de arriba llegue a cero y haya un pulso a la salida y se reinicie el ciclo.

 Para un ciclo es necesario (N+1)A+N(M-A)=MN+A pulsos a la entrada, por lo tanto el divisor


programable de doble módulo divide por MN+A.

 Ya se mencionó una restricción, que M sea mayor o igual que A. Existe otra, el mínimo factor
de división es N(N-1). Por ejemplo para N=10, el mínimo factor por el cual divide es 90. Para
probar esta afirmación intente determinar los valores de M y A para que divida por 89.

 Se resuelve a continuación el sintetizador ya planteado con un divisor programable de doble


módulo.

Oscilador 1/10 Comp FPB VCO f


de Referencia Fase OL
fX=1MHz 98.7MHz
.987..1187
fS=100kHz 118.7MHz
DPDM
fCH=100kHz
Prescaler 20/21

M A
49..59 0..19
 Se ha optado por un prescaler de doble módulo 20/21. Resulta de esta elección, que la máxima
frecuencia de entrada de los contadores descendentes es 118.7MHz/20=5.9MHz, suficientemen-
te baja para cualquier lógica convencional y no respeta la resolución.

 El mínimo factor de división del divisor programable de doble módulo es


98.7MHz/100kH=987, que es mayor que el mínimo factor posible N(N-1)=20*19=380.

 El mayor factor de división será 118,7MHz/100KHz o sea 1187.

 Un juego de valores posibles para las entradas de programación M y A se muestran en la si-


guiente tabla. Como referencia 987/20=49.35 y 49*20=980.

M A M*20+A
49 7..19 987...999
50 0..19 1000...1019
... ... ..
58 0..19 1160...1179
59 0..7 1180...1187

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

Tabla de funcionamiento
M A M*20+A
49 6 986 (no)
49 7 987
49 8 988
49 9 989
49 10 990
49 11 991
49 12 992
49 13 993
49 14 994
49 15 995
49 16 996
49 17 997
49 18 998
49 19 999
50 0 1000
50 1 1001
50 2 1002
50 3 1003
50 4 1004
50 5 1005
50 6 1006
50 7 1007
50 8 1008
50 9 1009
50 10 1010
50 11 1011
50 12 1012
50 13 1013
50 14 1014
50 15 1015
50 16 1016
50 17 1017
50 18 1018
50 19 1019
….. ….. …..
59 0 1180
59 1 1181
59 2 1182
59 3 1183
59 4 1184
59 5 1185
59 6 1186
59 7 1187
59 8 1188(no)

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

Ejemplo sintetizador de HF
rango del OL

 En los receptores modernos de HF rango de RF (0.1 a


30MHz) se usa una primera FI alta, filtro de FI por
ejemplo 45MHz o mayor, fuera de en 45 MHz la
frecuencia
banda de recepción, y un OL por enci-
en MHz
ma de la FI, resultando que varíe
menos de una octava. Se usa una resolución de 100Hz o30 45 clarificar señales
menor para 75 de BLU
apropiadamente.

2.5kHz Comp FPB VCO


Fase 245.0025MHz
250.0000MHz
1/(98001
x10 kHz -10000)
x1 kHz
1/50
x0.1 kHz
x0.05 kHz
f1 4.900050MHz
5.000000MHz
2000x50Hz=100k
Comp FPB VCO
Fase fo OL
45.000000MHz
Mezclador 74.999950MHz
f2-f1 60000x50Hz=30MHz

f2
100kHz Comp FPB VCO
Fase
50.0MHz
79.9MHz
1/(500-799) 300x0.1MHz=30MH
x10 MHz
x1 MHz
x0.1 MHz

 En el ejemplo que se muestra, el sintetizador genera 60000 frecuencias separadas 50Hz, con
solo dos osciladores a cristal. La salida es el OL de un receptor de HF. Consta de tres lazos, y
dos divisores programables, que probablemente, por cuestión de costos, deban ser resueltos co-
mo divisores programables de doble módulo.
OL

300
45MHz
1 2 3

50Hz 74.999950MHz

1 2 2000

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

 Nótese que el divisor por 50 eleva la frecuencia de referencia del primer lazo de 50Hz a 2.5kHz
para agilizar la respuesta del mismo.

Rango de f1= f2-fo,


100kHz Rango de fo, 30MHz

Filtro pasabajos Rango de f2, 30MHz

 El empleo del segundo PLL, en vez de un mezclador simple, facilita el filtrado mediante un sen-
cillo filtro pasabajos. De emplearse un mezclador para obtener fo a partir de f2 y f1, debería se-
guir a este un complejo filtro pasabanda sintonizado a f2-f1.

Ejercicio: Plantee una solución para el divisor programable de doble módulo del tercer lazo.

Sea N=16, fmáx=79.9MHz/16=4.99MHz es adecuada para un divisor de lógica convencional


Se verifica que N(N-1)=240 es menor que 500 que es el divisor mínimo
Cálculos auxiliares: 500/16=31.25 y 799/16=49.93

M A M*16+A
31 4..15 500...511
32 0..15 512...527
... ... ..
49 0..15 784...799
Pruebe con otras soluciones.

Receptor Homodino o Sincrodino

 Como se comentó al inicio, la primera aplicación documentada de un PLL data de 1932 y se


refiere a la recepción sincrónica de señales de radio moduladas en AM.

 Para demodular sincrónicamente una señal de AM hay que mezclarla con una portadora con la
misma frecuencia y fase. Las señales de radio frecuentemente se desvanecen o son acompañadas
por ruido. El PLL puede recuperar la portadora aún con altos niveles de ruido.

VC (1  m cos  m t ) cos C t cos  C t


VC
(1  m cos  m t )
PLL Filtro 2
Pasabajos

1 1
VC (1  m cos  m t ) cos  C t cos C t  VC (1  m cos  m t ) cos 2C t  
2 2

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

Barrido horizontal de televisión

 Fue la primera aplicación comercial generalizada.

 La forma antigua de sincronizar el barrido horizontal (y vertical también) de televisión se hacía


mediante el uso de un oscilador astable. Este oscilador cuando oscila libremente tiene un perío-
do ligeramente mayor al período del barrido horizontal.

 Cuando se recibe una señal adecuada, un circuito separa los pulsos de sincronismo horizontal,
que redisparan el oscilador astable prematuramente, antes que finalice su período natural.

Pulsos de sincronismo horizontal Ruido

Oscilador astable redisparable Perdida de sincronismo


debido al ruido
 Este sistema funciona bien cuando la señal de televisión tiene buena relación señal a ruido y está
libre de interferencias, ya que cualquier señal impulsiva puede ser interpretada como un pulso
de sincronismo.

 El uso de un PLL ajusta la frecuencia y fase de los circuitos de barrido en base al promedio de
cientos de pulsos de sincronismo. La ausencia de pulsos en la señal de entrada o la presencia de
ruido impulsivo, solo puede afectar al sincronismo cuando se produce en grandes cantidades.

Recepción de señales satelitales


 El uso del PLL en el espacio, comienza con el lanzamiento del primer satélite artificial de Esta-
dos Unidos de América (década del 60). Estos vehículos transportaban un transmisor de baja po-
tencia (10mW) de onda continua interrumpida (CW). Las señales recibidas resultan en conse-
cuencia muy débiles.

 La frecuencia de la señal recibida desde estos satélites de órbita baja, sufre un corrimiento hacia
arriba cuando se aproximan al receptor terrestre, y hacia abajo cuando se alejan, debido al efec-
to Doppler. Un fenómeno similar ocurre con las señales recibidas por el satélite.

 Para fijar ideas, consideremos el caso de un satélite de órbita baja, que órbita la tierra cada dos
horas, cuya frecuencia de transmisión es de 108MHz. Supóngase que la información que trans-
mite el satélite necesita un ancho de banda muy pequeño, como puede ser el necesario para
transmitir la información de telemetría. Se considera que con un ancho de banda de 4Hz es sufi-
ciente.

1vuelta 40000km
V   20000km / h
2horas 2h

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

V
Efecto Doppler f d  f V=20000km/h
C
108x10 6  20000
fd   2kHz
3x10 8 x 3.6
B SISTEMA  4kHz
B INFORMACIÓN  4Hz
N  kTB
4k
10 log  30dB
4 L=40000km

 Si el PLL tiene un ancho de banda de 4Hz, y este opera como un filtro sintonizable, se mejora la
relación señal ruido en 30db. De no usarse el PLL se debería aumentar la potencia del transmi-
sor en 30dB, esto es de 10mW a 10W para producir la misma relación señal a ruido en un recep-
tor con 4kHz de ancho de banda.

Modulador de frecuencia Vf(s) señal moduladora


Comparador Pasabajos VCO
O(s), O(s)
kd F(s) ++ ko/s
S(s)

1/N

 La función de transferencia de lazo cerrado H(s) de un PLL, es parecida a la de un filtro pasaba-


jos (esto es exacto si  < 1). Si la frecuencia de la señal moduladora Vf es mucho mayor que la
frecuencia de corte de H(s), el lazo no reaccionará, la salida del filtro pasabajos no variará, por
lo tanto se comportará como un modulador de frecuencia, esto es  O (s)  k 0 Vf (s) .
 Se demostrará esto suponiendo por simplicidad que F(s)=FA(s).

N 2n  2n
si F(s)  FA (s) H(s)  2 normalizando H' (s)  2
s  2 n s   2n s  2 n s   2n
kdkO
FA (s)
sN s
pero H ' (s)  por lo tanto 1  H' (s) 
k k kdkO
1  d O FA (s) s FA (s)
sN N

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

~14dB
|H'(j)|dB
=0.1
0dB ~1dB

=0.5
-6dB

=1 -40dB/dec
/n
1
kO
 O (s)
 0 1  H' (s)
s kO k
 
Vf (s) k k k k s
1  d O FA (s) s  d O FA (s)
sN N
 O (s)
como O (s)  s O (s) ,  k 0 1  H' (s)
Vf (s)

 Si la frecuencia de modulación es mucho mayor que la frecuencia de corte del modulador, esto
O
es mod>>1, la ganancia del modulador vale  kO .
Vf

|H'(j)|dB |1-H'(j)|dB
0dB
-3dB =0.5

-40dB/dec
40dB/dec
/n
1/n 1

 Para modulación de frecuencia sin distorsión, se debe cumplir que mod(mín)>1. Este último
valor es del orden de la frecuencia natural del lazo n.

Modulador de fase

 Si la frecuencia de la señal moduladora es mucho menor que la frecuencia de corte del lazo
H(s), el lazo reacciona muy rápido manteniendo la frecuencia de salida, pero la fase se modifica
para compensar el efecto de Vf.

 Se demostrará esto, partiendo de los resultados obtenidos del modulador de frecuencia.

 O (s) k 0  
1  H' (s)  k 0 1  2  n s  2 n
2
   k O 2
Vf (s) s s  s  2 n s   2n  s  2 n s   2n

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

 O (s) k 0 (s  2 n ) 2n 2k 0  s 


 2 2  H' (s)1  
Vf (s)  n s  2 n s   n 2
n  2 n 

20dB/dec
| 1+j/(2 n) |dB
| H'(j)[1+j/(2 n)] |dB
0dB
-3dB

| H'(j) |dB -20dB/dec

=0.5
-40dB/dec
/n
1 1/n

 Para modulación de fase sin distorsión, se debe cumplir que mod(máx)<1. Este último valor es
del orden de la frecuencia natural del lazo n.

 La ganancia del modulador de fase para frecuencias bajas de modulación es


 O 2k 0 n O N
 pero para F(s)=FA(s)  por lo tanto 
Vf n 2k d k 0 Vf kd

Demodulador de frecuencia

Vd(s) señal demodulada


Comparador Pasabajo VCO
O(s), O(s)
kd F(s) ko/s
S(s), S(s)

1/N

para frecuencia modulada S ( t )  k  m(t) , donde m(t) señal moduladora


d S ( t ) k
pero   S ( t )  k  m(t) transformando por Laplace S (s)   m(s)
dt s
 (s )
sea H (s)  O la función de transferencia del PLL, entonces
 S (s)
k k0
 O (s)  H(s)   S (s)  H(s)  m(s) pero como  O (s)  Vd (s) 
s s
s
queda Vd (s)   O (s) por lo tanto
k0
k
Vd (s)  H(s) m (s )
k0

 La respuesta en frecuencia de H(s) es parecida a la de un filtro pasabajos, especialmente para 


mayores que uno, donde la frecuencia de corte depende fundamentalmente de la frecuencia na-
tural n y también del .

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

|H'(j)|dB
=0.1
0dB
-3dB =0.5

=1 -40dB/dec

1 1/n
/n
 Para demodulación de frecuencia sin distorsión, se debe cumplir que mod(máx)<1, siendo 1
del orden de n.

 La ganancia del demodulador para bajas frecuencias de modulación es Vd


N
k
.
m k0

PROBLEMAS
1. a) Dibuje el esquema de un sintetizador de frecuencia de tres lazos PLL.
b) Utilizando una señal de referencia de 100 KHz, elegir los divisores programables NA y
NB para obtener una frecuencia de salida en el rango de 30 a 40 Mhz, con una resolución de 1 kHz.
c) Explicar brevemente el funcionamiento.
Solución. (b) NA: 100 – 199 NB : 299 - 398

2. a) Diseñar un sintetizador de frecuencia con PLL múltiple para cubrir el rango de frecuencias de
salida entre 35.4 a 40MHz en incrementos de 100Hz. La frecuencia de referencia será de 100 kHz.
Elegir los divisores programables para que ningún lazo opere con frecuencia de referencia inferior
a 100 kHz.
b) Explicar brevemente el funcionamiento.
Sol. (a) NA: 1000 - 1999 NB : 353 – 398

3. En el PLL de la figura vr, vε y vo son señales cuadradas, con amplitudes de 0 a 5V. El filtro F(s)
proporciona a la salida el valor medio de la señal de entrada. La función de transferencia de VCO
es fo = fc + Kcvc.

Representar las señales vr , vo , vε y vc en función del tiempo cuando fr = fc .


a) En reposo.
b) Cuando inicialmente (t = 0), vo está atrasada 45º respecto al caso anterior
c) Cuando inicialmente (t = 0), vo está adelantada 45º respecto al caso (a)
d) Cuando inicialmente (t = 0), vo está atrasada 135º respecto al caso (a)

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

4. El circuito de la figura es un demodulador FSK binario que utiliza un PLL. La señal de entrada
es senoidal con dos posibles frecuencias, 1.1MHz y 1.3MHz, que corresponden a los niveles lógicos
“0” y “1”, respectivamente. El limitador a la entrada convierte las señales sinusoidales en señales
cuadradas de la misma frecuencia. Las tensiones asociadas a los niveles lógicos “0” y “1” en la
puerta XOR, son 0 V y +5V, respectivamente. El filtro pasa bajo obtiene a la salida el valor medio
de la señal de entrada. La relación entre la frecuencia de salida y la tensión de control del VCO es
fo = 1 + 0.08·Vc MHz. El detector de umbral es un comparador que determina el nivel lógico de la
tensión de entrada comparándola con una referencia de 2,5 V.

a) Representar la relación entre Vc y la diferencia de fase entre xr y xo, (Δφ).


b) Indicar la diferencia de fase entre xo y xr cuando el PLL se halla en régimen estacionario, con la
salida enganchada a la entrada, en dos casos: cuando la frecuencia de entrada sea 1.1 MHz y
cuando sea 1.3MHz.
c) Representar las señales xr, xo, Vε y Vc en los dos casos anteriores.
d) ¿Cual es la máxima velocidad de transmisión de datos que permite el tiempo de enganche del
PLL?
e) ¿Cual es la máxima separación entre las frecuencias asociadas a los niveles lógicos “0” y “1”
que podemos emplear con este PLL?
Sol. (b) π/4 y 3 π /4 (d) 110 kbits/s (e) 0,4 MHz

5. Se utiliza un PLL de 1er orden (sin filtro) para sintetizar una señal de 2 MHz a partir de una onda
de referencia de 50 kHz. El oscilador variable utilizado posee una ganancia de 100 Hz/V y el detec-
tor de fase posee una ganancia de 2 V/rad.
a) Dibujar el diagrama de bloques del modelo lineal del PLL.
b) Calcular el ancho de banda del sistema en lazo cerrado. Explicar su significado físico.
c) Si incorporamos un filtro pasabajos a la salida del detector de fase, calcular su frecuencia de
corte para obtener un sistema de segundo orden con amortiguamiento ζ = 0.7
Sol. (b) Β = 5 Hz (c) fc = 10 Hz

6. Se utiliza un PLL para regenerar una portadora de 1 MHz. El VCO posee una ganancia de
1 kHz/V y el detector de fase posee una ganancia de 10/π V/rad.
a) Dibujar el diagrama de bloques del modelo lineal y calcular la función de transferencia
Δωo/Δωr (sin filtro).
b) Si la fase de la entrada sufre un incremento en escalón de 45º hallar la evolución
temporal de la fase de salida.
c) Insertamos un filtro pasabajos en el lazo del PLL cuya función de transferencia es

Ejemplar de distribución gratuita


Compilado, anexado y redactado por el Ing.Daniel Rabinovich/Ing. Oscar Santa Cruz - 2010

Calcular la nueva función de transferencia Δωo/Δωr y repetir el apartado (b)

7. Se quiere diseñar un sintetizador de frecuencia en el rango de 180.0 a 220.0 MHz, en


incrementos de 0.1 MHz. Se va a utilizar un PLL y un divisor de preescala de módulo dual
32/33 (cuyo nombre comercial es MC12015).
a) Dibújese el diagrama de bloques correspondiente
b) Indíquese: - La frecuencia del oscilador de referencia
- El rango de los contadores necesarios
c) ¿Qué valor debe almacenar cada contador para sintetizar la frecuencia 200.0 MHz?
Sol. (b) fr = 0.1 MHz , A = 1 – 32 , N = 56 – 68 (c) A = 16 , N = 62
8. Un PLL tiene una frecuencia de funcionamiento libre de 10 MHz, con un intervalo de captura de 1 MHz y un in-
tervalo de sincronización o enganche de 2 MHz. Grafique la frecuencia del VCO en función de la frecuencia de
entrada de referencia cuando este último varía de 5 a 15 MHz.
9. Un PLL tiene las características siguientes: frecuencia de funcionamiento libre: 3 MHz intervalo
de sincronización: 200 kHz
intervalo de captura 50 kHz
¿Cuál es la frecuencia de entrada más alta que
(a) causará que un lazo no sincronizado se sincronice o enganche?
(b) puede seguirse mediante un lazo sincronizado o enganchado?
10. En la figura 2.49 se muestra un sintetizador de frecuencia simple.
(a) ¿Qué intervalo de frecuencia genera cuando N varía de 100 a 200?
(b) ¿Cuál es el paso de frecuencia más pequeño posible con este sintetizador?
11. Dibuje un diagrama a bloques para un sintetizador de frecuencia simple que generará frecuencias de 1 a 10 MHz
en pasos de 500 kHz. Encuentre el intervalo de valores de N que se necesitará para el divisor programable.
12. Un sintetizador de frecuencia simple puede funcionar hasta 50 MHz con un paso de frecuencia de 10 kHz. Calcu-
le los nuevos valores por estas especificaciones si se usa un prescaler fijo de 10:1. El prescaler por sí mismo puede
responder a frecuencias hasta de 1 GHz.
13. Calcule la frecuencia de salida para el sintetizador mostrado en la figura 2.49 para N = 50 y M = 10.
14. En la figura 2.50 se muestra un sintetizador con translación de frecuencia externa. Calcule la frecuencia de salida
para N = 50.

Ejemplar de distribución gratuita


Electrónica Aplicada III - Lazos enganchados en fase ( PLL) - Daniel Rabinovich /Oscar Santa Cruz 2010

15. El sintetizador de la figura 2.51 tiene translación de frecuencia dentro del lazo. Calcule su frecuencia de
salida para N = 30.
16. El oscilador de cristal de 10 MHz en un sintetizador de frecuencia de PLL se calibró a un estándar de refe-
rencia conocido que se sabe es exacto a 5 ppm. Se garantiza que el oscilador no varía más de 10 ppm por
mes.
(a) Un año después, ¿cuáles son las frecuencias máxima y mínima que podría estar produciendo el os-
cilador?
(b) Suponga que este oscilador se usa en un PLL simple de un solo lazo para generar una frecuencia de
45 MHz. ¿Cuáles son los valores máximo y mínimo que podría tener la frecuencia de salida después
de un año?
17. Dibuje un circuito para un oscilador Colpitts que operará con un amplificador no inversor con una ganancia
de voltaje de menos de 1. Diséñelo con una fracción de realimentación de dos y una frecuencia de operación de
18. MHz. El inductor debe tener un valor de 5 microhenrios.
19. Un receptor de radio de AM usa inyección del lado de alta (fin > fo) y frecuencia intermedia (IF) de
455 kHz. Diseñe un sintetizador de frecuencia que sirva como oscilador local para este receptor.
20. Dibuje un diagrama a bloques para un sintetizador con un prescaler de dos módulos, uno que divide
entre 10 y otro que divide entre 11, y elija valores convenientes para que genere frecuencias en el
intervalo de 100 a 200 MHz, a intervalos de 1 MHz.
21. Diseñe un sintetizador con translación de frecuencia dentro del lazo que genere frecuencia entre 144 y 148
MHz, a intervalos de 10 kHz.

REPASO
1. ¿Qué es un PLL?.
2. ¿El VCO oscila en frecuencia libre?.
3. ¿La salida del comparador de fase controla el VCO siempre que su frecuencia sea…?
4. ¿El comparador de fase entrega o no tensión cuando se sincronizan entrada y salida?
5. Si el comparador excede su rango lineal, se comporta como un…
6. ¿Qué estado sigue al de captura?
7. El rango de captura 2fC y el rango de tracción 2fP dependen entre otras cosas de …
8. ¿El lazo funciona como un filtro de frecuencia variable y de pequeño ancho de banda
o todo lo contrario?
9. Recordar el uso de los PLL.
10. ¿Cómo posibilita el comparador de fase la posibilidad de sincronizar con armónicos?
11. El detector de fase controlado por flancos tiene mejor captura y seguimiento que la
compuerta XOR. ¿Por qué?
12. ¿Cuáles son los tipos de VCO más comunes?
13. ¿Cuál es el VCO más sensible al ruido de fase?
14. ¿A qué sistemas se aplican las funciones de transferencia?

Ejemplar de distribución gratuita


Electrónica Aplicada III - Lazos enganchados en fase ( PLL) - Daniel Rabinovich /Oscar Santa Cruz 2010

15. El filtro pasa bajos tiene dos funciones importantes …


16. ¿Cómo se relaciona el rango de captura con el ancho de banda?
17. ¿La frecuencia de referencia debe ser alta o baja respecto de la frecuencia de corte del
filtro pasa bajos?
18. ¿Qué sucede cuando la frecuencia fo es alta y como se soluciona?
19. ¿El uso de prescaler de doble módulo sirve en el caso de transmisores de baja frecuen-
cia o de VHF y más arriba o se aplica en cualquier tipo?
20. Para demodular sincrónicamente una señal de AM hay que mezclarla con una portado-
ra con….
21. ¿Se puede utilizar un PLL para recuperar una portadora, aún con altos niveles de rui-
do?

Bibliografía

1. Phaselock Techniques/Floyd M. Gardner/John Wiley & Sons, Inc.

2. Lazos de Fijación de Fase/Ernest J. Lazlo/Revista Telegráfica Electrónica/Agosto 75

3. Phase-Locked Loop Design Fundamentals/AN535/Motorola

4. The Phase-Locked Loop Reference Book with Experimentes/Howard M. Berlin/The Bug-


book Reference Series /Titus, Rony, Larsen, & Titus

5. Electrónica Aplicada a las Altas Frecuencias/F. de Dieuleveult/Paraninfo

6. Sistemas de Comunicaciones Electrónicas/Wayne Tomasi/Prentice Hall

7. Manual ARRL 1986

Ejemplar de distribución gratuita

También podría gustarte