Está en la página 1de 4

UNIVERSIDAD NACIONAL MAYOR DE SAN

MARCOS
Universidad del Perú, Decana de América

FACULTAD DE INGENIERÍA ELECTRICA Y


ELECTRÓNICA

PROFESOR: RUBEN ALARCON MATUTTI

ALUMNO: ROBLES HUAMANCHUMO, CRISTIAN FERNANDO

CÓDIGO: 17190164

FACULTAD: INGENIERÍA ELECTRÓNICA

CURSO: CIRCUITOS DIGITALES I

TEMA: TAREA N° 1 VHDL

AÑO: 2019
LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

ENTITY ejercicio1 IS

PORT(

X1,X2,X3,X4 : IN STD_LOGIC;
F1,F2 : OUT STD_LOGIC);

END ejercicio1;

ARCHITECTURE solucion OF ejercicio1 IS

BEGIN

F1 <= (X1 AND NOT(X3)) OR (X2 AND NOT(X3)) OR (NOT(X3) AND


NOT(X4)) OR (X1 AND X2) OR (X1 AND NOT(X4));

F2 <= (X1 OR NOT(X3)) AND (X1 OR X2 OR NOT(X4)) AND (X2 OR


NOT(X3) OR NOT(X4));

END solucion;

FIEE Página 2
b) usar la simulación para comprobar que f1=f2

FIEE Página 3
FIEE Página 4

También podría gustarte