Está en la página 1de 6

TAREA DE DIGITALES

VHDL

ALUMNOS:

 ALARCON PALOMINO MARY 16190175


 CULLCUSH AGUAS MARY CRUZ 15190034
 RIVAS MENDOZA MANUEL 16190142
TAREA N°1 VHDL
Usando el programa de simulador funcional VHDL (no considerar retardos), se pide escribir el
código VHDL y simular. Escoger como mínimo 08 problemas.
1) Diseñar usando VHDL, describir y simular para los 04 casos que los números A (A4 A3 A2
A1) y B (B4 B3 B2 B1) sean positivos y negativos en la representación complementaria
indicada y también el resultado.
a) Complemento a UNO
b) Complemento a DOS.

DÍGITO DECIMAL CÓDIGO BCD


0 0000
1 0001
2 0010
3 0011
4 0100
5 0101
6 0110
7 0111
8 1000
9 1001

2) Diseñar usando VHDL, describir y simular un COMPARADOR para dos números de 4 bits
A= A3, A2, A1, A0 y B= B3, B2, B1, B0.
 Caso que A y B están en binario natural.
 Caso que A y B están en complemento a UNO.
3) Escriba código de VHDL para describir las funciones lógicas siguientes:

𝑓1 = 𝐴𝐶 ′ + 𝐵𝐶 ′ + 𝐶 ′ 𝐷 ′ + 𝐴𝐷 ′
𝑓2 = (𝐴 + 𝐶 ′ )(𝐴 + 𝐵 + 𝐷 ′ )(𝐵 + 𝐶 ′ + 𝐷 ′ )
4) Considere las instrucciones siguientes de asignación en VHDL

 f1= ((x1 AND x3) OR(NOTx1 AND NOTx3))OR((x2 ANDx4)OR(NOT x2


AND NOT x4));
 f2= (x1ANDx2 AND NOTx3 AND NOTx4) OR(NOTx1 AND NOT x2 AND
x3 AND x4) OR(x1AND NOTx2 AND NOTx3 AND x4)OR(NOT x1 ANDx2
ANDx3 AND NOTx4);

̅̅̅̅.
𝑓1 = 𝑓2
7) Escriba el código VHDL para implementar la función
𝑓(𝑥1 , … . , 𝑥4 ) = ∏ 𝑀(6,8,9,12,13)
8) Escriba el código VHDL para implementar la función
𝑓(𝑥1 , … . , 𝑥4 ) = ∏ 𝑀(3,11,14) + 𝐷(0,5,9)

También podría gustarte