Está en la página 1de 18

Villalobos Resendez Sofa

Danah

14100297

Electrnica IV
ADC Convertidor de
distancia a nmeros
digitales

Prctica

8
Fecha
06/12/2016
PROPSITOS

Objetivos generales

Disear un ADC que convierta la magnitud de distancia a un cdigo digital que la


represente para ser mostrado como un nmero decimal visible en un display.

Objetivos particulares

Llevar a cabo la realizacin de un convertidor analgico digital, lograr emplear los


conocimientos que poseo hasta la actualidad y aplicarlos en la elaboracin de un
circuito relativamente complejo.

ACTIVIDADES PREVIAS:
Marco terico

Un conversor o convertidor de seal analgica a digital (Conversor Analgico Digital,


CAD; Analog-to-Digital Converter, ADC) es un dispositivo electrnico capaz de
convertir una seal analgica, ya sea de tensin o corriente, en una seal digital
mediante un cuantificador y codificndose en muchos casos en un cdigo binario en
particular. Donde un cdigo es la representacin unvoca de los elementos, en este
caso, cada valor numrico binario hace corresponder a un solo valor de tensin o
corriente.

En la cuantificacin de la seal se produce prdida de la informacin que no puede ser


recuperada en el proceso inverso, es decir, en la conversin de seal digital a
analgica y esto es debido a que se truncan los valores entre 2 niveles de
cuantificacin, mientras mayor cantidad de bits mayor resolucin y por lo tanto menor
prdida de informacin.

Se utiliza en equipos
electrnicos como
computadoras,
grabadores de sonido y
de vdeo, y equipos de
telecomunicaciones.
Por el contrario un conversor de seal digital a analgica o conversor digital analgico,
CDA o DAC (del ingls digital to analogue converter) es un dispositivo para convertir
seales digitales con datos binarios en seales de corriente o de tensin analgica.

Las seales en la naturaleza tienen las caractersticas de ser continuas en su


magnitud y en el diagrama temporal. La digitalizacin es necesaria para el
procesamiento, almacenamiento y filtrado de seales analgicas con los beneficios
que las seales digitales conllevan, como mayor inmunidad al ruido, circuitos
electrnicos ms simples para el procesamiento y almacenamiento. Representacin
unvoca de los elementos, cuya cantidad de smbolos es proporcional a 2n siendo n la
cantidad de bits.
- Convertidor Analgico/Digital Flash o Paralelo

El mtodo flash utiliza comparadores que comparan una serie de tensiones de


referencia con la tensin de entrada analgica. Cuando la tensin analgica sobrepasa
a la tensin de referencia de un comparador determinado, se genera un nivel Alto.

La salida de cada convertidor se aplica un circuito codificador de prioridad, en el cual


el cdigo binario queda determinado por la entrada de mayor orden que se encuentre
a nivel alto.

Su principal ventaja es alta velocidad de muestreo que se puede alcanzar, aunque


presenta la desventaja de que se necesitan muchos comparadores para un ADC de un
nmero binario de tamao razonable. La velocidad de muestreo determina la precisin
con la que la secuencia de cdigos digitales representa la entrada analgica del ADC.
Cuando ms muestras se toman en una unidad de tiempo, ms precisa es la seal
digital que representa a la seal analgica.
- ADC de aproximaciones sucesivas.

Est formado por un DAC, un registro de aproximaciones sucesivas (SAR, successive-


aproximation r+egister) y un comparador. Los bits de entrada al DAC se habilitan a
ALTO, de uno en uno sucesivamente. Comenzando por el bit ms significativo (bMs).
Cada vez que se habilita un bit, el comparador produce una salida que indica si la
tensin analgica de entrada es mayor o menor que la salida del DAC. Si la salida del
DAC es mayor que la entrada analgica, la salida del comparador est a nivel BAJO,
haciendo que el bit en el registro pase a cero. Si la salida es menor que la entrada
analgica el bit 1 se mantiene en el registro. El sistema realizara esta operacin
primero con em bMs, luego con el siguiente bit ms significativo, despus con el
siguiente, y as sucesivamente. Despus de que todos los bits del DAC hayan sido
aplicados, el ciclo de conversin est completo.
-ADC rampa en escalera.

El mtodo de rampa en escalera para la conversin A/D se conoce tambin como


mtodo de Rampa digital o mtodo contador. Se emplea un DAC y un contador binario
para generar el valor digital correspondiente a una entrada analgica.

El mtodo de rampa en escalera es ms lento que el mtodo flash porque, en caso de


entrada mxima, el contador debe pasar a travs del nmero mximo de estados
antes de realizar la conversin. Para una conversin de 8 bits, esto significa un
mximo de 256 estados y cado estado consume un cierto tiempo.
- ADC de rastreo o seguimiento.

El mtodo de seguimiento utiliza un contador ascendente / descendente y es ms


rpido que el mtodo de rampa digital, porque el contador no se pone a cero despus
de cada muestreo sino que sigue a la entrada analgica

La salida del comparador se aplica al modo del control del contador, cuando est
BAJO (0 lgico), hace que el contador cuente progresivamente, y, recprocamente,
cuando esta ALTO (1 lgico), hace que el contador cuente en forma descendente.
Cuando el ADC de rastreo ha alcanzado el intervalo idneo, entonces el convertidor
puede seguir de forma continua el voltaje analgico. La rapidez de rastreo no es
ningn problema a medida que las variaciones de la entrada sean relativamente
lentas.
- ADC de pendiente simple

A diferencia de los mtodos de rampa en escalera y seguimiento, el convertidor de


pendiente simple no requiere un DAC. Se utiliza un generador de rampa lineal para
generar una tensin de referencia de pendiente constante. Al comienzo del ciclo de
conversin, el contador est en estado RESET y la salida del generador de rampa es 0
V. En esta situacin, la entrada analgica es mayor que la tensin de referencia y, por
tanto, se produce un nivel ALTO en la salida del comparador. Este nivel alto habilita la
seal del reloj para el contador y arranca el generador de rampa.
- ADC de doble pendiente

El funcionamiento del ADC de doble pendiente es similar al de pendiente simple,


excepto en que se utiliza una rampa de pendiente variable y otra de pendiente fija.
Este tipo de convertidor se utiliza comnmente en voltmetros digitales y otros tipos de
instrumentos de medida. Se utiliza un generador de rampa (integrador), A1, para
generar las caractersticas de pendiente doble.

REFERENCIAS:

http://quidel.inele.ufro.cl/~jhuircan/PDF_CTOSII/ad03.pdf

http://catedra.ing.unlp.edu.ar/electrotecnia/islyd/Tema%2011%20Conversores%20200
8%20BYN.pdf

https://es.wikipedia.org/wiki/Conversor_de_se%C3%B1al_digital_a_anal%C3%B3gica

https://es.wikipedia.org/wiki/Conversor_de_se%C3%B1al_anal%C3%B3gica_a_digital

http://www.sites.upiicsa.ipn.mx/polilibros/portal/polilibros/P_proceso/POLILIBRO_ADQ
UISICION_DE_DATOS/POLILIBRO/UMD/umd%206/informacion/6.9.html
Material y Equipo

Obtener los siguientes materiales:


Cantidad Descripcin
2 C.I. TL084
2 GAL16V8
19 Resistores de precisin 2.2KOhm(3), 10Ohm(4), 390Ohm,
680Ohm(3), 100Ohm(3), 220Ohm(2), 33Ohm, 3.9KOhm, 1KOhm,
15KOhm y 22KOhm.
Alambre para proto
1 Proto
2 Fuente 5v
4 Caimanes
10 LEDs
10 Resistores 330Ohm
1 Display
1 Programador universal
1 Computador con software WinCUPL
1
Configuraciones

Distribucin de terminales de componentes:

- TL084

Clculos

Clculos en limpio

- GAL16V8
- SENSOR CNY70

- DISPLAY CTODO COMN:


Clculos

El circuito en general tendr un VCC de 5v respectivamente, para as poder polarizar


con la misma fuente los PLCs y los componentes digitales.

Respuesta del sensor:

Distancia de medicin Voltaje entregado por el sensor


0 cm 4.81v
2cm 4.74v
4cm 2.3v
6cm 790mv
8cm 560mv
10cm 460mv
12cm 410mv
14cm 400mv

Para los LEDs se debe agregar una resistencia de limitacin de corriente en serie:

Rled=VLed/ILed=5v/20mA=250Ohms.

Se utilizarn valores de resistencia adecuados al comercial de 330Ohms.

La resolucin de este ADC en especfico no la puedo dar en trminos de voltaje,


debido a que los cambios en el sensor son primero muy drsticos y despus casi
nulos.

Aunque: Res=2n = 23 = 8

Y se puede decir que el cambio es de 2cm/LSB.

Para el arreglo resistivo, se propone una corriente de entrada para los operacionales
de 100uA

A 0cm R9= (Vcc-Vsensor)/Ipropuesta= (5v-4.81v)/100uA= 2,6KOhms y se utilizarn


resistencias en serie de (10, 390 y 2.2k)Ohms

A 2cm R8= (Vsensor-1-Vsensor)/Ipropuesta =(4.81v-4.74v)/100uA=700 Ohms, con un


arreglo de 10, 10 y 680 Ohms en serie.

A 4cm R7= (Vsensor-1-Vsensor)/Ipropuesta =(4.74v-2.3v)/100uA=24.4K Ohms, con


resistencias de (220, 2.2K y 22K)Ohms en serie.

A 6cm R6= (Vsensor-1-Vsensor)/Ipropuesta =(2.3v-790mv)/100uA=15.1KOhms, con


arreglo de 15K y 100 Ohms en serie.

A 8cm R5= (Vsensor-1-Vsensor)/Ipropuesta =(790mv-560mv)/100uA=2.3KOhms, con


2.2K y 100 Ohms en serie.

A 10cm R4= (Vsensor-1-Vsensor)/Ipropuesta =(560mv-460v)/100uA= 1KOhms.


A 12cm R3= (Vsensor-1-Vsensor)/Ipropuesta =(460mv-425mv)/100uA=350 Ohms, con
2 de 680Ohms en paralelo y una en serie de 10 Ohms.

A 14cm R2= (Vsensor-1-Vsensor)/Ipropuesta =(425mv-400mv)/100uA=250 Ohms, con


220Ohms en serie con 30Ohms.

Y para el voltaje restante R1

R1=400mv/100uA= 4Kohms, con resistencia en serie de 3.9K y 100Ohms.

PROGRAMAS DE PLC

Codificador de prioridad:
Name COD PRIORIDAD ;
PartNo 00 ;
Date 28/11/2016 ;
Revision 01 ;
Designer Engineer ;
Company CETI ;
Assembly None ;
Location ;
Device G16V8 ;

/* *************** INPUT PINS *********************/


PIN [2..9] = [i0..7] ; /*
*/

/* *************** OUTPUT PINS *********************/


PIN {13..15] = [o0..o2] ; /*
*/

FIELD input = [i0..7] ;


FIELD output = [o0..2];
TABLE input => output {
'b' 00000001 => 'b' 111 ;
b 00000011 => 'b' 110 ;
'b' 00000111 => 'b' 101 ;
'b' 00001111 => 'b' 100 ;
'b' 00011111 => 'b' 011 ;
'b' 00111111 => 'b' 010 ;
'b' 01111111 => 'b' 001 ;
'b' 11111111 => 'b' 000 ; }

Binario a 7 segmentos:
Name hexadecimal ;
PartNo 00 ;
Date 05/05/2016 ;
Revision 01 ;
Designer Engineer ;
Company CETI ;
Assembly None ;
Location ;
Device G16V8 ;

/* *************** INPUT PINS *********************/


PIN 1 = w ; /* */
PIN 2 = x ; /* */
PIN 3 = y ; /* */
PIN 4 = z ; /* */

/* *************** OUTPUT PINS *********************/


PIN 19 = a ; /* */
PIN 18 = b ; /* */
PIN 17 = c ; /* */
PIN 16 = d ; /* */
PIN 15 = e ; /* */
PIN 14 = f ; /* */
PIN 13 = g ; /* */

a= ( !w & x & z ) # ( w & !x & !y ) # ( !x & !z ) # ( !w & y ) # ( x & y );


b= (!w & (!( y $ z ))) # ( w & !y & z ) # ( !x & !z);
c= (!w & (!( y $ z ))) # ( x & !y & z ) # ( !w & x ) # ( w & !x);
d= ( !w & !x & !z) # ( w & !y & !z) # ( x & y & !z ) # ( x & !y & z) # ( !x & y &
z);
e= ( !w & !x & !y) # ( !x & !z) # ( w & y ) # ( y & !z) # ( w & x);
f= ( x & y & !z) # ( w & !x) # ( w & y) # ( !w & !y );
g= w # ( y & !z ) # ( x & !y)# ( !w & !x & y);

DIAGRAMA DE CONEXIONES
ACTIVIDADES DENTRO DEL LABORATORIO:

Desarrollo prctico

Mediciones etapa uno:

Cdigo salida (operacionales) Medicin en cm


00000001 17
00000011 15.5
00000111 10
00001111 8
00011111 6
00111111 5.7
01111111 4
11111111 3

Mediciones etapa dos:

Cdigo salida binario (codificador) Medicin en cm


111 17
110 15.5
101 10
100 8
011 6
010 5.7
001 4
000 3

Mediciones etapa tres:

Visualizacin en display Medicin en Valor terico


(multiplicar por dos) cm estimado en
cm
7 17 14
6 15.5 12
5 10 10
4 8 8
3 6 6
2 5.7 4
1 4 2
0 3 0
Firmas de cada etapa:
ANLISIS Y METACOGNICIN

Tabla comparativa

Resultado terico (Calculado) cm Resultado prctico (Medido) cm


14 17
12 15.5
10 10
8 8
6 6
4 5.7
2 4
0 3

Observaciones y conclusiones

Esta prctica fue muy laboriosa, ya que tuve que hacer mediciones y cambios de
clculos debido a que el sensor que eleg siendo ptico, fue muy sensible a la
incidencia de luz ambiental. Se tuvo que aislar la luz con una caja de cartn pero aun
as hubo cambios en el voltaje de salida y por lo tanto, cambios en la distancia, hubo
cuatro mediciones que fueron totalmente lo que se esperaba, para ello utilic
resistencias de precisin, debido a que el voltaje del sensor no fue cambiando
proporcionalmente, no pude obtener la resolucin de la segunda manera que vimos en
clase, pero s puedo darla en trminos de distancia donde la resolucin es 2cm por
cada LSB.

Tambin logr aplicar conocimientos de otras materias para la realizacin del ADC,
sobre todo Sistemas digitales Uno, ya que en esa materia vimos la programacin de un
PLD, etc.

También podría gustarte