Está en la página 1de 34

53

Captulo 4
Diseo de filtros digitales1
Disear un filtro consiste en encontrar su funcin de transferencia (realizable y estable)
para su posterior realizacin mediante una estructura adecuada.
En la mayora de las aplicaciones, el objetivo que se pretende lograr con el diseo de un
filtro digital, es desarrollar un sistema discreto cuya respuesta temporal y/o respuesta en
frecuencia, sea una aproximacin a una determinada especificacin del tipo de respuesta
deseada para el filtro.
4.1 Especificaciones de filtros digitales.
Cuando se disea un filtro siempre existir un compromiso entre su respuesta temporal y
su respuesta en frecuencia, como se muestra en la Figura 4.1, para el caso de un filtro pasa
bajo ideal. Dependiendo de la aplicacin, en el proceso de diseo se le dar ms
importancia al comportamiento del filtro en el dominio del tiempo o en el dominio de la
frecuencia, es decir, el objetivo de aproximar la respuesta del filtro a una cierta
especificacin de respuesta, depender de dicha aplicacin.
En esta seccin y en las siguientes, se presenta el proceso de diseo de filtros digitales,
atendiendo a su comportamiento en el dominio de la frecuencia como objetivo principal de
diseo.
1

El contenido de este captulo se bas en:


Universidad de Antioquia, Apuntes del curso Tratamiento digital de Seales

54
En el dominio de la frecuencia, las especificaciones que determinan el objetivo de diseo
de los filtros son bsicamente de dos tipos: el comportamiento de la respuesta en
frecuencia del filtro en la banda de paso (especificaciones en la banda de paso), y el
comportamiento de la respuesta en frecuencia del filtro en la banda de rechazo
(especificaciones en la banda de rechazo).
Las especificaciones en las bandas de paso y de rechazo se dan con ciertas tolerancias. La
banda de transicin permite que la magnitud disminuya del valor de la banda de paso al
valor de la banda de rechazo. Por ejemplo, la respuesta en magnitud normalizada G (e j )

para un filtro pasa-bajo se muestra en la Figura 4.2.

Figura 4.1. Filtro pasa-bajo ideal. Representacin del filtro en el dominio de la frecuencia (a), y en
el dominio del tiempo (b). Respuestas en frecuencia ideal (magnitud) (c), y su correspondiente
respuesta transitoria (d) a una entrada impulso. Respuesta en frecuencia poco selectiva (magnitud)
(e), y su correspondiente respuesta transitoria ideal (f) a una entrada impulso.

Figura 4.2. Grfica de la respuesta en magnitud normalizada para un filtro pasa-bajo (escala lineal)

55
A partir de la grfica de la respuesta en magnitud del filtro pasa-bajo se definen los
siguientes trminos:
Banda de paso: 0 p
Banda de transicin: p < < s
Banda de rechazo: s

p = frecuencia lmite de la banda de paso.


s = frecuencia lmite de la banda de rechazo.
p = valor pico del rizo permitido en la banda de paso.
s = valor pico del rizo permitido en la banda de rechazo.
En la banda de paso, definida por 0 p , se requiere que la magnitud se aproxime a la
unidad con un error de p , es decir:
1 p G( e j ) 1 + p

(4.1)

En la banda de rechazo, definida por s , se requiere que la magnitud se aproxime


a cero con un error de s , es decir:
G( e j ) s

para s

(4.2)

Puesto que G( e j ) es peridica y la magnitud G( e j ) de un filtro de coeficientes reales


es una funcin par, las especificaciones se definen solamente para el intervalo de
frecuencia 0 .
Para cubrir un rango dinmico ms amplio en la grfica de la respuesta en magnitud del
filtro que se va a disear, generalmente el eje de las ordenadas, acotado linealmente en la
Figura 4.2, se transforma en un eje con acotamiento logartmico mediante la funcin
20 log10 , como se muestra en la Figura 4.3.
Cuando se utilizan dBs para describir las especificaciones de la respuesta en magnitud del
filtro, se pueden utilizar las siguientes relaciones, las cuales se muestran en la Figura 4.3:
Funcin de prdida:
( ) = 20 log10 G( e j )

[dB]

(4.3)

[dB]

(4.4)

Valor pico en dB del rizo en la banda de paso:

p = 20 log10 (1 p )

56
Atenuacin mnima en dB en la banda de rechazo:

s = 20 log10 ( s )

[dB]

(4.5)

Figura 4.3. Grfica de la respuesta en magnitud normalizada para un filtro pasa-bajo (escala
logartmica)

Alternativamente, las especificaciones de diseo de un filtro digital se pueden dar en


funcin de la respuesta en magnitud (con escala lineal), mediante los parmetros mostrados
en la Figura 4.4.

Figura 4.4. Grfica alternativa de la respuesta en magnitud normalizada para un filtro pasa-bajo
(escala lineal)

En este caso, se supone que el valor mximo de la respuesta en frecuencia de la magnitud


es igual a 1, y la mxima desviacin de dicha respuesta en magnitud en la banda de paso
(denotada por 1 / 1 + 2 ), est dado por el valor mnimo de sta en la banda de paso, como
se muestra en la Figura 4.4. El valor mximo permitido de la magnitud en la banda de
rechazo se denota por 1 / A .

57
Nuevamente, cuando se utilizan dBs para describir estas especificaciones alternas de la
respuesta en magnitud del filtro, se pueden utilizar las siguientes relaciones, las cuales se
muestran en la Figura 4.5:
Valor mximo normalizado de la ganancia
0

[dB ]

(4.6)

Atenuacin mxima en la banda de paso:

2
1+

[dB]

max = 20 log10 1 + 2

[dB ]

max = 20 log10

(4.7)

o bien:

max = 20 log10 (1 2 p ) 2 p

[dB ]

para p << 1

(4.8)

Atenuacin mnima en dB de la banda de rechazo:

s = 20 log10 (1 / A)

[dB]

(4.9)

Figura 4.5. Grfica alternativa de la respuesta en magnitud normalizada para un filtro pasa-bajo
(escala logartmica)

En la mayora de las aplicaciones, las frecuencias de paso f p y de rechazo f s se


especifican en Hz, junto con la frecuencia de muestreo del filtro digital. Puesto que las
tcnicas de diseo de filtros usan las frecuencias angulares p y s , es necesario
normalizar las frecuencias crticas antes de aplicar los algoritmos de diseo. Para una
frecuencia de muestreo FT en Hz y para frecuencias de paso y de rechazo f p y f s en Hz,
respectivamente, las frecuencias angulares normalizadas en radianes estn dadas por:

58

p =

s =

FT

2f p
FT

= 2f pT

s 2f s
=
= 2f sT
FT
FT

(4.10)

(4.11)

donde T es el perodo de muestreo, es decir, T = 1 / FT .


4.2 Filtros IIR y FIR

Los filtros IIR (Infinite Impulse Response o Respuesta al Impulso Infinito) y los filtros FIR
(Finite Impulse Response o Respuesta al Impulso Finito) constituyen las dos grandes
clasificaciones de los sistemas discretos en general, los cuales requieren estudiarse por
separado debido a sus caractersticas particulares y a los mtodos de anlisis y diseo que
son propios de cada uno de ellos. En esta seccin se presentan brevemente y en forma
comparativa, algunos de los conceptos ms importantes relacionados con dichos filtros, los
cuales pueden servir como un primer paso en la seleccin y diseo de stos de acuerdo a la
aplicacin especfica.
4.2.1 Seleccin de filtros IIR y FIR

En el dominio de la frecuencia, si la linealidad de la fase es el factor ms importante en el


comportamiento del filtro que se va a disear, un filtro FIR es la opcin adecuada, que
adems tiene la ventaja de ser estable con coeficientes cuantificados. De no ser as,
conviene usar un filtro IIR, ya que en la mayora de los casos el orden del filtro ser menor
que el del FIR equivalente.
En la Tabla 4.1 se muestra un resumen de los criterios de seleccin para los filtros IIR y
FIR.
Tabla 4.1. Criterios de seleccin para filtros IIR y FIR

4.2.2 Mtodos de diseo

Los mtodos de diseo de los filtros IIR y de los filtros FIR son diferentes debido a las
caractersticas de cada uno de ellos. A continuacin se presenta una descripcin general de
dichos mtodos para cada tipo de filtro.

59
4.2.2.1 Mtodos de diseo de filtros IIR.

Para filtros IIR, el mtodo de diseo ms usado se basa en el diseo de los filtros
analgicos. Las especificaciones del filtro digital se convierten a especificaciones de un
filtro analgico pasa-bajo prototipo, se determina la funcin de transferencia del filtro
analgico que satisface las especificaciones, y finalmente se transforma a la funcin de
transferencia del filtro digital.
Para la transformacin del filtro analgico en el filtro digital deseado, se propone un
mtodo basado en el mapeo entre los dominios s de la Transformada de Laplace y z de la
Transformada Z, de tal manera que se mantengan las propiedades fundamentales de la
respuesta en frecuencia, es decir, la funcin de mapeo debe ser tal que:
(1) El eje imaginario ( j ) en el plano s se mapea al crculo unitario ( e j ) del
plano z.
(2) Una funcin de transferencia analgica estable, se transforma en una funcin de
transferencia digital estable.
Las transformaciones ms comunes entre los filtros analgicos y digitales son:
(a) La invarianza al impulso.
(b) La transformacin bilineal.
4.2.2.2 Mtodos de diseo de filtros FIR.

El diseo de filtros FIR se basa en una aproximacin directa de la respuesta en magnitud


especificada en el dominio de la frecuencia, a travs de lograr una determinada respuesta al
impulso unitario en el dominio temporal. Entre los mtodos de diseo se cuentan el de
ventanas y el de muestreo en frecuencia. Otros mtodos se basan en tcnicas iterativas de
optimizacin para minimizar el error entre la respuesta en frecuencia deseada y la del filtro
generado por computadora.
En la Tabla 4.2 se muestra un resumen de los mtodos de diseo presentados para filtros
IIR y FIR.
Tabla 4.2. Mtodos de diseo para filtros IIR y FIR

Ms adelante se presentan, de manera ms detallada, el mtodo de la transformacin


bilineal para el diseo de filtros IIR y el mtodo de ventanas para el diseo de filtros FIR.

60
4.2.3 Estimacin del orden de filtros IIR

Para el diseo de un filtro IIR pasa-bajo G( z ) basado en un filtro analgico pasa-bajo, el


orden del filtro digital G( z ) depende del orden del filtro analgico H a ( s ) en que se basa.
Al transformar H a ( s ) a G( z ) se obtiene el orden de G( z ) . El orden de H a ( s ) depende
de las especificaciones del filtro y del tipo de respuesta del filtro deseado, que puede ser
Butterworth, Chebyshev, Bessel, Elptico, etc.
4.2.4 Estimacin del orden de filtros FIR

Para el diseo de un filtro FIR existen varias frmulas para estimar el valor mnimo de la
longitud (orden) del filtro N a partir de las especificaciones p , s , p y s . Una
frmula aproximada es la frmula de J. F. Kaiser, dada por la siguiente expresin:
N

20 log10

p s 13

(4.12)

14.6(s p ) / 2

de donde se observa que N es inversamente proporcional al ancho de la banda de


transicin. Existen otras frmulas que tratan de ser ms precisas. Si el filtro diseado con
el valor de N estimado no satisface las especificaciones, hay que aumentarlo hasta que se
satisfagan.
4.2.5 Escalamiento de la funcin de transferencia digital

Despus de que el filtro digital FIR o IIR ha sido diseado, es necesario escalar la
magnitud de la funcin de transferencia G ( z ) para que pueda llevarse a la prctica. Esto se
logra multiplicando la funcin de transferencia por una constante de escala K , tal que la
magnitud mxima de la funcin de transferencia escalada G1 ( z ) = KG ( z ) en la banda de
paso sea igual a la unidad, es decir, la funcin de transferencia escalada tiene una ganancia
mxima de 0 dB.
En el caso de una funcin de transferencia de un filtro pasa-bajo, la constante K se ajusta
al valor K = 1 / G LP (1) , lo que implica una ganancia de 0 dB para = 0 . Para una funcin
de transferencia correspondiente a un filtro pasa-alto, K = 1 / G HP ( 1) , que da 0 dB en

( )

= . Para una funcin de transferencia de un filtro pasa-banda, K = 1 / GPB e jc , donde

c es la frecuencia central. Y para una funcin de transferencia de un filtro de rechazo de


banda, K tpicamente se escoge como el recproco de max[G RB ( 1),G RB (1)] .
4.3 Diseo de filtros IIR

Los dos mtodos de diseo de los filtros IIR presentados en la seccin 4.2.2, difieren en la
funcin de mapeo que se realiza entre los dominios de s y z. A continuacin se mencionan

61
los criterios de diseo de ambos mtodos, as como la funcin de mapeo que los
caracteriza.

Mtodo de Invarianza al Impulso para el diseo de filtros IIR.

El objetivo de este mtodo es desarrollar una funcin de transferencia IIR cuya respuesta al
impulso sea exactamente igual a la versin muestreada uniformemente de la respuesta al
impulso de la funcin de transferencia analgica prototipo. La funcin de mapeo entre los
dominios de s y z es:
z = e sT

(4.13)

donde T es el perodo de muestreo. Esta funcin de mapeo no es uno a uno y puede


producir suplantamiento ("aliasing"), por lo que no se estudiar.

Mtodo de la Transformacin Bilineal para el diseo de filtros IIR.

En este mtodo se trata de obtener una funcin de transferencia IIR cuya respuesta en
frecuencia sea una aproximacin a la respuesta en frecuencia de la funcin de transferencia
analgica prototipo. La funcin de mapeo entre los dominios de s y z es:
s=

2 1 z 1

T 1 + z 1

(4.14)

donde T es el perodo de muestreo. Esta funcin de transformacin s produce un mapeo


uno a uno entre los dominios de s y z.
4.3.1 Mtodo de la Transformacin Bilineal para el diseo de filtros IIR

El mtodo de la Transformacin Bilineal, al producir un mapeo de un punto en el plano s a


un solo punto en el plano z, y viceversa, permite que la funcin de transferencia del filtro
digital IIR resultante, represente una buena aproximacin al filtro analgico prototipo.
La transformacin del plano s al plano z est dada por la expresin definida en (4.14). La
relacin entre la funcin de transferencia digital G ( z ) y la funcin de transferencia
analgica prototipo H (s ) est dada por:
G ( z ) = H a (s )

2 1 z 1
s =
T 1+ z 1

(4.15)

El procedimiento de diseo del filtro digital IIR es el siguiente:


1. Primero se aplica la Transformacin Bilineal inversa a las especificaciones del filtro
digital para obtener las especificaciones del filtro analgico prototipo H a (s ) .
2. H a (s ) se disea para satisfacer las especificaciones del filtro analgico obtenidas en el
punto anterior.

62
3. Se aplica la Transformacin Bilineal de la ecuacin (4.15) para obtener G ( z ) a partir de
H a (s ) .
Puesto que T no influye en la obtencin de G ( z ) , se usar T = 2 para simplificar el
procedimiento de diseo y trabajar, de esta manera, con una funcin de Transformacin
Bilineal normalizada.
La Transformacin Bilineal inversa para T = 2 est dada por:
z=

1+ s
1 s

(4.16)

Obsrvese qu pasa para s = j 0 , en la expresin siguiente:


z=

1 + j 0
1 j 0

(4.17)

tiene magnitud igual a la unidad, lo cual implica que un punto en el eje imaginario en el
plano s se mapea en un punto del crculo unitario en el plano z. En el caso general, para
s = 0 + j 0 , resulta:
z=

1 + ( 0 + j 0 ) (1 + 0 ) + j 0
=
1 ( 0 + j 0 ) (1 0 ) j 0

(4.18)

Por lo tanto:
2

z =

(1 + 0 )2 + ( 0 )2
(1 0 )2 + ( 0 )2

(4.19)

lo que implica que un punto en la mitad izquierda del plano s con 0 < 0 , se mapea a un
punto dentro del crculo unitario en el plano z, ya que |z| < 1. De igual manera, un punto en
la mitad derecha del plano s con 0 > 0 , se mapea a un punto fuera del crculo unitario en
el plano z, ya que |z| > 1. Cualquier punto en el plano s se mapea a un solo punto en el
plano z y viceversa. Este mapeo se muestra en la Figura 4.6.

63

Figura 4.6 Mapeo de la transformacin bilineal: (a) eje imaginario del plano s al crculo unitario
del plano z; (b) semiplano izquierdo del plano s al interior del crculo unitario en el plano z; (c)
semiplano derecho del plano s al exterior del crculo unitario en el plano z

La relacin exacta entre el eje imaginario en el plano s y el crculo unitario en el plano z


est dado por:
j =

2 1 e j
T 1 + e j

(4.20)

o
2
tan
T
2

(4.21)

T
= 2 tan 1

(4.22)

La grfica de (4.21) para T = 2, se muestra en la Figura 4.7.

64

Figura 4.7. Mapeo de vs a travs de la Transformacin Bilineal (T = 2)

De la Figura 4.7 se puede ver que el mapeo entre y no es lineal. Esto introduce una
distorsin en el eje de frecuencia llamada deformacin de frecuencia (warping), por lo que
para desarrollar un filtro digital que cumpla con una respuesta en magnitud especfica,
primero hay que predeformar (prewrap) las frecuencias crticas ( p y s ) para encontrar
las equivalentes analgicas ( p y s ) usando la relacin de la ecuacin (4.21), disear el

prototipo analgico H a (s ) usando las frecuencias crticas predeformadas, y luego


transformar H a (s ) usando la Transformacin Bilineal para obtener la funcin de
transferencia del filtro digital G ( z ) .

Hay que tomar en cuenta que la Transformacin Bilineal conserva la respuesta en


magnitud del filtro analgico solamente si la especificacin requiere magnitud constante
por partes (piecewise). Sin embargo, la respuesta en fase del filtro analgico no se conserva
despus de la deformacin.
4.3.2 Conversin de filtros digitales pasa-bajo a otros tipos de filtros

Para el diseo de filtros digitales IIR, se utilizan siempre como prototipos de diseo, filtros
analgicos pasa-bajo normalizados, por lo que el filtro digital resultante tambin es pasabajo. Esto es as por la facilidad que representa el diseo de dichos filtros digitales a partir

65
de filtros analgicos pasa-bajo prototipos, para los cuales existen funciones de
transferencia normalizadas, ecuaciones y tablas de coordenadas de polos y ceros, y familias
de curvas normalizadas para cada tipo de respuesta (Butterworth, Chebyshev, Bessel,
Gaussian, elpticos, etc).
Al disear un filtro es necesario, por lo tanto, modificar las caractersticas de ste para
satisfacer las especificaciones iniciales de diseo. Estas modificaciones se realizan en dos
fases: transformar el filtro pasa-bajo diseado en el tipo de filtro requerido, y
desnormalizar los valores originales del diseo de dicho filtro pasa-bajo.
Las transformaciones que se pueden realizar del filtro pasa-bajo prototipo son las
siguientes:
1. pasa-bajo a pasa-bajo.
2. pasa-bajo a pasa-alto.
3. pasa-bajo a pasa-banda.
4. pasa-bajo a rechaza banda.
4.4 Diseo de filtros FIR

Para el diseo de filtros FIR existen varios mtodos, como ya se mencion en la seccin
4.2.2, los cuales son el mtodo de ventanas, el de muestreo en frecuencia y otros mtodos
que se basan en tcnicas iterativas de optimizacin para minimizar el error entre la
respuesta en frecuencia deseada y la del filtro generado por computadora
En esta seccin se presenta solamente el mtodo de ventanas, en sus dos versiones:
ventanas fijas y ventanas ajustables.
4.4.1 Mtodo de ventanas para el diseo de filtros FIR

El mtodo de diseo de filtros FIR ms sencillo es el mtodo de ventanas. Este mtodo se


basa en obtener la respuesta en frecuencia del filtro H e j como una aproximacin a la
respuesta en frecuencia ideal deseada H d e j , mediante una determinada respuesta al
impulso unitario h(n ) en el dominio temporal, a travs de los pasos mostrados
grficamente en la Figura 4.8.

( )

( )

66

Figura 4.8. Ejemplo grfico para mostrar el diseo de un filtro pasa-bajo FIR mediante el mtodo
de ventanas.

A continuacin se describe con ms detalle cada uno de los pasos mostrados en la figura
anterior, para el diseo de filtros FIR mediante el mtodo de ventanas:
1. Este mtodo comienza con una respuesta en frecuencia ideal deseada que puede
representarse por:

( ) h (n)e

H d e j =

n =

jwn

(4.23)

donde hd (n ) es la secuencia correspondiente a la respuesta al impulso de dicha respuesta


en frecuencia ideal.
Por ejemplo, para un filtro ideal pasa-bajo como el mostrado en la Figura 4.9, su respuesta
en frecuencia de la magnitud H d e j est dada por la siguiente expresin:

( )

1,
H d e j =
0 ,

( )

c
c <

(4.24)

67
donde c es la frecuencia de corte del filtro.

( ) para un filtro pasa bajo ideal, y su

Figura 4.9. Respuesta en frecuencia de la magnitud H d e j

respuesta impulsional hd (n ) respectiva.

2. La respuesta al impulso hd (n ) , asociada a la respuesta en frecuencia ideal deseada

( )

H d e j , es una secuencia infinita que tambin puede representarse en funcin de la

( )

respuesta en frecuencia ideal H d e j como:


hd (n ) =

( )

1
H d e j d

(4.25)

( )

Por ejemplo, para el mismo filtro ideal pasa-bajo con la respuesta de magnitud H d e j

mostrada en la Figura 4.10, su respuesta al impulso ideal hd (n ) est dada por la siguiente
expresin:

hd (n ) = sin[(n Q ) ]
c
c

(n Q ) c

n=Q
(4.26)

nQ

donde c es la frecuencia de corte del filtro y Q es la posicin relativa de la muestra


central de la secuencia hd (n ) , como se puede observar en la Figura 4.10.

Figura 4.10. Respuesta impulsional ideal hd (n ) para un filtro pasa-bajo ideal, y su respectiva

( )

respuesta en magnitud H d e j .

68
3. Como la realizacin de un filtro FIR se basa en una secuencia finita de la respuesta al
impulso, se propone una aproximacin FIR a la respuesta al impulso ideal hd (n ) , la cual se
llamar h(n ) , y se obtendr mediante una ventana cuya funcin ser la de truncar la
secuencia infinita ideal hd (n ) . La expresin siguiente representa este proceso:
h(n ) = h d (n) (n)

(4.27)

donde (n ) es la secuencia de la ventana de duracin finita.


Los criterios para seleccionar la ventana (n ) son los siguientes:
(a) La secuencia de la ventana (n ) debe tener P = (2Q + 1) muestras que sean simtricas
con respecto a la muestra central (Q ) . Esto significa que la funcin de retardo de grupo
correspondiente a dicha ventana, ser una constante (= Q) para < .
(b) La secuencia de la ventana (n ) debe ser tan corta como sea posible en duracin, de tal
manera que el nmero de clculos requeridos sea mnimo.
(c) El espectro de la ventana WR (e j ) debe ser tan angosta como sea posible, para que la
respuesta en frecuencia real H (e j ) se asemeje lo ms posible a la respuesta en
frecuencia

ideal

deseada

H d ( e j ) ,

ya

que

H ( e j ) = H d ( e j ) W R ( e j )

H (e j ) = H d (e j ) solo cuando WR (e j ) es un impulso unitario.


Observacin: Los criterios (b) y (c) estn en conflicto, ya que las ventanas cuyas

secuencias son de duracin ms corta producen espectros W (e j ) que son ms anchos y


viceversa, como se muestra en la Figura 4.11 para una ventana rectangular con diferentes
duraciones.

69

Figura 4.11. Ventanas rectangulares de diferente duracin y sus espectros de magnitud respectivos.

4. Si se considera el caso en que la ventana (n) consta de (2Q + 1) muestras, es decir,


0 n 2Q , entonces la secuencia truncada h(n) tendr tambin (2Q + 1) muestras.
Puesto que la multiplicacin en el dominio del tiempo discreto es equivalente a la
convolucin en el dominio de la frecuencia, se puede llegar a la siguiente expresin:
H (e j ) =

1
H d (e j )W (e j ( ) )d
2

<

(4.28)

El proceso de convolucin en el dominio de la frecuencia y de multiplicacin en el


dominio del tiempo se ilustra en la Figura 4.12.

70

Figura 4.12. Ilustracin del proceso de convolucin en el dominio de la frecuencia y del proceso
de multiplicacin en el dominio del tiempo.

En la figura anterior se muestra, en la parte izquierda (dominio de la frecuencia), el


proceso de la convolucin de la respuesta en frecuencia ideal deseada H d (e j ) con el
espectro de la ventana rectangular WR (e j ( ) ) , generando el espectro real H (e j ) del
filtro FIR como una aproximacin a la respuesta deseada. En la parte derecha de la misma
figura (dominio del tiempo), se muestra el proceso de la multiplicacin de la respuesta
impulsional ideal hd (n) con la ventana rectangular wR (n) , generando la respuesta
impulsional h(n) .
5. En la Figura 4.13 se puede observar que la respuesta en frecuencia de la magnitud
H (e j ) presenta rizado tanto en la banda de paso como en la de rechazo. Estos rizos se
deben precisamente al empleo de la ventana rectangular wR (n) , ya que sta presenta una
transicin abrupta de uno a cero en las muestras n = 0 y n = 2Q, como puede verse en la
misma Figura 4.13, lo cual hace que la magnitud del espectro de dicha ventana
WR (e j ( ) ) presente lbulos laterales de amplitud considerable (sin importar la longitud
P de la ventana wR (n) , como puede verse en la Figura 4.11), los cuales son los causantes

71
del rizado en la magnitud de H (e j ) . En la teora de series de Fourier, este
comportamiento oscilatorio cerca de la banda de paso se conoce como el fenmeno de
Gibbs.
Para reducir este fenmeno se pueden usar otro tipo de ventanas w(n) que presenten
transiciones ms graduales de uno a cero en las muestras n = 0 y n = 2Q.

Figura 4.13. Espectro de magnitud H (e j ) de un filtro FIR pasa-bajo con rizo en las bandas de
paso y rechazo debido al empleo de una ventana rectangular R (n)

4.4.2 Mtodo de Ventanas Fijas

Existen muchos tipos de ventanas para truncar la secuencia del impulso unitario hd (n)
generado por la respuesta en frecuencia ideal deseada H d (e j ) . Algunas de ellas son ms
suaves que la ventana rectangular, lo que permite disminuir un poco el rizado que se
produce en las bandas de paso y rechazo. Las ventanas que se usan ms comnmente son
de longitud 2Q + 1, y se presentan a continuacin:
1. Rectangular:
0 n 2Q
en otro caso

1,
0,

( n) =

(4.29)

2. Hamming:

2n

2Q + 1

(n) = 0.54 + 0.46 cos

Q n Q

(4.30)

72
3. Hann (Hanning):
1
2

2n

2Q + 1

(n) = 1 + cos

Q n Q

(4.31)

4. Blackman:

2n
4n
+ 0.08 cos

2Q + 1
2Q + 1

(n) = 0.42 + 0.5 cos

Q n Q

(4.32)

En las figuras 4.14 y 4.15 se muestran cada una de las ventanas definidas anteriormente,
as como sus espectros de magnitud respectivos. Estas grficas se realizaron en MATLAB.
En las Figuras 4.14 y 4.15 se puede ver que los espectros de cada ventana se caracterizan
por tener un lbulo principal centrado en = 0 , seguido de una serie de lbulos laterales
con amplitudes descendentes. Dos parmetros que ayudan a predecir el desempeo de la
ventana en el diseo de un filtro FIR es el ancho del lbulo principal y el nivel relativo del
lbulo lateral.
El ancho del lbulo principal ML es la distancia en frecuencia entre los mnimos ms
cercanos en ambos lados del lado del lbulo principal, y el nivel relativo del lbulo lateral
Asl es la diferencia en dB entre las amplitudes del lbulo lateral mayor y el lbulo
principal.

Figura 4.14 Ventanas Rectangular y Hamming y sus espectros respectivos.

73

Figura 4.15 Ventanas Hanning y Blackman y sus espectros respectivos.

El espectro de magnitud W (e j ) de la ventana rectangular tiene el lbulo principal ms


angosto, por lo que, para una longitud dada de esta ventana w(n) , debera generar las
transiciones ms abruptas de H ( e j ) en la discontinuidad de H d (e j ) , es decir,
H (e j ) debera aproximarse ms a H d (e j ) en las discontinuidades, generando filtros
ms selectivos. Sin embargo, el primer lbulo lateral est a solo 13 dB por debajo del pico
principal, dando lugar a rizos de H (e j ) de tamao considerable alrededor de las
discontinuidades de H d (e j ) , como puede observarse en la Figura 4.13.
Las otras ventanas caen suavemente a cero, y se puede observar que los lbulos laterales se
reducen significativamente; sin embargo, el precio que se paga es un lbulo principal ms
ancho, que ocasiona transiciones ms anchas en las discontinuidades de H d (e j ) ,
haciendo que los filtros FIR resultantes sean menos selectivos.

74
4.4.3 Mtodo de Ventanas Ajustables: Ventana de Kaiser

Los mtodos de diseo de filtros FIR mediante ventanas fijas son sencillos, pero no
proporcionan un buen control de las especificaciones de la respuesta en frecuencia, como
son la frecuencia de corte, la magnitud del rizo en la banda de paso y la atenuacin mnima
en la banda de rechazo. Otro tipo de ventanas tales como la de Kaiser y la de DolphChebyshev son ms flexibles, en el sentido de que se pueden disear para cumplir con
algunas de las especificaciones de respuesta en frecuencias mencionadas anteriormente. La
ventana ajustable ms usada es la de Kaiser, cuya expresin es la siguiente:
I o 1 (n / Q) 2

wk (n) =
Io ( )

Q n Q

(4.33)

donde es un parmetro ajustable, e I o (u ) es la funcin de Bessel modificada de orden


cero, que puede expresarse como una serie de potencias:

(u / 2) r
I o (u ) = 1 +

r!

(4.34)

Como puede verse, la serie anterior es positiva para todos los valores reales de u . En la
prctica es suficiente manejar veinte trminos para obtener una buena aproximacin de
I o (u ) .
El parmetro controla la atenuacin mnima s , es decir, el rizo s en la banda de
rechazo. Existen frmulas para estimar y la longitud del filtro N, a partir de las
especificaciones de s y del ancho de la banda de transicin f :
0.1102( s 8.7),

= 0.5842( s 21) 0.4 + 0.07886( s 21),

0,

s > 50
para 21 s 50
para
s < 21
parq

s 7.95
para s > 21
14.36f + 1,
N
0.922

para s 21
+ 1,
f

(4.35)

(4.36)

Conviene hacer notar que la ventana de Kaiser no proporciona control independiente sobre
el rizo pasa-banda p . Variando la longitud del filtro 2Q +1 y se puede definir un
compromiso entre la amplitud de los lbulos laterales y el ancho del lbulo principal.
En la Figura 4.16 se muestran las ventana de Kaiser para = 0, 3 y 6 , y una longitud del
filtro N=20, as como los espectros de magnitud correspondientes a cada una de ellas. La
Figura 4.17 presenta, en forma comparativa, los espectros de magnitud de las ventanas

75
citadas anteriormente. En la Figura 4.18 se muestran las ventanas de Kaiser para = 6 y
longitudes de N = 10, 20 y 40, y en la Figura 4.19 se presentan, en forma comparativa, los
espectros de magnitud de dichas ventanas.

Figura 4.16 Ventanas de Kaiser para = 0, 3 y 6 , y una longitud del filtro de N = 20 , y los
espectros de magnitud correspondientes.

76

Figura 4.17 Grfica comparativa de los espectros de magnitud de la ventana Kaiser para

= 0; 3 y 6

Figura 4.18 Ventanas de Kaiser para = 6 y longitudes de N = 10, 20 y 40 , y sus espectros de


magnitud respectivos.

77

Figura 4.19 Grfica comparativa de los espectros de magnitud de la ventana Kaiser para = 6 y
longitudes de N = 10, 20 y 40

El procedimiento de diseo de filtros FIR usando la ventana Kaiser se muestra en la Figura


4.20.
A continuacin se describen cada uno de los pasos mostrados en la Figura 4.20 para el
diseo de filtros FIR usando la ventana Kaiser:
1. Como primer paso hay que establecer las especificaciones de la respuesta en frecuencia
deseada, definiendo los valores de la frecuencia lmite de la banda de paso p , la
frecuencia lmite de la banda de rechazo s y la atenuacin mnima en la banda de
rechazo s , como se muestra en la Figura 4.21.
2. La frecuencia de corte del filtro pasa-bajo, se encuentra basndose en la simetra de la
aproximacin de la respuesta en frecuencia de la magnitud en la banda de transicin,
calculndose mediante la media aritmtica de las frecuencias p y s , es decir:

c =

p + s
2

(4.37)

78

Figura 4.20 Procedimiento de diseo de filtros FIR usando la ventana Kaiser

79

Figura 4.21 Especificaciones para el diseo de un filtro digital pasa-bajo

El ancho de la banda de transicin f se calcula como:


f =

s p
2

(4.38)

3. Para obtener los parmetros y Q para la ventana de Kaiser wk (n) , se procede de la


siguiente manera:
- Para obtener el valor de Q, se determina la longitud del filtro N mediante (4.36) a partir
de los valores de s y f , seleccionando el valor entero impar mayor al que resulta de la
estimacin de N. Con este valor de N se calcula Q a travs de la siguiente expresin:

Q=

N 1
2

(4.39)

- se calcula de la expresin definida en (4.35) usando el valor especificado de s .


Los valores de los coeficientes de la ventana Kaiser wk (n) , se pueden obtener con
MATLAB mediante el comando w = kaiser ( N , ) .
4. Finalmente, a partir de la respuesta al impulso del filtro pasa-bajo ideal definida por:
hLP (n) = [sin( c n)]/ n , -n y de la ventana Kaiser wk (n) , se obtienen los
coeficientes de la respuesta al impulso del filtro FIR, por el mtodo de las ventanas, de la
siguiente manera:
ht (n) =

sin( c n)
.wk (n),
n

Q n Q

(4.40)

80
Se hace notar que el filtro FIR resultante no es causal, pero se puede convertir en causal
retardando los coeficientes del filtro Q muestras. Puesto que Q es par, el filtro retardado
ser un filtro FIR de fase lineal Tipo I.
4.5 Diseo de Filtro Digital para el Radar de la Universidad de Piura

La seal que enva el radar hacia la atmsfera tiene una frecuencia de 49.92 MHz, pero
cuando regresa a la antena la frecuencia de la seal es de (49.92 f ) MHz. Esta
variacin en la frecuencia ( f ) es la que nos indica como varan las velocidades de los
vientos en la atmsfera, y es slo esa variacin la que se analiza mediante las frmulas del
efecto Doppler.
La seal que regresa a la antena del radar se compara con una seal de frecuencia constante
e igual a 49.92 MHz. Como resultado de esta comparacin se obtiene una seal cuya
frecuencia es igual a la variacin f . Tericamente esta variacin de frecuencia f nos
debera indicar solamente cmo varan las velocidades de los vientos en la atmsfera, pero
debido a que existen ruidos que se mezclan con la seal que regresa a la antena del radar,
la nueva seal obtenida de la comparacin entre la seal enviada a la atmsfera y la seal
recibida por la antena debe ser filtrada para eliminar los posibles ruidos existentes.
Entonces para el diseo del filtro se debe considerar un filtro pasa bajo cuya frecuencia de
corte coincida con el valor mximo de ( f ) que se desea analizar. El radar realiza
mediciones de vientos de hasta 300.3 m/s para la ionsfera, lo que equivaldra en
frecuencia a 100 Hz, el cual es el mximo valor de ( f ) . Entonces la frecuencia de corte
quedara definida a ese valor.
Adems se debe tener en cuenta que todas las seales que se encuentren ms all de la
frecuencia de corte son consideradas como ruido y deben ser atenuadas o eliminadas ya
que no constituyen informacin relevante para el anlisis de las velocidades de los vientos
de altura.
Para el diseo del filtro digital se hizo uso del software Matlab, especficamente de la
herramienta fdatool2 (Filter Design & Analysis Tool). Con ella el diseo del filtro es muy
sencillo, y no debemos realizar ningn clculo engorroso.
4.5.1 Especificaciones de diseo

Teniendo en cuenta las caractersticas principales de los mtodos conocidos, lo primero es


seleccionar el mtodo de diseo que se va a emplear en el filtro digital. Por esto se
utilizar el mtodo de diseo IIR, ya que el orden del filtro resultante ser mucho menor
que un filtro equivalente diseado por el mtodo de FIR. Adems utilizaremos la
aproximacin de Chebyshev tipo I, por ser una de las ms simples.
En cuanto al orden del filtro, dejaremos que Matlab calcule automticamente el mnimo
orden, pero sin dejar de cumplir con las especificaciones dadas.
2

Para ms informacin sobre esta herramienta ingresar a www.mathworks.com o tipear "help fdatool" en la
ventana principal de Matlab.

81
Como ya se dijo anteriormente, el tipo de respuesta que tendr el filtro digital ser del tipo
pasa bajo, con una frecuencia de corte de aproximadamente 100 Hz.
Existen adems otras especificaciones de frecuencia y magnitud que debemos definir.
Estos parmetros se ven ms claramente en la siguiente figura:

Figura 4.22. Parmetros de frecuencia y magnitud para filtros IIR Chebyshev tipo I

donde:
Apass: Fluctuacin dentro de la banda de paso.
Astop: Atenuacin dentro de la banda de filtrado
Fpass: Frecuencia que indica el final de la banda de paso
Fstop: Frecuencia que indica el inicio de la banda de filtrado
Fs: Frecuencia de muestreo. La mxima frecuencia que atenuar el filtro ser Fs/2.
Se han considerado las siguientes especificaciones de frecuencia y magnitud para el diseo
del filtro digital:
Tabla 4.3. Especificaciones de magnitud y frecuencia para el diseo del filtro digital
Parmetro
Apass
Astop
Fpass
Fstop
Fs

Valor
1 dB
50 dB
150 Hz
200 Hz
100 MHz

El valor de Apass que se ha escogido es el menor posible (1 dB), de tal manera que no
existan muchas fluctuaciones en la banda de paso, ya que de lo contrario si elegimos un
valor mayor se podran atenuar o amplificar seales que en realidad se desea que pasen sin
ser afectadas por el filtro. El valor de la atenuacin dentro de la banda de filtrado (Astop) ha
sido elegido segn el mximo valor de ruido extrado de los reportes de las medidas de los
vientos de altura. Los valores de Fpass y Fstop han sido elegidos de tal manera que el filtro
deje pasar libremente a la seal de 100 Hz, y que adems atene al resto de seales que se

82
encuentren cerca de este valor. La frecuencia de muestreo Fs ha sido elegida en 100 MHz
para conseguir que la mxima frecuencia que atene el filtro est cercana a 50 MHz que es
la frecuencia de trabajo del radar. Ahora con todos estos parmetros se procede a disear
el filtro.
4.5.2 Clculo del filtro digital

Con todos los parmetros de diseo ya especificados, el clculo del filtro se realizar
utilizando la herramienta fdatool de Matlab. Una vez ingresados los parmetros
especificados, las caractersticas del filtro calculado son las siguientes:
Tabla 4.4. Caractersticas del filtro digital calculado
Tipo de respuesta
Mtodo de diseo
Orden del filtro
Secciones
Estructura
Estable
Fs
Fpass
Fstop
Apass
Astop

Pasa bajo
IIR - Chebyshev tipo I
9
5
Direct-Form II, Second-Order Sections
S
100 MHz
150 Hz
200 Hz
1 dB
50 dB

4.5.3 Modelo del filtro digital calculado

El filtro digital cuenta con 5 secciones de segundo orden o SOS's (del ingls Second Order
Seccions), unidas en cadena una con la otra. Debido a que el orden del filtro es 9, entonces
esto quiere decir que la ltima seccin ser tan slo de primer orden. Cada seccin se
acopla a la siguiente mediante un valor de escala tal como se muestra en la siguiente
figura:

Figura 4.23. Esquema del diagrama de bloques del filtro digital calculado

83
Los coeficientes de cada seccin se encuentran en la matrz SOS, la cual es una matrz de
orden M por 6, donde M es el nmero de secciones de segundo orden del filtro. Cada fila
de la matrz SOS contiene los coeficientes del numerador y denominador (bik y aik) de la
seccin correspondiente del filtro. La matrz SOS correspondiente calculada por Matlab se
muestra a continuacin
b11
b
12
SOS = b13

b14
b15

b21

b31

a11

a21

b22

b32

a12

a22

b23

b33

a13

a23

b24

b34

a14

a24

b25

b35

a15

a25

a31 1
a32 1

a33 = 1

a34 1
a35 1

2 1 1
2 1 1
2 1 1
2 1 1
1 0 1

0.99999
2
0.99998
2
0.99998

2
0.99997

0.99998
0

Los valores de escala se encuentran en la matrz S, la cual es un vector columna con M+1
valores de escala. Estos valores son usados entre las secciones de segundo orden del filtro
y cada valor es usado por una seccin diferente, de acuerdo al diagrama de la figura 4.23.
Es posible considerar a los valores de escala como las ganancias correspondientes a cada
una de las secciones del filtro. La matrz S calculada por Matlab, se muestra a
continuacin:
s1 2.2101 e - 9
s 1.7219 e - 9
2

s3 9.7389 e - 10
S= =

s4 3.1614 e - 10
s5 7.5082 e - 6

s6

El diagrama de bloques completo de este filtro digital se explica en el Apndice A.


4.5.4 Anlisis de la respuesta del filtro digital

Una vez que ya sido calculado el filtro digital, ahora se proceder a analizar la respuesta
del filtro. Para esto simularemos varias seales senoidales de distintas frecuencias y
evaluaremos la capacidad de filtrado del filtro digital.
La seal de entrada x( t ) estar dada por 4 ondas senoidales de 100 Hz, 1000 Hz, 1 MHz y
10 MHz; todas con amplitudes de 50.

x( t ) = 50 sen( 2 10 2 t ) + sen( 2 10 3 t ) + sen( 2 10 6 t ) + sen( 2 107 t )

(4.41)

A continuacin se muestran las grficas de la seal a la entrada y a la salida del filtro.


Ntese cmo la seal de entrada oscila debido a las componentes de alta frecuencia con las
que cuenta, y en cambio la seal ya filtrada es prcticamente igual a una onda senoidal de
100 Hz de frecuencia (con perodo de 0.01 segundos). Esto claramente es debido a la
accin del filtro, el cual se ha podido demostrar que funciona correctamente, dejando pasar

84
libremente las componentes de bajas frecuencias (de acuerdo a las especificaciones de
diseo) y filtrando las de altas frecuencias.

Seal de entrada del fitro digital


200
150
100

Amplitud

50
0
-50
-100
-150
-200

0.005

0.01

0.015

0.02

0.025 0.03
Tiempo

0.035

0.04

0.045

0.05

0.045

0.05

Figura 4.24. Seal de prueba antes de ser filtrada

Seal a la salida del filtro digital


60

40

Amplitud

20

-20

-40

-60

0.005

0.01

0.015

0.02

0.025 0.03
Tiempo

0.035

0.04

Seal 4.25. Seal de prueba despus de ser filtrada

85
4.5.5 Recomendaciones al momento de implementar el filtro digital

Existen dos maneras de implementar filtros digitales: mediante software y mediante


hardware. La implementacin mediante hardware tiene como ventaja principal la rpida
velocidad con que se ejecuta la etapa de filtrado y como principal desventaja el costo alto
que implica. En cambio para la implementacin mediante software sucede lo contrario: el
costo es menor pero el tiempo que toma en realizar la etapa de filtrado es relativamente
mayor.
Para el caso del radar no habra mayores implicancias en optar por la implementacin por
software, ya que no se requieren resultados "en tiempo real".
La velocidad con que se realice el muestreo de datos no tiene ninguna relacin con el
tiempo que tarde en realizarse el filtrado de la seal, ya que la primera depende de la
velocidad con que cuente el dispositivo que se utilice para muestrear la seal (por ejemplo
una tarjeta de adquisicin de datos) y la segunda depende de la velocidad con que ejecuten
las instrucciones del algoritmo de filtrado.
Si es que el algoritmo de filtrado se implementa en una PC, entonces la velocidad con que
se ejecute el algoritmo de filtrado depender de la cantidad de instrucciones que tenga el
algoritmo y de la velocidad del procesador de la PC que se utilice. Por tanto se recomienda
solo utilizar la cantidad de instrucciones necesarias as como tambin contar con una PC
que sea adecuada para este tipo de tarea.
Debido a que para implementar el filtro digital es necesario hacer modificaciones dentro
del software y hardware con el que cuenta actualmente el radar, no se ahondar ms en este
tema

86

También podría gustarte