Está en la página 1de 94

Curso de electrnica digital

Ing. Vctor Silva Martnez

Curso de electrnica digital

INTRODUCCIN A LA LOGICA DIGITAL Y SEGURIDAD EN SU


MANEJO
La revolucin en la electrnica
La electrnica digital ha sido una de las revoluciones tecnolgicas ms
importantes y decisivas de las ltimas dcadas. Su evolucin vertiginosa ha
cambiado el ritmo de nuestro tiempo y representa el liderazgo tecnolgico de la
vida moderna.
Los avances alcanzados en el campo de la electrnica digital han permitido el
desarrollo y la fabricacin masiva, a bajo costo, de calculadoras de bolsillo, relojes
digitales, computadoras personales, robots y toda generacin de aparatos
inteligentes de uso domestico, comercial, industrial , automotriz, medico, cientfico,
etc. (figura 1)

figura 1. Aparatos electrnicos digitales.

En gran parte todo este desarrollo ha sido posible gracias al milagro de la


microelectrnica. Esta tecnologa le ha permitido al hombre fabricar sobre
diminutas pastillas de silicio llamadas chips o circuitos integrados, sistemas
completos que contienen miles de componentes electrnicos (figura 2)
En sus comienzos la electrnica digital era una ciencia exclusiva para ingenieros y
unos pocos especialistas que la hacan misteriosa e impenetrable. Por fortuna las
cosas cambiaron y la invencin de los circuitos integrados digitales la hizo
accesible a todo el mundo.
La electrnica digital tuvo un desarrollo incipiente durante la era de los tubos de
vaci. Despus, con la invencin del transistor, se facilito su progreso y avance.
Pero, definitivamente, el gran salto se logro cuando aparecieron los circuitos
integrados y revolucionaron el panorama tecnolgico existente, relegando a los
transistores a labores secundarias.

Ing. Vctor Silva Martnez

Curso de electrnica digital


La introduccin de circuitos integrados hizo posible la miniaturizacin de los
sistemas digitales, diversific sus aplicaciones y masific la produccin de
aparatos con tecnologa digital.
Actualmente la electrnica digital esta en pleno desarrollo y los logros en este
campo son cada vez ms sorprendentes. As mismo, la tendencia de los
fabricantes es obtener circuitos integrados ms complejos, ms pequeos, con
menos consumo de energa y a un menor costo para el usuario.
Que es la electrnica digital
La electrnica digital puede definirse como la parte de la electrnica que estudia
los dispositivos, circuitos y sistemas digitales, binarios o lgicos.
A diferencia de la electrnica lineal o analgica, que trabaja con seales que
pueden adoptar una amplia gama de valores de voltaje, los voltajes en electrnica
digital estn restringidos a uno de dos valores llamados niveles lgicos alto y
bajo o estados 1 y 0.
Generalmente un nivel lgico alto o 1 corresponde a la presencia de voltaje y un
nivel lgico bajo o cero corresponde a la ausencia del mismo
Para comprender mejor el concepto del sistema digital tomemos como ejemplo un
circuito elctrico simple formado por una batera, una lmpara y un interruptor
(figura 3).

En este caso, el nivel alto o 1 lgico representa la situacin cuando se cierra el


interruptor y se enciende la lmpara (figura 3 A) . El nivel bajo o 0 lgico se
presenta cuando el interruptor esta abierto y la lmpara est apagada (figura 3 B).
3
Ing. Vctor Silva Martnez

Curso de electrnica digital


En realidad, los circuitos digitales no son mas que una combinacin de muchos
interruptores, extremadamente rpidos, que se cierran o abren en un momento
dado, formando determinados patrones de unos y ceros que se utilizan para
muchos propsitos dentro de los aparatos electrnicos.
En los circuitos digitales prcticos, los estados lgicos 1 y 0 corresponde a dos
niveles o rangos de voltajes claramente definidos. La salida de un circuito digital
asumen nicamente uno de estos dos valores en respuestas a una o mas
entradas que pueden estar indistintamente en alto o bajo.
En terminologa digital, los niveles o estados lgicos 1 y 0 se denominan bit. La
palabra bit es una contraccin de binary digit (dgito binario) . todos los sistemas
digitales electrnicos manejan informacin en forma de bits, es decir de 1 y 0.
Un bit 1 o 0 puede representar la condicin prendida o apagada de una lmpara,
el estado cerrado o abierto de un interruptor, la presencia o ausencia de un
agujero en una tarjeta perforada, una marca o un espacio en una comunicacin
telegrfica, el valor 1 o 0 de un nmero binario, etc. (figura 4).
Circuitos anlogos y circuitos digitales
Los circuitos electrnicos en general se dividen en dos grandes categoras.
Circuitos anlogos y circuitos digitales. Esta divisin se establece de acuerdo con
la forma como controlan las seales que circulan por ellos.
Los circuitos anlogos trabajan con una amplia variedad de seales que varan en
forma continua dentro de valores (figura 5 a). Los circuitos anlogos se denominan
tambin circuitos lineales.

Ing. Vctor Silva Martnez

Curso de electrnica digital


Los circuitos digitales o lgicos trabajan con seales que pueden adoptar
nicamente uno de dos valores posibles (figura 5 b). En un instante dado, las
entradas y salidas de un circuito digital estn en alto o en bajo, pero no en un valor
intermedio.
Utilizando otra vez el circuito de la figura 3 como ejemplo para aclarar esta ideas,
podemos afirmar que se trata de un sistema digital porque el interruptor solo
puede estar abierto o cerrado y la lmpara puede estar prendida o apagada.
Si en cambio reemplazamos el interruptor por un regulador de luminosidad como
se ilustra en la figura 6, este circuito deja de ser digital y se transforma en un
circuito lineal o anlogo.

Esto se debe que al girar la perilla lentamente podemos obtener una variacin
continua en la iluminacin, llevndola desde un valor mnimo hasta un valor
mximo.
Debido a su caracterstica de adoptar solamente uno de dos posibles valores, los
circuitos digitales se utilizan con xito en aplicaciones donde se requieren
precisin y confiabilidad.
En general, los circuitos digitales se caracterizan por manejar informacin en
forma de bits. Como sabemos un bit o digito binario representa el estado o
condicin (1 o 0, alto o bajo) de una seal digital.
El bit es la unidad bsica de informacin de cualquier sistema digital desde la mas
simple compuerta hasta el mas sofisticado microcomputador.

Ing. Vctor Silva Martnez

Curso de electrnica digital


Un circuito digital puede tener una o mas entradas y una o mas salidas ( figura 7).
El nivel o estado lgico de cada salida depende del estado de cada una de las
entradas y de la funcin especfica para la cual ha sido diseado el circuito.
Circuito digital

entradas

salidas
Figura 7

Tanto los circuitos anlogos como los digitales se pueden implementar en la


prctica mediante componentes discretos o en forma integrada.
Los circuitos de componentes discretos son los constituidos de transistores,
resistencias, diodos, condensadores y otros dispositivos individuales
interconectados sobre una tarjeta de circuito impreso (figura 8). En un circuito
integrado, todos los componentes se fabrican conjuntamente sobre una pastilla de
silicio o chip.
Independientemente de su construccin, discreta o integrada, la diferencia
fundamental entre un circuito anlogo y uno digital radica en la forma como cada
uno utiliza o procesa la corriente elctrica.
Mientras los circuitos anlogos bsicamente amplifican la corriente, los circuitos
digitales simplemente la conmutan entre un valor y otro. Esto le permite realizar
funciones increblemente complejas, con toda confiabilidad, muy rpidamente y sin
costos altos.
Muchos sistemas actuales son hbridos, esto es, manejan simultneamente
seales anlogas y seales digitales y deben procesarlas tanto anlogas como
digitalmente para obtener informacin de entrada y salida.
Los sistemas hbridos ms conocidos son los que se utilizan para el control de
procesos industriales, en los cuales se miden y controlan cantidades anlogas
como la temperatura, flujo, presin, etc.
Una vez obtenida esta informacin, que es anloga, se convierte en una
informacin digital para facilitar su proceso mediante circuitos digitales como
contadores, comparadores, microprocesadores, etc.

Ing. Vctor Silva Martnez

Curso de electrnica digital


SISTEMAS DE NUMEROS Y CODIGOS
En la tecnologa digital se utilizan muchos sistemas de nmeros. Los ms
comunes son los sistemas decimal, binario, octal y hexadecimal. El sistema
decimal es sin duda el ms conocido por nosotros ya que es una herramienta de
uso cotidiano. Analizaremos algunas de sus caractersticas para entender mejor
los otros.

Sistema decimal
El sistema decimal se compone de 10 numerales o smbolos. Estos 10 smbolos
son 0, 1, 2, 3, 4, 5, 6, 7, 8 y 9. al utilizar estos smbolos como dgitos de un
nmero podemos expresar cualquier cantidad. El sistema decimal tambin
conocido como sistema de base 10.
El sistema decimal es un sistema de valor posicional en el cual el valor de un
dgito depende de su posicin.
Por ejemplo consideremos el nmero decimal 453. sabemos que el dgito 4
representa en realidad 4 centenas, el 5 representa 5 decenas y el 3 representa 3
unidades. En esencia, el 4 porta el valor mayor de los tres dgitos; a ste se le
conoce como dgito ms significativo (MSD). El nmero 3 tiene el valor menor y
se denomina dgito menos significativo (LSD).
2

10

10

10

MSD

LSD
0

3 X 10 = 3
1

5 X 10 = 50
2

4 X 10 =400
453

Ing. Vctor Silva Martnez

Curso de electrnica digital

En el siguiente ejemplo se representa el nmero 2745.214. El punto decimal


separa las potencias positivas de 10 de las potencias negativas. As pues, el
nmero 2745.214 es igual a :
3

10

10

10

-1

10
5

-2

-3

10

10

10

MSD

LSD

-3

0.004

-2

0.01

-1

0.2

4 X 10 =
1 X 10 =
2 X 10 =
5 X 10 =
1

4 X 10 = 40
2

7 X 10 = 700
3

2 X 10 = 2000
2745.214

Sistema binario
En el sistema binario o sistema de base 2 solamente hay dos smbolos o posibles
valores digitales el 0 y 1.
No obstante este sistema de base 2 se puede utilizar para representar cualquier
cantidad que se pueda denotar en decimal u otro sistema numrico. En general
se necesitarn muchos dgitos para expresar una cantidad determinada.
El sistema binario es as mismo un sistema de valor posicional, en donde cada
dgito binario tiene valor propio expresado como potencia de 2. Esto se ilustra en
el siguiente ejemplo:

Ing. Vctor Silva Martnez

Curso de electrnica digital


3

MSB

LSB
0

1X2 =1X1=1
1

1X2 =1X2=2
2

0X2 =0X4=0
1 X 23 = 1 X 8 = 8
1110

En el sistema binario, el termino digito binario se abrevia a menudo al trmino


bit, el cual se utilizar en lo sucesivo.

En el sistema binario, utilizando N bit o espacios, podemos realizar hasta 2N


conteos. Por ejemplo con 2 bits podemos realizar hasta 22 = 4 conteos, con 4 bits
pod4emos efectuar hasta 24 = 16 conteos; y as sucesivamente.
El ltimo conteo estar constituido siempre por todos los unos y es igual a 2N-1 en
el sistema decimal.
Por ejemplo al utilizar 4 bits el ltimo conteo es igual a 1111 2 y es igual a 24-1 =
1510.

Conversin de decimal a binario


Divisin repetida. Este mtodo emplea la divisin repetida por 2. La conversin
que se ilustra a continuacin para 2510, requiere que se divida repetidamente el
nmero decimal por 2 y que se escriban los residuos despus de cada divisin
hasta que se obtenga un cociente de 0. Ntese que el resultado binario se obtiene
escribiendo el primer residuo como el LSB y el ltimo como el MSB.

Ing. Vctor Silva Martnez

Curso de electrnica digital


25 = 12
2

+ residuo de 1

12 = 6
2

+ residuo de 0

6 = 3
2

+ residuo de 0

3 = 1
2

+ residuo de 1

1 = 0
2

+ residuo de 1

LSB

MSB

2510 = 110012

Sistema numrico octal


El sistema numrico octal es muy importante en el trabajo que se realiza en una
computadora digital, este sistema tiene una base de 8, lo cual significa que tiene
ocho posibles dgitos: 0, 1, 2, 3, 4, 5, 6, 7. De esta manera, cada dgito de un
nmero octal puede tener cualquier valor de 0-7.

Conversin de octal a decimal


Un nmero octal, por tanto, puede convertirse fcilmente en su equivalente
decimal, multiplicando cada digito octal por su valor posicional. Por ejemplo: el
nmero 3728.
3

28
0

2X8 = 2X1 =

7 X 8 = 7 X 8 = 56
2

3 X 8 = 3 X64 = 192
25010

Ing. Vctor Silva Martnez

10

Curso de electrnica digital


Conversin de decimal a octal
Un entero decimal se puede convertir en octal, mediante el uso del mismo mtodo
de divisin repetida que se uso en la conversin de decimal a binario, pero un
factor de divisin de 8 en lugar de 2. a continuacin se muestra un ejemplo: 266 10
266 = 33
8

+ residuo de 2

33 = 4
8

+ residuo de 1

4
8

+ residuo de 4

= 0

LSD

MSD

26610 = 4128

Conversin de octal a binario


La ventaja principal del sistema numrico octal es la facilidad con la cual pueden
realizarse la conversin entre nmeros binarios y octales. La conversin de octal a
binario se lleva a cabo convirtiendo cada digito octal en su equivalente binario de 3
bits. Los ocho dgitos posibles se convierten como se indica en la tabla siguiente:
Nmero
Binario
000
001
010
011
100
101
110
111

Nmero
Octal
0
1
2
3
4
5
6
7

Por medio de setas conversiones cualquier digito octal se convierte en binario de


manera individual. Por ejemplo, podemos convertir 472 8 en binario de la siguiente
manera:
4

100

111

010

4728 = 1001110102

Ing. Vctor Silva Martnez

11

Curso de electrnica digital

Conversin de binario a octal


La conversin de enteros binarios a octales es simplemente la operacin inversa
del proceso anterior. Los bits del nmero binario se conjunta en grupos de tres,
comenzando por el LSB. Luego cada grupo se convierte en su equivalente octal.
Ejemplo consideremos el nmero binario 1000101102
100

010

110

1000101102 = 4268

Algunas veces el nmero binario no tendr grupos pares de 3 bits. En estos casos
podemos agregar 1 o 2 ceros a la izquierda del MSB del nmero binario a fin de
completar el ltimo grupo. Ejemplo: consideremos el nmero binario 10011
010

011

100112 = 238

Sistema numrico hexadecimal


El sistema hexadecimal emplea la base 16. As tiene 16 posibles smbolos
digitales. Utiliza los dgitos 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F como los 16
smbolos digitales. La siguiente tabla muestra las relaciones existentes entre los
sistemas hexadecimal, binario y decimal.

Ing. Vctor Silva Martnez

12

Curso de electrnica digital

HEXADECIMAL DECIMAL BINARIO


0
0
0000
1
1
0001
2
2
0010
3
3
0011
4
4
0100
5
5
0101
6
6
0110
7
7
0111
8
8
1000
9
9
1001
A
10
1010
B
11
1011
C
12
1100
D
13
1101
E
14
1110
F
15
1111

Conversin de hexadecimal a decimal


Un nmero hexadecimal se puede convertir en su equivalente decimal utilizando el
hecho de que cada posicin de los dgitos hexadecimal tiene un valor que es una
potencia de 16. el LSD tiene un valor de 160 = 1, el siguiente digito en secuencia
tiene un valor de 161 = 16 y as sucesivamente. El proceso de conversin se
demuestra en el siguiente ejemplo: 35616
3

6
0

80

6 X 16 = 6 X 1 =
5 X 16 = 5 X 16 =
2

3 X 16 = 3 X 256 = 768
85410
35616 = 85410

Conversin de decimal a hexadecimal


Recordemos que efectuamos la conversin de decimal a binario por medio de la
divisin de repeticin por 2 y de decimal a octal por medio de la divisin repetida
Ing. Vctor Silva Martnez

13

Curso de electrnica digital


por 8. De igual manera, la conversin de decimal a hexadecimal se puede efectuar
por medio de la divisin repetida por 16. ejemplo convertir 423 10 a hex.
423 = 26
16

+ residuo de 7

26 = 1
16

+ residuo de 10

1 =0
16

+ residuo de 1

42310 = 1 A716

Conversin de hexadecimal a binario


Al igual que el sistema numrico octal, el sistema hexadecimal se usa
principalmente como mtodo taquigrfico en la representacin de nmeros
binarios. Cada dgito hexadecimal se convierte en su equivalente binario de 4 bits.
Esto se ilustra a continuacin para el nmero 9F2 hex.
9

1001

1111

0010

9F216 = 1001111100102

Conversin de binario a hexadecimal


Esta conversin es exactamente la operacin reciproca del proceso anterior. El
nmero binario se agrupa en conjunto de 4 bits y cada grupo se convierte en su
digito hexadecimal equivalente .
1010101000010102
0101

0101

0000

1010

1010101000010102 = 550 A16

Ing. Vctor Silva Martnez

14

Curso de electrnica digital


El cdigo BCD
Los sistemas digitales emplean alguna forma de nmeros binarios para su
operacin interna, pero el mundo externo es de naturaleza decimal. Esto significa
que las conversiones entre sistemas decimal y binario puede hacerse largas y
complicadas si se trabaja con nmeros grandes. Por esta razn, un mtodo de
codificacin de nmeros decimales que combina algunas caractersticas de los
sistemas decimal y binario se emplean en ciertas situaciones.
Decimal codificado en binario. Si cada digito de un nmero decimal se representa
con su equivalente binario, esto produce un cdigo llamado decimal codificado en
binario (BCD). Ya que un dgito decimal puede ser tan grande como 9, se
necesitan 4 bits para codificar cada dgito. Ejemplo : 847 decimal
8

1000

0100

0111

84710 = 100001000111BCD

Ing. Vctor Silva Martnez

15

Curso de electrnica digital

CIRCUITOS INTEGRADOS DIGITALES


Qu son los circuitos integrados?
La principal razn para que los sistemas digitales hayan adquirido tanta
popularidad y sean cada ves ms sofisticados, compactos y econmicos ha sido el
alto grado de perfeccionamiento logrado en el desarrollo en masa de circuitos
integrados.
Prcticamente, todos los equipos digitales modernos se fabrican usando circuitos
integrados.
Un circuito integrado o CI es aquel en el cual todos los componentes, incluyendo
transistores, diodos, resistencias, condensadores y alambres de conexin, se
fabrican e interconectan completamente sobre un chip o pastilla semiconductora
de silicio.
Una ves procesado, el chip se encierra en una cpsula plstica o de cermica que
contienen los pines de conexin a los circuitos externos.
Las cpsulas plsticas son ms livianas pero las cermicas son ms resistentes y
pueden trabajar a ms altas temperaturas.
Una pastilla tpica (figura 9) tiene aproximadamente de 2.5 a 6.3 mm de lado y 0.5
mm de espesor. Los chips digitales ms pequeos contienen varios componentes
sencillos como compuertas, inversores y flip-flops. Los ms grandes contienen
circuitos y sistemas completos como contadores, memorias, microprocesadores,
etc.

Figura 9

La mayora de los CI digitales vienen en presentacin tipo DIP (Dual In-line


Package) o de doble hilera. El pin nmero 1 se identifica mediante una ranura o
un punto grabado en la parte superior de la cpsula. La enumeracin de los pines
se realiza en sentido contrario al de las manecillas del reloj (figura 10)
Las configuraciones ms comunes de los circuitos integrados digitales tipo DIP
son las de 8, 14, 16, 24, 40, y 64 pines (figura 11). Estas dos ultimas contienen
generalmente microprocesadores y otras funciones digitales relativamente
complejas.

Ing. Vctor Silva Martnez

16

Curso de electrnica digital


La cpsula trae impresa la informacin respecto al fabricante, la referencia del
dispositivo y la fecha de fabricacin. Cada fabricante de CI se identifica mediante
un logotipo distinto (figura 12). La referencia designa especficamente el
dispositivo.
El cdigo de la fecha indica cuando fue manufacturado el chip. Las dos primeras
cifras indican el ao y las dos ltimas se refieren al mes o semana de fabricacin.
En la representacin tipo DIP, los pines de acceso estn espaciados entre s 2.5
mm. Para efecto de montaje experimental los CI pueden montarse en un
protoboard o tablero sin soldaduras.
Para los montajes definitivos en circuitos impresos pueden estar soldados
directamente al cobre o montados sobre una base o socket. La utilizacin de
bases simplifica la instalacin durante el ensamble y el reemplazo en caso de
dao.
Adems del tipo DIP, existen otras presentaciones comunes de los CI con la
cpsula metlica (T0-5), la plana y la chip-carrier (figura 13). La T0-5, aunque es
muy resistente, est siendo reemplazada en muchos casos por empaques
plsticos, que son ms livianos.
Actualmente se dispone de una gran variedad de CI digitales que utilizan
cpsulas SMT o de montaje superficial (figura 14). Los chips SMT son 4 veces
ms pequeos que los DIP equivalentes y no requieren de perforaciones para su
instalacin: se soldan directamente a los trazos de circuitos impresos.
La miniaturizacin introducida por la tecnologa de montaje superficial o SMT
(surface mount technology) es la que ha permitido, por ejemplo obtener
calculadoras del tamao de una tarjeta de crdito.
Este tipo de encapsulado es cada ves ms popular y en el futuro ser uno de los
ms utilizados por la sencillez de su manufactura y otras ventajas, especialmente
econmicas.

Tecnologas de fabricacin
Los CI digitales se pueden clasificar en dos grandes grupos de acuerdo al tipo de
transistores utilizados para implementar sus funciones internas de conmutacin:
bipolares y CMOS.
Los CI bipolares se fabrican con transistores bipolares tipo NPN y PNP y los de
tipo MOS utilizan MOSFET.

Ing. Vctor Silva Martnez

17

Curso de electrnica digital


Dentro de cada categora, los fabricantes han desarrollado una amplia variedad de
familias lgicas de CI tanto MOS como bipolares.
Una familia lgica es un grupo de chips o mdulos funcionales, fabricado de
acuerdo a la misma tecnologa y elctricamente compatibles, es decir, se pueden
interconectar directamente entre s para configurar cualquier tipo de sistema
digital.
Algunas veces es posible interconectar circuitos de dos familias diferentes
adaptando los niveles de voltajes entre ellos mediante interfaces apropiadas.
Las familias bipolares ms conocidas son la RTL (lgica de resistor a
transistor),DTL (lgica de diodo a transistor), TTL (lgica de transistor a transistor),
ECL (lgica de emisor acoplada), I2L (lgica de inyeccin integrada).
Las dos primeras familias son completamente obsoletas en la actualidad, pero
fueron muy populares en los inicio de la electrnica digital.
Dentro de las familias bipolares, los CI ms utilizados son los TTL. La familia ECL
se utiliza principalmente en aplicaciones de muy alta frecuencia y la I2L en
aplicaciones de control. Los dispositivos de esta ltima familia son generalmente
hbridos, es decir realizan operaciones anlogas y digitales en una misma pastilla.
Las familias MOS ms conocidas son la CMOS, PMOS, NMOS. Los dispositivos
de estas familias se caracterizan por su bajo consumo de potencia y alta
capacidad de integracin.
Dentro de la familia MOS, los circuitos ms utilizados son los CMOS. Las
tecnologas PMOS y NMOS se utilizan principalmente en la fabricacin de
microprocesadores, memorias, calculadoras, etc.

Ing. Vctor Silva Martnez

18

Curso de electrnica digital


FAMILIAS LOGICAS DE CIRCUITOS INTEGRADOS
Qu es una familia lgica?
Una familia lgica es un grupo es un grupo de dispositivos digitales que comparten
una tecnologa comn de fabricacin y tienen estandarizadas sus caractersticas
de entrada y de salida, es decir son compatibles entre s.

Como consecuencia de la estandarizacin, la interconexin entre dispositivos


lgicos de una misma familia es particularmente sencilla y directa: no requiere de
etapas adicionales de acoplamiento.
Caractersticas generales de las familias lgicas
Todas las familias o tecnologas de fabricacin de circuitos integrados digitales se
agrupan en dos categoras generales: bipolares y MOS (figura 15).
Las caractersticas ms importantes de un CI digital, son: su velocidad, su
consumo de potencia, su inmunidad al ruido y su confiabilidad.
La velocidad mide la rapidez de respuesta de las salida de un circuito digital a
cualquier cambio de sus entradas. La velocidad es una consideracin importante
en el diseo de sistemas que deben realizar clculos numricos o en circuitos que
trabajan con seales de alta frecuencia.
El consumo de potencia mide la cantidad de corriente o de potencia que
consume un circuito digital en operacin. El consumo de potencia es una
consideracin importante en el diseo de sistemas operados por bateras.
La inmunidad al ruido mide la sensibilidad de un circuito digital al ruido
electromagntico ambiental. La inmunidad al ruido es una consideracin
importante en el diseo de sistemas que deben de trabajar en ambientes ruidosos
como automviles, mquinas, circuitos de co0ntrol industrial. Etc.
La confiabilidad mide el perodo til de servicio de un circuito digital , es decir,
cunto tiempo se espera que trabaje sin fallar.

Escalas de integracin
De acuerdo a su complejidad, los CI digitales se clasifican en 4 categoras bsicas
llamadas SSI, MSI, LSI, VLSI. Esta clasificacin se fundamenta en la cantidad de
compuertas utilizadas para implementar la funcin propia del chip.
Ing. Vctor Silva Martnez

19

Curso de electrnica digital


SSI significa Small Scale Integration (integracin en pequea escala) y
comprende los chips que contienen menos de 13 compuertas. Ejemplos:
compuertas y flip-flops. Los CI SSI se fabrican principalmente empleando
tecnologas TTL, CMOS y ECL. Los primeros CI eran SSI.
MSI significa Mdium Scale Integration (integracin en mediana escala) y
comprenden los chip que contiene de 13 a 100 compuertas. Ejemplo:
codificadores,
registros,
contadores,
multiplexores,
decodificadores,
demultiplexores. Los CI MSI se fabrican principalmente empleando tecnologas
TTL, CMOS y ECL.
LSI significa Large Scale Integration (integracin en alta escala) y comprenden
los chips que contienen de 100 a 1000 compuertas. Ejemplos: memorias. Unidad
aritmtica y lgica, microprocesadores de 8 y 16 bits. Los CI LSI se fabrican
principalmente empleando tecnologas I2L, NMOS Y PMOS.
VLSI significa Very Large Scale Integration (integracin en muy alta escala) y
comprende los chips que contiene ms de 1000 compuertas. Ejemplos:
microprocesadores de 32 bits, microcontroladores, sistemas de adquisicin de
datos. Los CI VLSI se fabrican tambin empleando tecnologas I 2L, NMOS y
PMOS.

Niveles de voltajes y estados lgicos


En todos los circuitos digitales prcticos los estados lgicos 1 y 0 se implementan
con niveles de voltaje. Estos niveles tienen rangos muy definidos, separados por
una zona de valores invlidos como se muestra en la figura 24

Ing. Vctor Silva Martnez

20

Curso de electrnica digital


En esta figura, el nivel bajo vlido es el rango de voltajes entre V0 y V1, mientras
que el nivel alto vlido es el rango de voltajes entre V2 y V3.
Los voltajes superiores a V3 inferiores a V0 son generalmente dainos para los
dispositivos digitales y deben evitarse.
La zona de niveles invlidos entre V1 y V2 es crtica. En esta rea, los circuitos
digitales trabajan en forma errtica porque no saben qu hacer. Un voltaje en ese
rango puede ser interpretado como un 1 lgico o un 0 lgico o no producir efecto
alguno.
Los niveles de voltajes en los circuitos integrados digitales varan de acuerdo con
la familia lgica (TTL o CMOS) a la que pertenece el dispositivo.
Familias lgicas TTL
La familia lgica TTL es quizs la ms antigua y comn de todas las familias
lgicas de circuitos integrados digitales. La mayor parte de los chips SSI y MSI se
fabrican utilizando tecnologas TTL.
Los circuitos integrados TTL implementan su lgica interna, exclusivamente, a
base de transistores NPN y PNP, diodos y resistencias.
La primera serie de dispositivo digitales TTL fue lanzada por Texas Instruments en
1964. los chips TTL se usan en toda clase de aplicaciones digitales, desde el ms
sencillo computador personal hasta el ms sofisticado robot industrial. Circuitos
TTL son rpidos, verstiles y muy econmicos.
La familia TTL est disponible en dos versiones: la serie 54 y serie 74. La primera
se destina a aplicaciones militares y la segunda a aplicaciones industriales y de
propsito general. Los dispositivos de la serie 54 tienen rangos de operacin de
temperaturas y de voltajes ms flexibles (desde 55 hasta 125C contra 0 a 70C
de la serie 74).
La familia TTL o bipolar se divide en las siguientes categoras o subfamilias
bsicas:
TTL estndar
TTL Schottky (S)
TTL de baja potencia (L)
TTL Schottky de baja potencia (LS)
TTL de alta velocidad (H)
TTL Schottky avanzada (AS)
TTL Schottky de baja potencia avanzada (ALS)
Ing. Vctor Silva Martnez

21

Curso de electrnica digital


Familia TTL estndar. Comprende principalmente los dispositivos que se
designan como 74xx (7400, 7447, etc), 74xxx (74123, 74193, etc.), 8xxx (8370,
8552, etc) y 96xx (9601, 9615, etc.).
Existe una gran cantidad de funciones lgicas que se realizan con esta tecnologa.
Caractersticas de los circuitos integrados TTL
Las caractersticas ms notables de los CI de la familia TTL estndar son, a
grandes rasgos los siguientes:
Alta velocidad de operacin. Pueden trabajar generalmente a frecuencias de 18
a 20 MHz y algunos casos hasta 80 MHz. La velocidad de operacin se expresa
generalmente en trminos de tiempo o retardo de propagacin del chip.
El tiempo de retardo o de propagacin de un circuito digital es el tiempo que toma
un cambio lgico en la entrada en propagarse a travs del dispositivo y producir un
cambio lgico en la salida.
Los tiempos de propagacin en TTL son tpicamente del orden de 2 a 30
nanosegundos por compuerta.
Alta disipacin de potencia. Es una desventaja asociada con la alta velocidad de
operacin. En general cuanto ms rpido sea un circuito, ms potencia consume y
viceversa. La mayora de los circuitos TTL disipan, tpicamente de 1 a 25
milivoltios por compuerta.
Tensin de alimentacin nominal de +5V. Los circuitos TTL, en general, pueden
operar con tensiones de CC entre 4.75 y 5.25 pero el valor nominal de la tensin
de trabajo es +5V.
Por esta razn los aparatos que incluyen CI TTL se deben alimentar con una
fuente regulada de 5 voltios.
Niveles de voltajes de 0 a 0.8 V para el estado bajo y de 2.4 a 5 para el estado
alto. en general los circuitos TTL interpretan cualquier voltaje entre 0 y 0.8 V como
un cero (0) lgico o bajo y cualquier voltaje entre 2.4 y 5 como un uno (1) lgico o
alto.
El mximo voltaje positivo que puede aplicarse a una entrada TTL es +5.5V y el
mximo negativo es 0.5V. al excederse estos parmetros, los dispositivos TTL
generalmente se destruyen.

Ing. Vctor Silva Martnez

22

Curso de electrnica digital


Abanicos de entrada (fan-in) y de salida (fan out). La familia TTL utiliza dos
parmetros para determinar cuntos dispositivos TTL se pueden conectan entre
s. Estos parmetros se denominan fan in y fan out.
El fan-in mide el efecto de carga que presenta una entrada a una salida. Cada
entrada de un circuito TTL estndar se comporta como una fuente de corriente
capaz de suministrar 1.8 mA. A este valor de corriente se le asigna fan-in de 1.
El fan-out mide la capacidad de una salida de manejar una o ms entradas. Cada
salida de un circuito TTL estndar se comporta como un disipador de corriente
capaz de aceptar hasta 18 mA, es decir, de manejar hasta 10 entradas TTL
estndares. Por tanto el fan out de una salida TTL estndar es 10.
Existen dispositivos TTL especiales llamados buffers (separadores) y drivers
(manejadores) que tienen fan-out de 30, 50 e incluso 100. se utilizan en
aplicaciones donde una determinada lnea de salida debe manejar al mismo
tiempo un gran nmero de lneas de entrada.
TTL de baja potencia (L). Comprende los dispositivos designados como 74LXX y
74LXXX; por ejemplo: 74L00, 74L04. Consumen 10 veces menos potencia que los
dispositivos TTL estndares correspondientes pero son 4 veces ms lentos.
TTL de alta velocidad (H). Comprenden los dispositivos designados como
74HXX, 74HXXX; por ejemplo: 74H05, 74H123. Consumen 2.5 veces ms
potencia que los dispositivos TTL estndares pero son 2 veces ms rpidos.
TTL Schottky (S). Comprenden los dispositivos designados como 74SXX,
74SXXX; por ejemplo: 74S181, 74S11. Consumen 1.8 veces ms potencia que los
dispositivos TTL estndares pero son 4 veces ms rpidos.
TTL Schottky de baja potencia (LS). Comprenden los dispositivos designados
como 74LSXX, 74LSXXX; por ejemplo: 74LS83, 74LS221. Consumen 5 veces
menos potencia que los dispositivos TTL estndares y son igual de rpidos. Esta
es la subfamilia ms utiliada entre todas las divisiones de la familia TTL.
TTL Schottky avanzada de baja potencia (ALS). Comprenden los dispositivos
designados como 74ALSXX, 74ALSXXX; por ejemplo: 74ALS00, 74ALS73;
Consumen La mitad de potencia requerida por los dispositivos LS equivalentes y
son el doble de rpido.
TTL Schottky avanzada (AS). Comprenden los dispositivos designados como
74ASXX, 74ASXXX. Por ejemplo: 74AS00, 74AS73. Proporciona los ms cortos
tiempos de propagacin que el estado actual de la tecnologa bipolar puede
ofrecer y su consumo es intermedio entre TTL estndar y LS.

Ing. Vctor Silva Martnez

23

Curso de electrnica digital


La familia lgica CMOS
La familia lgica CMOS es, junto con la TTL una de las familias lgicas ms
populares. Utiliza transistores MOSFET complementarios (canal N y canal P)
como elementos bsicos de conmutacin.

CMOS es una abreviacin de Complementary Metal Oxide Semiconductors


(semiconducrores complementarios de xido metlico)

Los circuitos integrados digitales fabricados mediante tecnologa CMOS se


pueden agrupar en las siguientes categoras o subfamilias bsicas:
CMOS estndar
CMOS de alta velocidad (HC)
CMOS compatible con TTL (HCT)
CMOS equivalente a TTL (C)

Familia CMOS estndar


La familia CMOS estndar comprende principalmente los dispositivos que se
designan como 40XX (4012, 4029) y 45XX (4528, 4553). Existen dos series
generales de dispositivos CMOS designadas A y B.
Los dispositivos de la serie A se designan con el sufijo a (4011 A) o
simplemente no lo traen (4011 = 4011). Todos los dispositivos de la serie B
llevan el sufijo B (4029B).
La principal diferencia entre los dispositivos de la seria A y B est en que los
CMOS B contienen una circuiteria interna de proteccin que reduce el
riesgo de dao del dispositivo por el fenmeno de descarga electrosttica.
De otro lado los dispositivos CMOS B tienen frecuencia de operacin ms altas,
tiempos de propagacin ms cortos y mayor capacidad de salida (fan-out) que los
dispositivos de la serie A.
Caractersticas de los circuitos integrados CMOS
Las caractersticas ms sobresalientes de las familias CMOS estndares 40 y 45
son, a grandes rasgos, las siguientes:

Ing. Vctor Silva Martnez

24

Curso de electrnica digital


Baja disipacin de potencia. Es la ventaja ms sobresaliente. En estado de
reposo, una compuerta CMOS tpica consume alrededor de 10 nanovatios. Este
bajo consumo de potencia simplifica el diseo y el costo de la fuente de
alimentacin.
Por esta razn, los circuitos integrados CMOS se utilizan extensamente en
equipos operados por pilas o bateras.
Buena velocidad de operacin. Los CI CMOS son tpicamente ms lentos que
los TTL pero suficientemente rpidos para la mayora de las aplicaciones. Pueden
operar a frecuencias hasta de 10 MHz y tienen tiempos de propagacin del orden
de 10 a 50 nanosegundos por compuerta.
Amplios mrgenes de tensin de alimentacin. Los dispositivos de las series
40XXA pueden operar con tensiones entre +3 a +15 voltios y los de la serie
40XXB con tensiones entre +3 y +18 voltios. La tensin de alimentacin se
designa como VDD. Algunos valores tpicos par VDD son +5V y +10V.
Este amplio rango de alimentacin permite utilizar fuentes de voltajes no
reguladas.
Cuando se emplean circuitos TTL y CMOS en el mismo sistema, se utiliza
generalmente una tensin de +5V.
Cuando hay circuitos TTL y CMOS trabajando a tensiones diferentes deben
hacerse compatibles los niveles lgicos de ambas familias mediante circuitos
apropiados de interface.
Niveles de voltaje de 0 a 0.3 VDD, para el estado bajo y de 0.7 VDD a VDD para el
estado alto. Por ejemplo, si se utiliza una tensin de alimentacin V DD de 10
voltios, los dispositivos CMOS interpretaran un voltaje entre 0 y 3 voltios como un
estado lgico bajo 0, y un voltaje entre 7 y 10 voltios como un estado lgico alto
1.
Alta inmunidad al ruido. Los circuitos CMOS son esencialmente inmunes al ruido
electromagntico (EMI) externo , generado por aparatos elctricos, lneas de
transmisin, descargas atmosfricas, etc.
Estas caractersticas los hace excelentes en aplicaciones industriales y
automotrices, donde son comunes los altos niveles de ruido.

Ing. Vctor Silva Martnez

25

Curso de electrnica digital


Otros circuitos integrados CMOS.
Adems de la serie CMOS estndares 40 y 45 existen varias subfamilias CMOS
cada vez ms importantes. Las ms conocidas son:
CMOS equivalente a TTL ( C ). Comprenden los dispositivos designados como
74CXX y 74CXXX; (74C14, 74C164). Son pin por pin y funcin por funcin
equivalentes a los dispositivos TTL correspondientes (especialmente los de la
serie 74L).
Conservan todas las caractersticas comunes a los dispositivos CMOS estndares:
baja disipacin de potencia, buena velocidad de operacin, amplios mrgenes de
voltajes, alta inmunidad al ruido.
Se espera que la 74C sea la serie CMOS estndar del futuro. Es un 50% ms
rpida que las series 40 y 45 , pero consum un 50% ms de potencia.
CMOS de ata velocidad (HC). Comprenden los dispositivos designados como
74HSXX y 74HCXXX (74HC85, 74HC373). Tienen las mismas caractersticas de
entrada y de alimentacin que los dispositivos CMOS estndares y son pin por pin
compatibles con los dispositivos TTL LS correspondientes.
La serie 74HC ofrece velocidades de operacin comparables a los de la serie
74LS y superiore a los de las serie 40, 45 y 74C.
En los dems aspectos, sus caractersticas son similares a las de estas ltimas.
Sigen nsiendo sensibles al dao por electricidad esttica.
CMOS de alta velocidad con entradas TTL (HCT). Comprenden los dispositivos
designados como 74HCTXX y 74HCTXXX, poseen las mismas caractersticas que
los dispositivos HC, excepto que sus entradas son compatibles con los niveles
lgicos TTL. Tienen las mismas configuracin de pines de los dispositivos TTL
Schottky de baja potencia LS.
Los dispositivos HCT constituyen la mejor alternativa de que se dispone
actualmente para convertir total o parcialmente, sistemas basados en lgica TTL a
lgica CMOS.

Comparacin de las familias lgicas


Una tecnologa ideal debera producir dispositivos con una velocidad de operacin
muy alta y un consumo de potencia muy bajo.

Ing. Vctor Silva Martnez

26

Curso de electrnica digital


Los dispositivos fabricados con tecnologas CMOS de alta velocidad (HC) son los
ms prximos al ideal de la familia lgica.
La tecnologa HC proporciona el mejor compromiso entre velocidad de operacin y
consumo de potencia de todas las tecnologas de fabricacin de CI digitales.
Entre los dispositivos TTL, excluyendo los de las familias avanzadas, sobresalen
por sus caractersticas de velocidad y consumo, los fabricados con tecnologa LS.
El fenmeno de las descargas electroestticas en CMOS
Todos los dispositivos CMOS son particularmente susceptibles al dao por
descarga electroesttica (ESD) entre cualquier par de pines.
La electroesttica consiste el la creacin, consciente o inconsciente de altos
voltajes en la superficie de un material aislante por efecto de friccin o frotamiento.
Esta sensibilidad a la carga esttica se debe a la extremadamente alta impedancia
de entrada que caracteriza a los transistores MOS.
Esta alta impedancia permite que se desarrollen fcilmente voltajes prohibitivos,
capaces de destruir la delgada capa de xido aislante que separa la compuerta del
canal en estos dispositivos.
La electricidad esttica est siempre presente en cualquier ambiente de trabajo.
Se genera cada vez que se frotan dos materiales diferentes.
Cuando usted camina a travs de una alfombra en un da seco, usted genera un
voltaje esttico de 35 KV y manipulando una bolsa plstica 20 KV.
Un circuito CMOS se destruye con voltajes estticos entre 250 y 3000 V y cuando
usted los manipula inadecuadamente puede aplicarle hasta 6000 V de electricidad
esttica.
El efecto inmediato de una descarga electroesttica de alto voltaje en un CI CMOS
es la destruccin definitiva o el deterioro a corto o largo plazo de la capa de xido
aislante que separa la compuerta del canal en sus transistores MOSFET de
entrada.
El dao por descarga electroesttica de los dispositivos CMOS puede ser
controlado o incluso eliminarse mediante el uso de una estrategia apropiada de
prevencin.
La idea bsica detrs de la mayora de tcnicas es mantener todos los pines del
dispositivo al mismo potencial, para evitar que se desarrollen voltajes estticos
excesivos entre ellos.
Ing. Vctor Silva Martnez

27

Curso de electrnica digital


Otros mtodos son puro sentido comn: un dispositivo CMOS no debe
manipularse ms de lo necesario.
Los dispositivos CMOS vienen generalmente empacados en contenedores que
sirven para reducir el riesgo de dao por descarga electroesttica y mantienen
todos los pines al mismo potencial. Los contenedores ms comunes son: espuma,
papel aluminio y fundas antiestticas.
Es prudente conservar los dispositivos CMOS puede ser necesario adoptar
precauciones extras para prevenir descargas estticas. Se recomienda, por
ejemplo, que el usuario y la superficie de trabajo estn puestos a tierra.
Otro mtodo es incrementar la humedad relativa del sitio de trabajo. Las
herramientas tambin debern estar preferiblemente puestas a tierra.
Las tarjetas de circuitos impresos y en general los productos terminados que
contienen dispositivos CMOS debern ser manipulados de las mismas formas que
los CI individuales y almacenerse en espumas o bolsa antiesttica.

Ing. Vctor Silva Martnez

28

Curso de electrnica digital


COMPUERTAS LGICAS Y LGEBRA BOOLEANA
El lgebra booleana difiere de manera importante del lgebra ordinaria en que las
constantes y variables booleanas solo pueden tener dos posibles valores 0 o 1.
una variable booleana es una cantidad que puede, en diferentes ocasiones, ser
igual a 0 o a 1. Las variables booleanas se emplean con frecuencia para
representar el nivel de voltaje presente en un alambre o en las terminales de
entrada y salida de un circuito.
As, pues, el 0 y el 1 booleanos no representan nmeros en realidad, sino que en
su lugar representan el estado de una variable de voltaje o bien que se conoce
como su nivel lgico . se dice que un voltaje en un circuito digital se encuentra en
el nivel lgico 0 o bien en el 1, segn su valor numrico real. En el campo de la
lgica digital se emplean otros trminos como sinnimos de 0 y 1.
0 lgico
falso
low
bajo
no
int. Abierto

1 lgico
verdadero
high
alto
si
int. Cerrado

el lgebra booleana se utiliza para expresar los efectos que los div4ersos circuitos
digitales ejercen sobre las entradas lgicas y para manipular variables lgicas con
el objeto de determinar el mejor mtodo de ejecucin de cierta funcin de un
circuito. En lo sucesivo emplearemos smbolos alfabticos para representar las
variables lgicas. Por ejemplo, A podra representar cierta entrada o salida de un
circuito digital y en cualquier instante debemos tener A =0 o bien A = 1.
Ya que solo puede haber dos valores, el lgebra booleana es relativamente fcil
de manejar en comparacin con la ordinaria. En el lgebra booleana no hay
fracciones, ni decimales, nm. Negativos, races cuadradas, races cbicas,
logartmicas. De hecho, en el lgebra booleana solo existen tres operaciones
bsicas:
Adicin lgica: tambin llamada adicin OR o simplemente operacin OR
Multiplicacin lgica: denominada multiplicacin AND o simplemente operacin
AND.
Complementacin o inversin lgica: denominada tambin operacin NOT.

Ing. Vctor Silva Martnez

29

Curso de electrnica digital


qu es una compuerta?
Las compuertas o gates son los bloques bsicos de cualquier circuito digital.
Una compuerta digital es un circuito electrnico con dos o ms lneas de entrada y
una lnea de salida, que tiene la capacidad de tomar decisiones. La decisin
tomada por una compuerta consiste en situar su salida en 0 en 1, dependiendo
del estado de sus entradas y de la funcin lgica para la cual ha sido diseada.
Tabla de verdad
Muchos circuitos lgicos tienen ms de una entrada y solamente una salida. Una
tabla de verdad muestra la forma en que la salida del circuito lgico responde a las
diversas combinaciones de niveles lgicos en las entradas.

Compuerta OR
En un circuito digital la compuerta OR es un circuito que tiene dos o ms entradas
y cuya salida es igual a la suma OR de las entradas. La siguiente figura muestra el
smbolo correspondiente a una compuerta OR de dos entradas.

Los aspectos importantes que debe recordarse en relacin con la operacin OR y


la compuerta OR son:
1. la operacin OR produce un resultado de 1 cuando cualquiera de las
variables de
entrada es 1.
2. la operacin OR genera un resultado de 0 solamente cuando todas las
variables de entrada son 0.
3. en la adicin OR, 1+ 1 = 1

Ing. Vctor Silva Martnez

30

Curso de electrnica digital


Compuerta AND
En la siguiente figura se muestra, en forma simblica, una compuerta AND de dos
entradas. La salida de la compuerta AND es igual al producto AND de las entradas
lgicas; es decir, X = AB. En otras palabras, la compuerta AND es un circuito que
opera en forma tal que su salida sea alta slo cuando todas sus entradas sean
altas. En todos los otros casos la salida de la compuerta AND es baja.

1.
2.
3.

La operacin AND se ejecuta exactamente en la misma forma que


la multiplicacin ordinaria de 1 y 0.
una salida igual a 1 ocurre slo en el nico caso donde todas las
entradas son 1
una salida es 0 en cualquier caso donde una de sus entradas son
0.

Compuerta NOT
La siguiente figura muestra el smbolo de un circuito NOT, al cual se le llama ms
comnmente INVERSOR. Este circuito siempre tiene slo una entrada. En la
figura se ve la forma en que el INVERSOR afecta una seal de entrada.

Ing. Vctor Silva Martnez

31

Curso de electrnica digital

Ejemplo:

Compuerta NOR
El smbolo de una compuerta NOR de dos entradas se muestra en la siguiente
figura. Es el mismo que el smbolo de la compuerta OR excepto que tiene un
crculo pequeo en la salida. Este crculo representa la operacin de inversin. De
este modo, la compuerta NOR opera como una compuerta OR seguida de un
INVERSOR, de la manera que los circuito de la figura A y B son equivalentes y la
expresin de salida para la compuerta NOR es :

Ing. Vctor Silva Martnez

32

Curso de electrnica digital

Compuerta NAND

El smbolo correspondiente a una compuerta NAND de dos entradas se muestra


en la figura siguiente. Es el mismo que el de la compuerta AND, excepto por el
pequeo circula a su salida. Una vez ms, este crculo denota la operacin de
INVERSIN. De este modo, la compuerta NAND opera igual que la AND seguida
de un INVERSOR.

Compuerta OR exclusivo
Considrese el circuito lgico de la figura. La expresin de salida de este circuito
es
X = AB + AB
L a tabla de verdad respectiva muestra que X = 1 en dos casos A = 0, B = 1 y A
=1, B =0. En otras palabras este circuito produce una salida ALTA siempre que las
dos entradas eswtn en niveles opuestos. Este es el circuito OR exclusivo, que se
abrevia como EX OR.
La compuerta EX OR tiene solamente 2 entradas, las dos entradas se combinan
de manera que:

Ing. Vctor Silva Martnez

33

Curso de electrnica digital

La caracterstica de una compuerta EX OR se resume como sigue: su salida es


ALTA slo cuando las dos entradas estn en niveles diferentes.
Compuerta NOR EXCLUSIVO
El circuito NOR EXC opera completamente al contrario que el EX OR.

Ing. Vctor Silva Martnez

34

Curso de electrnica digital


COMPUERTAS ESPECIALES
Compuertas de tres estados
Las compuertas de tres estados son un tipo especial de dispositivos lgicos que
adems de los dos estados comunes (ALTO y BAJO, 0 y 1)puede prorcionar un
tercer estado de salida llamado Hi-Z o de alta impedancia, similar a un circuito
abierto.
Existen situaciones donde es deseable desconectar o aislar el terminal de salida
del resto de la circuitera interna con el fin de lograr que ese punto quede libre o
flotante, es decir , que no este en ALTO ni en BAJO. La solucin a ese problema
es llamada lgica de tres estados o lgica tri- state,
Los dispositivos lgicos de tres estados tienen tres niveles de salida llamados
ALTO, BAJO y DESCONECTADO. Este ltimo se denomina tambin estado de
alta impedancia o estado Hi-Z. Tri-estate.
En la figura 79 se muestran los smbolos utilizados en los circuitos lgicos digitales
parta representar las compuertas tri-state, ms comunes y se resume la operacin
de los inversores de este tipo. Todos los dispositivos tri state se caracterizan por
poseer una entrada de control adicional llamada habilitador o lnea de inhibicin.
Cuando la entrada de inhibicin se activa, la salida se sita, en el estado de alta
impedancia. Mientras esa entrada no este activada, el dispositivo desarrolla su
lgica normal. La entrada de inhibicin se activa con un 0 o un 1 dependiendo del
diseo.
La figura 80 muestra en forma simplificada como trabaja un dispositivo lgico de
tres estados.
El interruptor A representa la lnea de entrada, el interruptor B la lnea de inhibicin
y el LED Q es el estado de la salida. La resistencia R1 Y R2 son de un valor muy
bajo, R3 es una resistencia de alto valor , en este caso, R1 = R2 =100 y R3 = 10
M. R4 limita la corriente a travs del LED.
En condiciones normales , con el interruptor B cerrado, el interruptor A suministra
un alto (+5V) a la salida a travs de R1 cuando est en la posicin H y un bajo
(0V) a travs de R2 cuando esta en la posicin L. En el primer caso el LED se
enciende y en el segundo se apaga.
La funcin tri state la provee el interruptor B. Cuando B esta cerrado (on), el
terminal de salida queda conectado a la salida del interruptor A y el circuito opera
tal como se ha descrito el estado de la entrada se refleja a la salida.
Ing. Vctor Silva Martnez

35

Curso de electrnica digital


Cundo B est abierto (off), el terminal de salida queda aislado o desconectado de
la entrada a travs de una resistencia muy alta, de valor R3. la salida ignora lo que
sucede en la entrada y viceversa. El LED no se enciende porque la corriente que
circula por el es muy dbil o no hay.
Sin embargo, esto no implica que la salida este en BAJO. En realidad, bajo esta
condicin de alta impedancia, la salida no esta ni en ALTO ni en BAJO: esta
flotando. Podemos aplicar externamente un ALTO o un BAJO al punto de salida y
l adoptar el estado que le impongamos, sin que el resto del circuito se entere ni
se produzca una situacin anormal.
El LED simplemente indicar el estado lgico de la seal externa. Por ejemplo, si
conectamos la salida a +5V el LED se prende; y si lo conectamos a tierra se
apaga. En otras palabras, bajo el estado Hi-Z podemos utilizar libremente la salida
de un dispositivo tri-state sin que afecte al circuito.

Esta caracterstica hace los dispositivos tri-state muy tiles en aplicaciones donde
se necesita transferir permanentemente informacin entre diversos puntos de
entrada y de salida utilizando la mnima cantidad posible de lneas de
comunicacin. Un ejemplo muy comn son los buses en los sistemas con
microprocesadores (figura 81)

Un bus en un conjunto de lneas digitales que transportan una informacin comn.


En los sistemas de microprocesadores todo el flujo y control de informacin se
realiza a travs de tres buses tri state llamados de datos, de control y de
direcciones.
El primero intercambia datos entre el microprocesador o CPU, la memoria y los
puertos de entrada / salida, el segundo trae o lleva datos desde o hacia la
memoria y el tercero controla y sincroniza la operacin de todo el sistema.
36
Ing. Vctor Silva Martnez

Curso de electrnica digital


Compuertas de colector abierto
Las compuertas de colector abierto son una variante tcnica de las compuertas
TTL comunes. Se caracterizan como entre otras cosas, por manejar voltajes de
salidas superiores al de alimentacin y porque se puede conectar en paralelo.
Se utilizan tambin como amplificadores de corriente y para formar compuertas de
varias entradas con compuertas sencillas de una o dos entradas. Esta aplicacin
se conoce en electrnica digital como lgica alambrada AND.
Para comprender como opera una compuerta de colector abierto es importante
conocer primero como esta estructurada internamente una compuerta TTL comn.
Tomaremos como ejemplo el dispositivo digital ms simple: un inversor.
En la figura 84 se muestra el circuito interno de uno de los 6 inversores que
constituyen el CI TTL 7404. este consiste bsicamente de 4 resistencias, 4
transistores NPN y dos diodos. Los transistores Q3 y Q4 son los transistores de
salida .
Observe que Q3 y Q4 estn conectados en serie entre el positivo de la fuente y
tierra. Cuando uno de ellos conduce el otro se bloquea y viceversa. Esta
disposicin de transistores tpica de la mayora de dispositivos TTL, se denomina
salida ttem-pole o de poste totmico.
La configuracin totem-pole es ampliamente utilizada en CI digitales, porque entre
otras cosa, permiten que puedan operar a muy altas velocidades. Sin embargo
,presentan un inconveniente: no se pueden conectar dos o ms salidas totem-pole
a un mismo punto porque se puede producir una condicin de cortocircuito.
En la figura 86 se ilustra grficamente lo que sucede, el inverso A tiene aplicado
un alto en su entrada y por consiguiente tiende a imponer un bajo en la salida al
mismo tiempo, el inversor B tiene aplicado un bajo y tiende a imponer una ALTO
qu puede resultar de este conflicto?

Ing. Vctor Silva Martnez

37

Curso de electrnica digital

El resultado neto de lo anterior no es un ALTO, ni un BAJO, ni un estado de


intermedio de la salida. Es un corto circuito entre el positivo de la fuente y tierra.
De esta manera circulara una corriente muy alta a travs de los transistores de
salida de ambos inversores y lo mas probable es que se destruyan .
La solucin a este problema es utilizada salidas de colector abierto .en la figura 86
se muestra la versin del colector abierto de la figura 84 los smbolos utilizados en
los circuitos digitales para representar este tipo de dispositivos. Observe que se a
eliminado la etapa de salida superior R4 ,Q4 y D2 y el terminal de salida a
quedado al aire .
El diamante subrayado es el signo grfico recomendado por el ANSI (Instituta
nacional de estndares americano) y el IEEE (Instituto de ingenieros elctricos y
electrnicos) para denotar un dispositivo de colector abierto.
Para que el circuito de la figura 86 puede operar como un inversor se necesita
conectar entre la salida (colector Q3) y el positivo de la fuente una resistencia
externa Rp como se indica.

Ing. Vctor Silva Martnez

38

Curso de electrnica digital


Este componente se denomina comnmente resistencia de arrastre o de pull-up y
es indispensable para la operacin de este circuito.
Sin resistencia de pull-up la salida quedara flotante, es decir no seria ALTA ni
BAJA. La funcin de Rp es permitir que esta salida pueda ser ALTA o BAJA en un
momento dado.

El valor de Rp debe elegirse de modo que no se exceda la mxima corriente


admisible por Q3. tpicamente Rp flucta entre 15 y 1K. Cuanto menor sea su
valor mayores son la velocidad de operacin y el consumo de potencia.
Aunque en la mayora de los casos se prefiere utilizar dispositivos con salida en
ttem-pole, los dispositivos de colector abierto tienen algunas ventajas notables.
Estas son algunas de ellas.

a. Pueden manejar directamente LED, displays, rels y otros componentes


y circuitos externos que consumen ms corriente de la que una
compuerta comn puede suministrar.
b. Pueden conectarse directamente entre s varias salidas para aumentar
la capacidad de corriente.

c. Pueden manejar voltajes de salidas ms alto que el voltaje de


alimentacin. Las compuertas con esta caractersticas se denominan de
alto voltaje. Algunas como el CI 7406, maneja hasta 30V,
Importante. Todos los dispositivos TTL con salidas de colector abierto requieren
resistencias externas de pull-up para operar correctamente. Esto no es necesario
en las compuertas con salidas ttem-pole como la mostrada en la figura 84 y las
que se han descrito.
Lo anterior no implica necesariamente que dos salidas ttem-pole no se puedan
conectar entre s, es decir, en paralelo. Esto se puede hacer mientras las entradas
correspondientes tambin estn conectadas en paralelo. As se garantiza que las
salidas tengan siempre el mismo estado.
En la figura 87 se ilustra el concepto anterior. El circuito as formado es un
amplificador de corriente o buffer. Permite controlar dispositivos que consumen
corriente altas como parlantes, bobinas de rel, recuerde que el 7404 no es una
compuerta de colector abierto.

Ing. Vctor Silva Martnez

39

Curso de electrnica digital

Compuerta schmitt-trigger
Las compuertas schmitt-trigger son dispositivos que se utilizan para convertir
seales imperfectas, lentas o con ruidos en seales digitales bien definidas,
rpidas y sin ruido (figura 90). Realizan las mismas funciones lgicas de las
compuertas comunes pero poseen ciertas caractersticas distintivas especiales.

Las caractersticas de las compuertas. Schmitt-trigger las hacen muy tiles en


numerosas aplicaciones en donde se presentan problemas con seales mal
definidas, distorsionadas o ruidosas.
Por su misma naturaleza binaria, los circuitos digitales operan eficientemente
cuando son manejadas por seales de entrada perfectamente cuadradas. En una
seal digital ideal, los estados ALTO y BAJO deben de estar bien definidos y la
transicin de un estado al otro debe ser instantnea (figura 91).
Ing. Vctor Silva Martnez

40

Curso de electrnica digital

Si una entrada , debido a la lentitud de la seal aplicada, permanece durante algn


tiempo indecisa entre los niveles ALTO y BAJO vlidos, se corre el riesgo de que
el circuito se vuelva inestable y genere falsas seales de salida.
Lo mismo puede ocurrir si la seal de entrada no es una onda cuadrada o tiene
ruido. La solucin a este problema es utilizar compuertas Schmitt-trigger.
Las compuertas Schmitt-trigger operan como compuertas comunes, pero se
caracterizan por poseer una propiedad llamada histresis que la hace inmunes al
ruido y les permite trabajar con seales digitales no ideales. Una compuerta
Schmitt-trigger entrega siempre una onda cuadrada a la salida, sin importar la
forma de onda de la seal de entrada.
En la figura 92 se muestran los smbolos utilizados en los circuitos lgicos digitales
para representar los dispositivos Schmitt-trigger mas comunes.El signo( ) dentro
del smbolo de una compuerta significa siempre que se trata de un dispositivo
Schmitt-trigger.

La caracterstica de histresis significa que los dispositivos Schmitt-trigger solo


responden cuando los voltajes aplicados a sus entradas superan unos valores
limites preestablecidos, llamados umbrales. En la figura 93 se muestra en forma
simplificada como trabaja un inversor Schmitt-trigger.
41
Ing. Vctor Silva Martnez

Curso de electrnica digital

La curva de histresis muestra como se comporta el voltaje de salida de la


compuerta con respecto al voltaje de entrada .Supongamos que la entrada esta en
bajo(0V),en consecuencia, la salida esta en alto(5V).Esta situacin corresponde al
punto a en la curva.
A medida que aumenta el voltaje de entrada, el voltaje de salida permanece
constante, es decir en alto(5V) hasta que alcanza un valor V TH en el punto
b.Cuando esto sucede, el voltaje de salida comienza a descender hacindose
bajo(0V)cuando el voltaje de entrada supera ligeramente el valor limite V TH (punto
c).
A medida que disminuye el voltaje de entrada, el voltaje de salida permanece
constante en bajo hasta que alcanza un valor VTL en el punto d.Cuando esto
sucede, el voltaje de salida comienza a aumentar hacindose alto (5V) cuando el
voltaje de entrada cae ligeramente por debajo del valor limite VTL (punto a).
En resumen, la salida solo cambia de estado cuando el voltaje de entrada supera
el umbral superior(VTH) o cae por debajo del umbral inferior (VTL). La diferencia de
voltaje entre VTH y VTL se denomina voltaje de histresis (VH).
Compuertas buffer
Los buffer o separadores son esencialmente compuertas con una alta capacidad
de corriente de salida Esta caracterstica les permite manejar directamente LED,
reles de estado slido, reles electromecnicos y otras cargas que no pueden ser
impulsadas directamente por compuertas comunes.
Los buffer se utilizan principalmente como amplificadores de corriente. Un buffer a
la salida de un CI digital aumenta su fan-out, es decir, la mxima corriente de
salida que este puede suministrar.
Existen bsicamente dos clases de buffers: inversores y no inversores. En la figura
95 se muestran los smbolos utilizados en los circuitos digitales para representar
estos dispositivos.
El triangulo representa la circuiteria electrnica de
amplificacin.

Ing. Vctor Silva Martnez

42

Curso de electrnica digital

Desde el punto de vista lgico, los buffer inversores operan como inversores
convencionales: un alto o un bajo en la entrada provoca un bajo o alto a la salida.
La diferencia esta en que un buffer no inversor es ms potente; esto es, puede
manejar ms corriente a la salida que un inversor comn.
Los buffer no inversores entregan el mismo nivel lgico que reciben es decir si se
aplica un alto o un bajo a la entrada entonces suministra un alto o un bajo a la
salida. Los buffer no inversores se denominan tambin compuertas YES.
En la figura 96 se resume el smbolo, la ecuacin lgica, la tabla de verdad y el
circuito elctrico equivalente de una compuerta YES. La lmpara Q se enciende
cuando el interruptor A se cierra y se apaga cuando este ultimo se abre.

Un buffer se puede conectar a una carga de dos formas: como disipador de


corriente (modo sink) o como fuente de corriente (modo source). En el modo
sink la carga se conecta entre la salida y el positivo de la fuente; y en el modo
source la carga se conecta entre la salida y tierra.

Ing. Vctor Silva Martnez

43

Curso de electrnica digital


En la figura 97 se ilustran estos dos modos de operacin. El modo sink es el ms
adecuado para suministrar altas corrientes de salida. El modo source se utiliza
para impulsar cargas de baja corriente.

Ing. Vctor Silva Martnez

44

Curso de electrnica digital

LGICA COMBINATORIA Y CODIFICADORES


Los sistemas digitales contienen datos e informacin codificados en binario que
continuamente se utilizan en forma determinada. Algunas de las operaciones que
se efectuan comprenden (a) decodificacion y codificacin, cambiar los datos de
u tipo de cdigo a otro. (b) multiplex de la informacin, seleccin de 1 entre
diversos grupos de datos; (c) demultiplex de la informacin, distribucin de los
datos a uno de varios destinos, (d) colector de informacin, transmisin de datos
entre varios dispositivos de un colector comn.
Todas las operaciones y otras se han facilitado por la disposicin de numerosos
circuitos integrados en la categora MSI

Circuitos combinatorios y circuitos secuenciales


Un circuito combinatorio tiene varias entradas y salidas. El estado de las salidas
dependen exclusivamente de la combinacin de estados de las entradas, del tipo
de compuertas utilizadas y de la forma como estn interconectadas. Para una
misma combinacin de entradas, el patrn de 1s y 0s reflejados en las salidas es
siempre el mismo.
Ejemplos de los circuitos lgicos combinatorios son los codificadores, los
decodificadores, los multiplexores y los demultiplexores.
Un circuito secuencial posee tambin varias entradas y varias salidas, pero utiliza
elementos de memoria, es decir, dispositivos almacenadores de informacin. Los
elementos de memoria ms simples son el latch o cerrojo biestable y el flip-flop o
multivibrador biestable.
Codificadores
Un codificador (figura 181) se caracteriza por poseer un cierto nmero de lneas
de entrada (M) y un cierto nmero de salida (N). Cuando se activa una de las
entradas, el circuito produce en las salidas un cdigo de 1s y 0s que identifican
exclusivamente la lnea activada. Las entradas pueden ser activas en ALTO (1) o
en BAJO (0), dependiendo del diseo.

Ing. Vctor Silva Martnez

45

Curso de electrnica digital

La figura 182 ilustra como opera un codificador octal. Este circuito en particular
posee 8 lneas de entrada y 3 de salida. Las entradas son activadas en alto. En
condiciones normales, con los pulsadores S0 a S7 abiertos, cada entrada tiene un
nivel BAJO aplicado y todas las salidas entregan un nivel BAJO.
Cuando se cierra un pulsador cualquiera, la entrada correspondiente se activa y
recibe un nivel ALTO, es decir, 1 lgico. Como resultado, en las salidas se
produce un cdigo de 3 bits de la forma Q2Q1Q0 qu identifica la lnea activada.
La lnea Q2 corresponde a MSB y la lnea Q0 a LSB.

Por ejemplo, si se cierra el pulsador S6. la entrada I6 recibe un 1 y se activa. En


las salidas se produce la combinacin de estados Q2 = 1, Q1 = 1 y Q0 = 0. Este
cdigo (110) identifica de manera nica la lnea I6. si se activa otra lnea, el
circuito producir un cdigo de salida diferente.

Decodificadores

Ing. Vctor Silva Martnez

46

Curso de electrnica digital


Los decodificadores son uno de los dispositivos ms importantes verstiles e
interesantes. Se utilizan en todo tipo de aplicaciones digitales, incluyendo sistemas
con microprocesador, circuitos de control, juegos de video, redes de transmisin
de datos, memorias, etc.
Un decodificador es un circuito lgico (figura 190) combinatorio que convierte
cdigos binarios en informacin reconocible (letras, nmeros, smbolos). En otras
palabras, un decodificador identifica, reconoce o detecta un cdigo particular,
realizando la funcin contraria de un codificador.

existen dos tipos de decodificadores: los lgicos y los controladores de displays.


Los primeros activan solamente una de las lneas de salida en respuesta al cdigo
de entrada. Los segundos pueden activar ms de una lnea de salida, suministran
cdigos especiales que controlan directamente displays de 7 segmentos y otros
dispositivos visualizadores.

Decodificador de N a M lneas.
Los decodificadores de N a M lneas son circuitos lgicos combinatorios con un
cierto nmero de lneas de entradas (N) y un cierto nmero de lneas de salida (M)
que activa una o ms salidas cuando en las entradas se aplica un cdigo o una
combinacin especifica de bits. Las salidas pueden ser activas en ALTO o en
BAJO dependiendo del diseo.

En la figura 191 se ilustra como opera un decodificador de N a M lneas. Con


excepcin de los decodificadores de BCD a decimal, el nmero de lneas de salida
(M) es igual a 2N, siendo N = 1,2,3, etc.

Ing. Vctor Silva Martnez

47

Curso de electrnica digital

El circuito de la figura 191 se designa tambin como decodificador 1 de 8, ya que


solo una de las 8 salidas es activada en un momento dado.
Un caso particular y muy importante de decodificador de N a M lneas es el
decodificador BCD a decimal o de 4 a 10 lneas. Este circuito combinatorio posee
4 lneas de entrada y 10 lneas de salida. Cuando se aplica en sus entradas un
cdigo BCD de 4 bits, se activa nicamente la lnea de salida asociada a ese
cdigo.

Multiplexor o selector de datos


Los multiplexores o selectores de datos son circuitos combinatorios que
seleccionan una de varias lneas de entradas posibles y dirigen el dato situado en
esa lnea a una lnea nica de salida. En este sentido, un multiplexor opera en
forma anloga a un interruptor de varias posiciones.
Los multiplexores se emplean en sistemas de transmisin de datos,
secuenciadores de eventos, generadores de funciones lgicas y otras
aplicaciones.
Un multiplexor (MUX) o selector de datos (figura 215) es un circuito lgico
combinatorio con un cierto nmero de lneas de entrada (M), un cierto nmero de
lneas de seleccin (N) y una lnea nica de salida (Y) que dirige o enruta una
informacin desde una de las entradas hasta la salida de acuerdo al cdigo
presente en sus lneas de seleccin.

Ing. Vctor Silva Martnez

48

Curso de electrnica digital

La operacin de un multiplexor es similar a la de un interruptor de varias


posiciones.
Demultiplexores o distribuidores de datos

Los demultiplexores son circuitos lgicos combinatorios que se utilizan en los


sistemas digitales para distribuir datos. Esencialmente, un demultiplexor realiza la
funcin contraria a un multiplexor.
Los multiplexores y demultiplexores tienen muchos usos, ms all, de los que
implican sus nombres. Por ejemplo, un multiplexor se puede usar en lugar de
compuertas para disear circuitos lgicos a partir de tablas de verdad. El mismo
modo, un demultiplexor se puede emplear como un decodificador lgico.
Un demultiplexor (DEMUX) o distribuidor de datos (figura 229) es un circuito lgico
combinatorio con una lnea de entrada (G), un cierto nmero de lneas de
seleccin (N) y un cierto nmero de lneas de salida (M) o vas que, de acuerdo
con un cdigo aplicado a las lneas de seleccin, transfiere el dato presente en la
entrada a una de las salidas.

Ing. Vctor Silva Martnez

49

Curso de electrnica digital

En otras palabras, un demultiplexor realiza la funcin contraria de un multiplexor o


selector de datos.
La operacin de un DEMUX es anloga a la de un interruptor de varias posiciones.
Segn la posicin del eje del selector, el terminal comn de entrada queda
conectado con cualquiera de las terminales de salida.

Ing. Vctor Silva Martnez

50

Curso de electrnica digital


MONOESTABLES Y TEMPORIZADORES
Un multivibrador monoestable o one-shot genera un pulso de salida de corta
duracin en respuesta al flanco de subida o de bajada de una seal de disparo
aplicada o de bajada de una seal de disparo aplicado a la entrada.
Los monoestable se utilizan en una gran variedad de aplicaciones: eliminacin de
ruido en seales digitales, estrechamiento o alargamientos de pulsos,
temporizacin y monitoreo de eventos. Se pueden realizar con compuertas SSI o
utilizando CI especializados.
La eleccin de un CI monoestable y uno con compuertas se basa, generalmente,
ms en razones econmicas y de conveniencia que de diseo. Los monoestables
SSI son menos precisos pero ms econmicos que los monoestables
especializados.
Un multivibrador monoestable o one-shot (figura 270) es un circuito que genera
en su salida un pulso de cierta duracin, en respuesta a uno de los flancos de una
seal de disparo aplicada a su entrada. Los monoestables se denominan
temporizadores, por ser esta su principal aplicacin.

La duracin del pulso T la determina un circuito RC externo, constituido por una o


dos resistencias y un condensador. En condiciones normales, sin aplicar una seal
de disparo, la salida de un monoestable permanece en uno de sus dos estados
posibles (ALTO o BAJO). A este estado se le llama estado estable.
Cuando el monoestable se dispara, la salida pasa al estado opuesto y permanece
en ese estado durante un periodo fijo de tiempo, al cabo del cual retorna
nuevamente a su estado estable, a este estado se le llama estado inestable o
estado activo.

Ing. Vctor Silva Martnez

51

Curso de electrnica digital


La palabra monoestable enfatiza el hecho de que el multivibrador posee un solo
estado estable (1 o 0), a diferencia de un biestable, que tiene dos estados
estables. Y de un astable el cual no posee un estado estable (oscila
indefinidamente entre 1 y 0).
Tipos de multivibradores monoestables
Existen bsicamente dos tipos de multivibradores monoestables. Redisparables y
no redisparables. En ambos casos, la aplicacin de una seal de disparo vlida
causa el cambio de estado de la salida y su permanencia en el estado inestable
durante un determinado periodo de tiempo. Al terminar este ltimo, la salida
retorna a su estado estable.
La diferencia entre ellos radica en la forma como cada uno se comporta ante
seales subsecuentes de disparo, es decir, antes seales aplicadas con
posterioridad a la seal que inicio el ciclo interno de temporizacin y durante la
vigencia de este ltimo.
Mientras un monoestable no redisparable ignora estas seales, uno redisparable
las acepta, iniciando con cada una un nuevo ciclo de temporizacin.

Monoestables no redisparables
En un monoestable no redisparable o estndar (figura 271) el arribo de la seal de
disparo inicia un ciclo interno de temporizacin, el cual causa que la salida del
monoestable cambie de estado cuando comienza el ciclo de temporizacin y
retorne a su estado estable cuando este ciclo termina.

una vez que el ciclo de temporizacion se ha iniciado, el multivibrador no


redisparable es inmune a los efectos de disparo subsecuentes, hasta que el
periodo de temporizacin termina.. cualquier seal de disparo aplicada durante
este tiempo no tendr efecto alguno en la salida.
Ing. Vctor Silva Martnez

52

Curso de electrnica digital


Este tipo de circuito se puede modificar agregndole una entrada de control
llamada RESET, la cual cuando se activa, causa que el pulso de salida se cancele
y obliga al dispositivo a retornar a su estado estable. La disposicin de una lnea
de RESET permite terminar o abortar el periodo de temporizacin en cualquier
momento.
Para comprender mejor el principio de operacin de un monoestable no
redisparable, analicemos el diagrama de temporizacin de la figura 271,
correspondiente a un circuito de este tipo que se dispara con flancos de subida y
genera pulsos activos en ALTO. En condiciones normales, la salida est en el
estado estable, es decir en BAJO.
En el instante t1 el monoestable recibe un pulso de disparo (TRIGGER) y la salida
pasa del estado estable (BAJO) al estado inestable (ALTO), permaneciendo en
ese estado durante un perodo de tiempo determinado (T).
Cuando este lapso termina, en el instante t3, la salida retorna nuevamente a su
estado estable (BAJO) y permanece en ese estado hasta que el monoestable
recibe otro pulso de disparo valido en el instante t4.
La caracterstica esencial del monoestable no redisparable se observa
examinando lo que sucede en el instante t2. En ese momento, el circuito recibe un
segundo pulso de disparo. Sin embargo, como la salida an esta activa, es decir
en el estado inestable (ALTO), ese pulso es ignorado por el circuito, es decir,
no afecta el estado de la salida.
Monoestable redisparable
En un monoestable redisparable (figura 272), cualquier seal de disparo retorna al
dispositivo a su estado estable e inicia un nuevo ciclo de temporizacin, incluso si
la seal de disparo se aplica en medio de un ciclo existente. En otras palabras,
cada pulso de disparo provoca un nuevo pulso de salida.

Ing. Vctor Silva Martnez

53

Curso de electrnica digital


De acuerdo a lo anterior, la salida de un monoestable redisparable puede
permanecer en su estado activo tanto tiempo como se desee, dependiendo de los
nuevos pulsos de disparo aplicados antes de que termine el ciclo de temporizacin
en curso.
Para comprender mejor el principio de operacin de un monoestable redisparable,
analicemos el diagrama de temporizacin de la figura 272, correspondiente a un
dispositivo de este tipo que se dispara por flancos de subida y genera pulsos
activos en ALTO. Inicialmente, sin seal de disparo, la salida es de nivel bajo.
En el instante t1 se aplica un pulso de disparo y la salida pasa al estado inestable
o activo (ALTO). En condiciones normales, sin aplicar pulsos subsecuentes de
disparo, permanecera en ese estado hasta que termine su perodo T en el
instante t3.
Sin embargo, en el instante t2 recibe un segundo pulso de disparo, el cual aborta o
cancela el pulso de salida existente y redispara el monoestable por otro perodo T.
El dispositivo se mantiene en su estado inestable (ALTO) hasta que ste perodo
expira por s mismo, en el instante t4. al cabo de este tiempo, el monoestable
retorna a su estado estable.
Como resultado del proceso anterior, la salida ha permanecido en el estado
inestable (ALTO) durante un tiempo total igual a T + T, siendo T = t2 t1 la
porcin no cancelada del primer perodo y T la duracin del segundo perodo.

Monoestables con compuertas NAND y NOR


La forma ms simple y econmica de realizar un monoestable estndar y no
redisparable es utilizando compuertas NAND y NOR. Este tipo de circuito se utiliza
cuando el ancho del pulso de salida no es una consideracin crtica. Su precisin
est sujeta a las variaciones en el voltaje de alimentacin, la temperatura y otros
factores.
Una caracterstica importante de los monoestables con compuerta radica en que el
pulso de disparo se puede aplicar directamente, sin necesidad de condensadores
de acoplamiento. Adems, la duracin del pulso de disparo tiene muy poco efecto
en el ancho del pulso generado.

Ing. Vctor Silva Martnez

54

Curso de electrnica digital


En la figura 273 se muestran el circuito prctico y el diagrama de temporizacin de
un monoestable redisparable con compuertas NOR CMOS 4001B que responde a
flancos de subida y genera un pulso de salida activo en ALTO.

la duracin del pulso de salida (T) la determinan los valores de R1 y C1 y se


calcula en forma aproximada, mediante la siguiente formula:
T = 0.7 x R1 x C1
En la prctica el valor de C1 flucta entre 100 pF y 2000 F y el de R1 entre 4.7
k y 10M.
El funcionamiento de la figura 273 es muy simple. Obsrvese que IC1 e IC2 son
compuertas NOR de dos estradas, pero esta ltima esta conectada como inversor.
En condiciones normales, sin seal de disparo, la salida del circuito (out) es de
nivel BAJO y la entrada superior de la compuerta IC1 recibe un bajo a graves de
R2.

Puesto que la compuerta IC1 recibe un bajo en ambas entrada, su salida, (punto
D) es ALTA y el condensador C1 esta descargado. Cuando se aplica
momentneamente un pulso de disparo positivo (activo en ALTO) la salida IC1 se
hace inmediatamente baja y el condensador C1 aplica un bajo a la entrada de la
compuerta IC2.

Como consecuencia de lo anterior, la salida del circuito (out) se hace ALTA. Este
ALTO se realimenta a la entrada inferior de la compuerta IC1, obligando a la salida
de esta ltima (punto D) a permanecer en BAJO, sin importar el esta de la seal
de disparo. Esta seal debe hacerse BAJA antes de terminar el perodo de
temporizacin.
Ing. Vctor Silva Martnez

55

Curso de electrnica digital

El condensador C1 comienza entonces a cargarse a travs de R1. Cuando el


voltaje sobre C1 crece hasta alcanzar un valor aproximadamente igual a V/2, es
decir, la mitad del voltaje de alimentacin , la compuerta IC2 lo interpreta como un
ALTO y la salida del circuito (out) se hace BAJA.

El resultado neto de todo este proceso es la emisin de un pulso de duracin T,


activo en ALTO, a la salida. Para que el circuito opere de la forma descrita
anteriormente, es condicin indispensable que el pulso de disparo finalice antes de
terminar el pulso de salida.

En la figura 276 se muestran el circuito prctico y el diagrama de temporizacin de


un monoestable no redisparable con compuertas NAND 4011B que responde a
flancos de bajada y entrega un pulso de salida activo en BAJO. Su anlisis es
similar al del circuito de la figura 273. El ancho de pulso de salida se calcula de la
misma forma.

Circuitos integrados monoestables TTL


Los fabricantes de circuitos integrados han desarrollado una gran variedad de
multivibradores monoestables TTL tanto en tecnologa estndar como en schottky
de baja potencia o LS.
56
Ing. Vctor Silva Martnez

Curso de electrnica digital

Los monoestables TTL son muy verstiles, rpidos, precisos y fciles de usar. Sus
caractersticas de diseo los hacen aptos para ser utilizados en aplicaciones que
requieran generacin y conformacin de pulsos, retardo de tiempo, demodulacin
y deteccin de flancos, entre otras necesidades.

Adems de su funcin bsica, la mayora de estos dispositivos pueden


proporcionar funciones auxiliares como disponibilidad de una o dos unidades
idnticas en una misma cpsula, opcin de elegir de entre redisparable y no
redisparable, existencias de entrada de reset, entradas tipo Schmitt-trigger,
disparo por flancos de subida o de bajada, salidas complementarias , etc.
En todos los monoestables TTL, el ancho de pulso de salida se programa
mediante un circuito RC externo y es independiente del ancho de pulso de
disparo. Los valores de los componentes utilizados para establecer el perodo de
temporizacin no son, generalmente crticos, pero deben respectar las
restricciones impuestas por los fabricantes.
En la tabla 16-1 se relacionan los monoestables TTL estndar y LS ms
representativos y se resumen sus caracteristicas generales ms notables. Todos
operan a partir de una fuente de alimentacin de +5V y entregan pulsos positivos,
es decir activos en ALTO.

MONOESTABLES TTL REPRESENTATIVOS


Referencia
74121
74122
74LS122
74123
74LS123
74221
74LS221
9601
9602
96LS02

Chips por Disparo


cpsula
1
no redisparable
1
Redisparable

Reset
No tiene

Nm. de
pines
14

En bajo

14

Redisparable

En bajo

16

no redisparable
Redisparable
Redisparable

En bajo

16

No tiene
En bajo

14
16

1
2

TABLA 16-1

Ing. Vctor Silva Martnez

57

Curso de electrnica digital


Circuitos integrados monoestables CMOS
Existen varios circuitos integrados CMOS desarrollados especficamente para sus
utilizaciones como multivibradoras monoestables. La tabla 16-2 relaciona los ms
representativos. Todos estos dispositivos pueden operar con tensiones de
alimentacin de 3V a 18V y se caracterizan por su bajo consumo de potencia.

MONOESTABLES TTL REPRESENTATIVOS


Referencia
74C221
4098B

Chips por Disparo


cpsula
2
no redisparable
2
Redisparable

Reset
En bajo

Nm. de
pines
16

En bajo

16

4047B

Redisparable

En alto

14

4528b

Redisparable

En bajo

16

TABLA 16-2

Los monoestables CMOS, en general, no son tan rpidos ni exactos como los
TTL. Sin embargo, son muy verstiles, se adaptan al disparo por flancos de
subida y de bajada y pueden utilizarse en los modos redisparables y no
redisparables. Para mejores resultados se recomienda trabajarlos a la mxima
tensin de alimentacin posible 15V 18 V.

Ing. Vctor Silva Martnez

58

Curso de electrnica digital


RELOJES O MULTIVIBRADORES ASTABLES
Introduccin
Muchos dispositivos, circuitos y sistemas digitales dependen de una seal de
reloj para operar correctamente y sincronizar sus funciones internas. Una seal de
reloj es una onda cuadrada o cadenas de pulsos, es decir, una sucesin continua
de niveles ALTOS (1s) y BAJOS (0s) de voltajes que se repiten peridicamente en
el tiempo.
Los circuitos que producen seales de pulsos se denominan relojes o
multivibradores astables. Los multivibradores son circuitos que permiten
controlar el tiempo en todas clases de aplicaciones anlogas y digitales. Sin la
presencia del tiempo en los sistemas digitales, stos se reduciran a simples
circuitos combinatorios, es decir a configuraciones estticas de compuertas.
Existen tres formas diferentes de considerar la accin del tiempo en los circuitos
digitales: temporizacin, sincronizacin y memorizacin. A cada una de estas
acciones corresponde un multivibrador especfico. La funcin de temporizacin la
realizan los monoestables, la de sincronizacin los astables y la de memorizacin
los biestables.
Tipos de multivibradores astables

Los multivibradores astables o relojes se caracterizan, en general, por


presentar en sus salida dos estados inestables posibles: ALTO y
BAJO. Sin necesidad de una seal externa de disparo, el circuito
oscila indefinidamente entre estos estados a una frecuencia constante.
Por esta razn los astables se conocen tambin como osciladores.
La seal digital generada por un astable se denomina onda cuadrada y
se usa ampliamente para sincronizar sistemas digitales secuenciales.
Entre la gran variedad de aplicaciones de este tipo de circuitos se
destacan las siguientes:
Generadores de pulsos
Reloj (clock) de circuitos digitales
Generadores de tono
Generadores de secuencia
Moduladores por anchura de pulsos (PWM)

Ing. Vctor Silva Martnez

59

Curso de electrnica digital


Un multivibrador astable puede realizarse en la prctica con
transistores bipolares, FET, UJT, compuertas lgicas TTL y CMOS y
circuitos integrados especiales.
Relojes con compuertas TTL
Un circuito de reloj esta compuesto por dos redes RC: una para la carga del
condensador C y otra para la descarga del mismo. Cada uno de estos procesos de
carga y descarga genera un tiempo que, a su vez, determina la duracin de los
estados inestables (T1 y T2).
El perodo (T) de la onda cuadrada resultante es igual a la suma de los dos
tiempos transcurridos (T = T1 + T2). Si estos tiempos son iguales (T1 = T2) se dice
que la onda cuadrada generada es simtrica y si son diferentes (T1 T2) la onda
resultante es asimtrica.

El mecanismo de carga y descarga del condensador, con el fin de generar los dos
estados inestables, se puede implementar utilizando compuertas TTL. Existen dos
formas de utilizar la circuitera de la compuerta para este fin: como comparador o
detector de nivel de voltaje y como amplificador lineal.
La segunda opcin es la ms ventajosa y, por tanto, la ms adecuada para
construir relojes de alta velocidad.
En la figura 312 se muestra un circuito de reloj realizado con inversores TTL
trabajando como comparadores de voltaje.

inicialmente el condensador C se encuentra descargado y el voltaje entre sus


terminales (Vc) es de 0V. Por tanto, en el momento de conectar el voltaje de
Ing. Vctor Silva Martnez

60

Curso de electrnica digital


alimentacin (Vcc = 5), este condensador se comportar como un corto circuito
aplicando un nivel bajo a la entrada del inversor A3.

Como resultado de lo anterior, en la salida del inversor A3 se tendr, entonces, un


nivel ALTO, el cual se aplica a la entrada del inversor A1. La salida del inversor A2
ser por tanto ALTA. De esta manera, el circuito RC queda alimentado con un
nivel de voltaje ALTO. El condensador comienza entonces, a cargarse desde 0V y
en direccin del voltaje de alimentacin (5).

Cuando el condensador alcanza el voltaje que la compuerta A3 identifica como


una entrada ALTA (VIH) se produce un cambio en la salida de A3 de ALTO a
BAJO, el cual se comunica a la entrada del inversor A1. por tanto, la salida del
inversor A2 ser BAJA.

En este momento se le aplica a la red RC un voltaje igual a cero (0) voltios. El


condensador comienza, entonces, a descargarse. Cuando el voltaje en el mismo
(Vc) llega al nivel que la compuerta A3 identifica como una entrada BAJA (VIL =
0.8 V), sta cambia de estado y, en la salida de A3, se tendr un nivel ALTO
nuevamente.

Como resultado, la salida del inversor A2 es, tambin ALTA, y por tanto, en
condensador C inicia, otra vez, su carga hacia un valor positivo de voltaje. El
proceso se repite constantemente, generando, de esta manera, una onda
cuadrada de una frecuencia que depende de los valores de R y C la cual se puede
determinar en cualquier momento.

Relojes TTL controlados por cristal


La estabilidad que puede ofrecer un oscilador RC como las anteriores es del orden
de 0.1%.
Si se desea una estabilidad hay que recurrir como elemento de realimentacin, a
un cristal de cuarzo y no a un condensador. (figura 315).

Ing. Vctor Silva Martnez

61

Curso de electrnica digital

El cristal de cuarzo tiene una composicin qumica similar a la del vidrio. Un cristal
de cuarzo se corta y se pule a una determinadas dimensiones para que pueda
vibrar a una cierta frecuencia, la frecuencia a la cual vibra un cristal depende ,
bsicamente de su espesor, y es muy estable, no siendo afectada por la
temperatura y otros factores.
Los cristales de cuarzo se tallan a partir de un cristal matriz y su aspecto final es el
de una laminilla muy delgada de forma circular, cuadrada, rectangular o anular.
Para su uso, se encierra en un blindaje metlico del cual sobresalen las
terminales de acceso. Es posible alterar la frecuencia original de un cristal,
limando cuidadosamente parte de su perfil, pero se corre el riesgo de dejarlo
inestable.
Los cristales de cuarzo se utilizan en sistemas digitales, equipos de
comunicaciones y otras aplicaciones de la tecnologa electrnica.
El cuarzo es en elemento piezo-elctrico. Lo anterior significa que genera una
seal elctrica, cuando se somete a una tensin mecnica (presin), y que vibra a
una frecuencia particular cuando se le aplica una tensin elctrica (voltaje) entre
sus terminales. Este fenmeno se le denomina efecto piezo-elctrico.
La frecuencia nominal de los cristales puede ajustarse, en un rango muy estrecho,
mediante condensadores, de muy bajo valor, conectados en serie o en paralelo

Relojes CMOS.
Los relojes CMOS se caracterizan por consumir menos potencia y operar dentro
de un rango de voltajes ms amplio que los relojes TTL, como en estos ltimos,
tambin es posible usar las compuertas CMOS como comparadores de nivel de
voltajes o como amplificadores lineales de alta ganancia para generar la
oscilacin.
Ing. Vctor Silva Martnez

62

Curso de electrnica digital

En la figura 318 se muestra el circuito CMOS ms comnmente utilizado como


generador de pulsos de reloj. sta basado en inversores o en compuertas
conectadas como inversores. Las
compuertas SSI ms empleadas para este propsito son los CI CD4001 y 4011.
se incluye tambin el diagrama de temporizacin.

El circuito de la figura 318 su frecuencia la determina un circuito RC y no un


cristal. Dependiendo del estado de las salidas de los inversores A1 y A2, el
condensador C se cargar o descargar a travs de la resistencia R y los circuitos
de salida de estas compuertas.
En el instante de aplicar potencia en el circuito, el condensador C se comporta
como un corto circuito, suponiendo que la salida A2 es alta, la salida de A1 ser
por consiguiente BAJA. De esta manera el condensador C se cargar a travs de
R y el circuito de salida de A1.
A medida que el condensador adquiere carga positiva, se reduce el voltaje en el
punto B, cuando este voltaje se hace menor que VDD/2, el inversor A1 lo
interpreta como una entrada BAJA, y su salida cambia de estado, hacindose
ALTA. Esto provoca que la salida del inversor A2 se haga BAJA.
Bajo esta circunstancia, el condensador C pierde su carga y adquiere otra de
sentido contrario, cargndose a travs de R y el circuito de salida de A2. cuando el
voltaje sobre C alcanza un valor igual o mayor a VDD/2, la salida del inversor A1
cambia de estado, haciendose BAJA.
Lo anterior provoca que la salida del inversor A2 se haga ALTA, reinicindose el
ciclo de oscilacin.
F = 1/ 2.2 x R x C

Ing. Vctor Silva Martnez

63

Curso de electrnica digital


MULTIVIBRADORES BIESTABLES (FLIP-FLOPS)
Los circuitos secuenciales son, bsicamente, configuraciones lgicas de
compuertas dotadas de memoria. En ellos, el estado de la salida depende no
solamente de las combinaciones de estado de las entradas sino de la secuencia
(orden en el tiempo) en la cual ocurren estas combinaciones.
Qu es un flip-flop?
En trminos generales, un flip-flop o biestable es un dispositivo digital capaz de
almacenar un 1 o un 0, es decir, un bit de informacin. Los flip-flops son las celdas
bsicas de memoria de los sistemas digitales y los elementos fundamentales de
todos los circuitos secuenciales, incluyendo contadores, registros de datos,
microprocesadores, etc.
La salida de un flip-flop tiene dos estados y cambia de un estado a otro cuando
recibe una seal de control. Una vez retirada la seal de control, la salida del flipflop retiene el estado inmediatamente adquirido, es decir, lo memoriza.
En este sentido, un flip-flop se diferencia de una compuerta. Esta ltima tiene
tambin dos estados de salida, pero requiere la retencin de las seales de
entrada para permanecer en un estado determinado. Es decir, no tiene memoria.
La caracterstica de poseer dos estados estables diferencia tambin un flip-flop de
un monoestable y de un astable. El primero siempre retorna a un estado
estable, mientras el segundo est cambiando permanentemente de estado. Un
flip-flop en cambio, puede permanecer indefinidamente en cualquiera de sus dos
estados estables.
La forma ms simple del flip-flop es el flip-flop R-S asincrnico.
Flip-flop R-S con compuertas NAND
El flip-flop R-S tipo candado fue quizs el primer tipo de FF construido y usado
para almacenamiento de datos dado que es considerablemente ms sencillo que
cualquiera de los otros. En la figura 385se muestra un FF R-S con compuertas
NAND, el cual tiene dos entradas de control y dos salidas. La entrada R y S son
denominadas controles asincrnicos, puesto que la salida cambia de inmediato al
cambiar cualquiera de las entradas de control. Para los bloques mostrados, los 0s
y los 1s representan en la tabla de verdad 0 y 5V.
De la tabla de verdad resulta evidente la existencia de 4 estados bien definidos
para el FF RS tipo candado- pero dado que Q esta definida como la inversa de
Ing. Vctor Silva Martnez

64

Curso de electrnica digital


Q, al existir la condicin R=S=0. Q=Q=1 se cae en un estado que no esta
permitido. Debe notarse que cuando un FF RS tipo candado se construye en la
forma mostrada en la figura 385, la asignacin de entradas y salidas es por
completo arbitraria, sin embargo, este caso por lo general no se presentara en los
tipos de FF que se discutirn posteriormente.

Flip flop con compuertas NOR


La figura 386 muestra un FF RS tipo candado implementados con bloques NOR
en lugar de los bloques NAND. En la tabla de verdad se observa que para S = 0, R
= 1 y S = 1, R = 0, las salidas son idnticas a las del circuito del bloque NAND de
la figura 385 y las diferencias son solamente un resultado de las diferencias de
funciones de los bloques NAND y NOR, de nuevo si R = S = 1, Q=Q=0 se cae en
un estado no permitido.

Desventaja de los flip-flop asincrnicos


Para aplicaciones ms avanzadas, por ejemplo: contadores de pulsos y registro de
desplazamiento, los flip-flop asincrnicos no son apropiadas por varias razones.
Entre estas ltimas se destacan su susceptibilidad a las carreras lgicas (races),
su poca inmunidad al ruido y la posibilidad de provocar estados ambiguos. Estas
circunstancias obligan al empleo de flip-flops sincrnicos en la mayora de
aplicaciones donde se requiere la funcin de memoria.
Ing. Vctor Silva Martnez

65

Curso de electrnica digital


Las carreras son un problema muy frecuente que se presenta en circuitos lgicos
con rles. Cuando varias seales lgicas ordenan el cierre simultaneo de varios
rles, los ms rpidos cierran primero sus contactos y los ms lento lo hacen un
tiempo despus. Durante ese lapso el circuito se descontrola y el resultado final es
impredecible.
Un circuito digital construido exclusivamente con laches RS (figura 385) esta
sujeto al mismo problema. La razn es muy sencilla: es imposible garantizar que
todos los flip-flops de un sistema asincrnico tenga los mismos tiempos de
propagacin. Por tanto, si existen varias seales cambiando al mismo tiempo, la
ocurrencia de una carrera y por tanto, de una respuesta falsa, es inminente.
La situacin planteada se soluciona si se logra sincronizar el cambio de todos los
flip-flops con una seal que imponga un orden al proceso. Esta seal maestra se
denomina seal de reloj y es la que gobierna la operacin de cualquier sistema
secuencial sincrnico
Bajo el control de la seal de reloj, la salida del circuito no responde
inmediatamente a los cambios en las condiciones de entrada, sino que espera la
llegada del prximo pulso de reloj..solo hasta entonces, el circuito emite una
respuesta, basado en las condiciones de entrada existente en ese instante.
De este modo se evita que los cambios de estados no previstos se propagen sin
control a travs del circuito. En un sistema sincrnico, cada seal lgica se mueve
de una etapa a la siguiente e una manera ordenada todos los cambios se
suceden a un mismo tiempo. La sincronizacin de las operaciones minimiza las
riesgos de carreras lgicas glitches y otros efectos indeseables.
Otra desventaja del flip-flop RS asincrnico es su pobre inmunidad al ruido.
Otra forma de reducir el efecto de los transientes de voltaje consiste en utilizar
biestables RS sincronizados con un pulso de reloj general. De esta manera,
solamente cuando la seal de reloj exista, se producir el cambio. De lo contrario,
los estados de las salidas permanecern en sus niveles originales, indiferentes a
los cambios de nivel, transitorios o no, de las entradas.
Finalmente el flip flop RS no permite una operacin correcta y definida cuando se
aplica a sus entradas R y S una combinacin de estados lgicos prohibida. Para
el latch NAND, esta combinacin no permitida es R =0 y S=0 y para el latch NOR
es R=1 y S=1 y cuando esto sucede, el estado de la salida es ambiguo e
impredecible.
La situacin se soluciona utilizando biestables ms avanzados, por ejemplo, los
flip-flop maestro-esclavo y otros.
Ing. Vctor Silva Martnez

66

Curso de electrnica digital

Flip- flop J K
El flip-flop J-K figura 409 es un flip-flop sincrnico con dos lneas de entradas de
datos (J y K), una entrada de reloj (CKL), dos entradas asincrnicas (preset y
clear) y dos salidas complementarias (Q y Q). Las entradas J y K se pueden
manipular para producir cualquier condicin de salida predecible. El J-K puede
tambin operar como T y D y es el ms popular de todos los dispositivos
biestables.

El flip-flop J-K puede ser operado en cualquiera de los dos modos: sincrnico y
asincrnico. En el primer caso, el estado de las salidas Q y Q depende de las
entradas J-K y est sincronizado con la seal aplicada en la entrada de reloj
(CLK). En el modo asincrnico, el estado de las salidas Q y Q lo establecen las
entradas preset y clear.
La tabla de verdad muestra que los cuatro posibles estados (figura 410) de
entrada generan estados definidos en la salida y resulta que la tabla de verdad es
idntica al de tipo RS (J=S y K=R) con una excepcin; la cuarta condicin es
J=K=!. Cuando existe esta condicin de entrada y se envia la seal de reloj al flipflop, la salida cambia de estado o sea que realiza la misma operacin que el tipo
T. Cuando la entrada T es igual a 1 lgico, tenindose una operacin tipo
oscilatorio (Togge). La figura 410 tambin presenta una tabla de excitacin, la cual
se genera bsicamente mediante un anlisis intuitivo de la tabla de verdad. Si el
estado de salida Qn se conoce antes de mandar la seal de reloj y se desea tener
una salida Qn+1 despus de la seal de reloj, la tabla muestra cual entrada de
datos es necesaria. El termino mostrado en la tabla como X representa un estado
indiferente; por ejemplo: cuando Qn es un 0 lgico y se desea tener un cero
Ing. Vctor Silva Martnez

67

Curso de electrnica digital


despus del reloj (Qn+1=0) es necesario que J=0 antes de reloj, sin importar el
valor que tenga K.

Para los flip flops, los estados indiferentes (X) son de gran valor en el diseo
lgico, ya que permiten al diseador el uso de un numero menor de bloques en la
entrada de datos para controlar la operacin, manteniendo las salidas definidas
para todas las condiciones de entrada. El flip-flop J-K se considera el ms verstil
de los que se encuentran disponibles y la gran variedad de equipos en el mercado
reflejan la enorme tendencia de su uso.

Flip-flop T (Toggle: ondulante)


El flip- flop tipo T tiene solo una entrada de datos (T) y una entrada de reloj.
Tambin puede tener controles asincronicos, control de preset, clear o ambos y
las salidas Q y Q, como se3 muestra e4n la figura 412. la tabla de verdad muestra
que la operacin es bastante simple cuando la entrada T esta en el estado 0 antes
del pulso de reloj, la seal de salida Q no cambiara con la seal de reloj, y cuando
la seal de estrada T est en el nivel 1 lgico y al dispositivo le llega la seal del
reloj, la seal de salida Q cambiar a Qn despus de la seal de reloj. En otras
palabras, si la seal de entrada T es igual a 1 lgico y al dispositivo le llega una
seal de reloj, la salida cambiar de estado sin importar cual era el estado de la
salida antes de la seal de reloj. Esto se conoce con el nombre de toggling, de
aqu el nombre de flip-flop tipo T.

Ing. Vctor Silva Martnez

68

Curso de electrnica digital

El flip-flop tipo T por lo general no se encuentra disponible como tal, pero se puede
construir con algunos de los otros tipos de flip-flops. Una de las aplicaciones ms
comunes se de contadores y redes de conteo secuencial dada su caracterstica
inherente de dividir entre dos, ya que cuando se aplica un pulso de reloj, la salida
cambia de estado solamente una ve en cada ciclo de entrada, por lo tanto, para
completar un ciclo en la salida se requiere dos ciclos en la entrada. Este tipo de
operacin requerida en muchos casos para contadores codificados en forma
binaria.

Flip-flop tipo D (Data: datos)


Se caracteriza por tener una entrada de datos (D) y una entrada de reloj y puede
tener como salidas disponibles tanto Q como Q o ambas y adems puede tener
entradas asincrnicas como se muestra en la figura 413; sin embargo, aunque se
muestran las seales de preset y clear estas pueden o no estar presentes en
algunos flip-flops tipo D. Generalmente las seales de preset y clear son controles
prioritarios, es decir, cuando algunas de estas entradas est presente se inhibe la
operacin del dispositivo y la salida se hace 0 1, dependiendo de cual de los
controles est presente. La operacin de este flip-flop se mue4stra en la tabla de
verdad de la figura 413; donde el estado de la entrada D se muestra antes de la
seal de reloj y el estado de la salida Q se muestra despus de la operacin de
reloj.

Ing. Vctor Silva Martnez

69

Curso de electrnica digital

Los flip-flop tipo D pueden usar cualquiera de los tres tipos de tcnicas de reloj,
pero existe un caso especial de reloj de disparo en los extremos que alguna vez
se utilice en este tipo de flip-flop, resultando lo que se conoce como flip-flop D tipo
candado (latch). La operacin del reloj se puede ver como una seal de
habilitacin de la entrada a la memoria o candado.
Este tipo de flip-flop es de mucha utilidad principalmente en aplicaciones de
almacenaje y registros de datos, donde se requieren almacenamientos
temporales.

Ing. Vctor Silva Martnez

70

Curso de electrnica digital


DISEO DE CIRCUITOS SECUENCIALES CON FLIP-FLOP
Segn hemos visto, el flip-flop introduce, en un circuito digital, un elemento
importantsimo como es la memorizacin de eventos a lo largo de un tiempo
determinado. Con el hecho anterior, el circuito digital adquiere mayor potencia
lgica y por tanto, mayor funcionalidad.
No sobra repetirlo, las posibles aplicaciones de circuitos con flip-flops son muy
amplias y van desde la sencilla celda de memoria de un bit que enmascara el
rebote mecnico de un interruptor hasta la gigantesca memoria RAM de un
computador moderno, capaz de almacenar varios millones de bits.
En este capitulo se desarrollarn las tcnicas de diseo necesarias para la
creacin de aplicaciones secuenciales de todo tipo, utilizando flip-flops como
elementos constructivos bsicos. Se estudiarn las estrategias circuitales ms
comunes y algunos procedimientos analticos sencillos de diseo y simplificacin
como el diagrama de estados y el mapa de Karnaugh.
Diseo de circuitos divisores de frecuencia
Con un flip-flop tipo T es fcil dividir la frecuencia entre 2, cada vez que se aplica
un pulso de reloj a la entrada T del flip-flop, la salida Q cambia de estado. Por
tanto, se necesitan 2 pulsos completos de reloj para producir un pulso de salida es
decir, para lograr que Q pase de un estado a otro y retorne al estado inicial.
Ilustraremos mediante un caso concreto la aplicacin de este concepto. Suponga,
por ejemplo, que se pretende conectar un convertidor anlogo/digital ADC0816 a
un microprocesador 8085. El convertidor opera a 500 KHz pero el reloj maestro del
microprocesador es de 1 MHz.
La solucin a este problema es muy simple: basta con dividir por 2 la frecuencia
del reloj maestro (1 MH) y aplicar la seal resultante (500 KHz) a la entrada de
reloj del conversor. Esto se puede lograr, por ejemplo, utilizando un flip-flop D
74LS74 conectado como Toggle.
Conectando N flip-flops T en cascada se obtiene un circuito que divide hasta por
2N la frecuencia de entrada. Por ejemplo, si se conectan 4 flip-flops T en cascada
(N=4), se puede lograr frecuencias de salida iguales a F/2, F/4, F/8, F/16, siendo F
la frecuencia de entrada.
La situacin anterior se ilustra en la figura 432. debido a que la seal de reloj no
activa simultneamente todas las lneas de reloj de los flip-flops, se dice que estos
ltimos estn acoplados asincrnicamente.

Ing. Vctor Silva Martnez

71

Curso de electrnica digital

Observe que la seal de salida de cada flip-flop es, a su vez, la seal de reloj del
flip-flop siguiente. La seal de reloj del primer flip-flop es la misma seal de
entrada.
El circuito de la figura 432 aporta varios conceptos de diseo de circuitos con flipflops, sobre lo que vale la pena detenerse un poco ms.
En primer lugar, cada flip-flop se convierte en toggle conectando sus entradas (J y
K) a un nivel alto (1) permanente. En segundo lugar, la salida de cada flip-flop
cambia de estado cuando su entrada de reloj realiza una transicin negativa, es
decir , pasa de alto a bajo.
Como resultado de lo anterior, en la salida Q se obtiene una frecuencia igual a
F/2, en la salida Q1 igual a F/4, en la salida Q2 una frecuencia igual a F/8 y en la
salida Q3 una frecuencia igual a F/16. El diagrama de tiempos de la figura 433
resume las conclusiones anteriores.

Ing. Vctor Silva Martnez

72

Curso de electrnica digital

Diseo de circuitos secuenciales acoplados asincrnicamente


Las conclusiones anteriores se pueden resumir en un criterio de diseo
interesante: la conexin de flip-flop en cascada permite generar circuitos divisores
de frecuencia y/o circuitos contadores de eventos.
Debido a que la seal maestra de reloj no activa al mismo tiempo todas las
entradas de reloj de los flip-flops si no nicamente la del flip-flop asociado al bit
menos significativo, se dice que estos dispositivos estn acoplados
asincrnicamente o conectados en rizado.
El siguiente ejemplo de diseo aclara y desarrolla aun ms los conceptos
expuestos:
Ejemplo: disear un sistema de control para una banda transportadoras de cajas,
en una industria, con la siguiente caracterstica: cada 8 cajas se debe abrir una
compuerta que dirija la octava caja hacia un rea de control de calidad.
El detector de objetos utiliza un sensor fotoelctrico (fotocelda) para detectar la
presencia de las cajas. Cada vez que una caja interrumpe el rayo de luz que incide
sobre la fotocelda, el detector emite un pulso. Estos pulsos son registrados por un
contador.
Los contadores conectados en rizado son econmicos y fciles de construir pero
presentan ciertos problemas de velocidad. Como la seal de reloj debe
propagarse en rizado a lo largo de todos los flip-flops conectados, se genera un
Ing. Vctor Silva Martnez

73

Curso de electrnica digital


retraso que se debe tener en cuenta a la hora de seleccionar la frecuencia de reloj
de entrada.
La desventaja anterior lleva a la bsqueda de una configuracin circuital en la que
el pulso de reloj se le aplique, de manera simultanea, a todos los flip-flops del
sistema. A esta nueva estructura se le denomina circuito secuencial sincrnico.
Diseo de circuitos secuenciales acoplados sincrnicamente
En los circuitos completamente sincrnicos, la seal de reloj o de sincronizacin
se conecta de manera simultnea, a todas las entradas de reloj de los flip-flop que
integran el circuito.
Este tipo de estructura (figura 438) presenta caractersticas muy interesantes. En
un sistema secuencial sincrnico, los cambios en todas las salidas de los flip-flops
ocurren justamente en el momento del pulso de reloj y segn los valores que
existan en las entradas de los biestables.

Como se muestra en el diagrama de bloques de la figura 439, un circuito


secuencial sincrnico esta compuesto por flip-flops, de cualquier tipo, con la seal
de reloj conectada a una entrada comn.

Ing. Vctor Silva Martnez

74

Curso de electrnica digital


Las salidas de entradas de estos flip-flops se llevan a una red combinatoria que se
encarga de colocar en las entradas los valores lgicos apropiados para lograr el
cambio, al siguiente estado, una vez ocurra el pulso de reloj.
La estructura de la figura 439, desde el punto de vista lgico, es muy poderosa y
permite el desarrollo de aplicaciones de toda clase.
Los pasos que deben seguirse para disear una aplicacin especfica usando
circuitos secuenciales sincrnicos puede resumirse en los siguientes trminos:
Paso 1. A partir del enunciado del problema dibujar un diagrama de estado. Este
diagrama esta compuesto por crculos numerados representan la secuencia y los
pasos que debe seguir un circuito hasta llegar al estado final o solucin del
problema. Los diferentes caminos o alternativas se representan por medio de
flechas que unen los crculos.
Cada circulo, en un diagrama de estados, representa un estado durante e3l cual la
naturaleza de la tarea que realiza no cambia. Cada flecha representa una
transicin entre estados. A medida que se cumplen ciertas condiciones, la
secuencia avanza al prximo estado.
Paso 2. A cada uno de los estados que conforman el diagrama de estado, se le
asigna un nmero binario. Estos nmeros forman una secuencia que el circuito de
flip-flops debe cumplir en cada pulso de reloj. En la figura 440 se ilustra un
diagrama de estados, la numeracin de los estados y la tabla con los valores
binarios de la secuencia.

Ing. Vctor Silva Martnez

75

Curso de electrnica digital


Se necesitar un mnimo de N flip-flops para implementar la secuencia, siendo N
el menor entero con el que se logra que 2 N sea mayor o igual al nmero de
estados del diagrama. La secuencia de la figura 440 se logra con 3 flip-flops.
Paso 3. Disear la red combinatoria que permita generar la secuencia de estados
planificada en el paso anterior. Recuerde que ha cada flip-flop hay que colocarle
un valor lgico apropiado, en su entrada, para que cuando llegue el pulso de reloj,
cambie el valor que la secuencia de estado necesita.
Si esta red resulta demasiada compleja se debe utilizar algunas de las tcnicas de
simplificacin existentes.
En esta parte del diseo se debe recurrir a la tabla caracterstica del flip-flop
seleccionado y, a partir de ella, deducir la tabla de excitacin.
La tabla de excitacin indica cual estado lgico se debe colocar en las entradas
del flip-flop cuando se desea pasar de un estado actual (Qn) a un estado prximo
(Qn+1). En la figura 441 se presentan las tablas de excitacin de todos los flipflops estudiados.

Paso 4. Por ltimo dibuje el circuito secuencial completo. No olvide definir los
niveles de entradas que no utilizar en los flip-flops o compuertas. Debe llevarse a
un nivel bajo o alto, segn el nivel de actividad que tengan.

Ing. Vctor Silva Martnez

76

Curso de electrnica digital


Sin practicar, es muy difcil asimilar un proceso de diseo como el anterior. A
continuacin se presenta un ejemplo, con el fin de familiarizar la mecnica del
proceso, y de paso, con la simplificacin de ecuaciones booleanas con la ayuda
del mapa de karnaugh.
Ejemplo: se pretende disear la operacin de dos electroimanes o solenoides (A y
B), de una mquina, con la secuencia que a continuacin se describe:
El primer solenoide que se activa es el B. Al cabo de un segundo se activa ,
tambin el solenoide A. A partir de entonces, los dos solenoides permanecen
activos durante otro segundo. Al cabo de ese lapso se desactiva el solenoide B.
Un segundo despus se reinicia la secuencia. Al energizar la mquina, los dos
solenoides deben permanecer desactivados durante un segundo.
Prevencin: todos los enunciados de problemas o diseos secuenciales son,
aparentemente, complejos y confusos. No hay que preocuparse, a estas alturas,
por esta dificultad. Afortunadamente estn los mtodos sistemticos que permiten
desenredar el problema.

Uso del mapa de Karnaugh en la simplificacin de circuitos secuenciales


Un mapa de Karnaugh o mapa K es una tabla de verdad modificada que se utiliza
para simplificar ecuaciones Booleanas y disear circuitos lgicos de manera
sistemtica. Los mapas K aprovechan la capacidad del cerebro humano de
trabajar mejor con patrones grficos que con ecuaciones y otras formas de
expresin analtica.
Externamente, un mapa K consiste en una serie de cuadrados, cada uno de los
cuales representa una lnea de la tabla de verdad. Puesto que la tabla de verdad
de una funcin de N variables posee 2N filas, el mapa K correspondiente debe
poseer tambin 2N cuadrados. Cada cuadrado alberga un 0 un 1, dependiendo
del valor que toma la funcin en cada fila.
Llevar una tabla de verdad al mapa K es muy simple: se transcribe el valor de la
funcin lgica, para cada combinacin de la tabla, a su correspondiente cuadrado,
en el mapa, segn las coordenadas escogidas.
Para 3 variables (A, B, C) se requiere una tabla de verdad de 8 combinaciones.
Por tanto, el mapa K respectivo debe tener 8 cuadrados, como se muestra en la
figura 448.

Ing. Vctor Silva Martnez

77

Curso de electrnica digital

Un sistema lgico de 4 variables tiene 16 combinaciones posibles. Por esta razn,


la tabla de verdad tiene 16 estados y el mapa de Karnaugh 16 cuadrados, como
se indica en la figura 449.

Podemos describir como sigue, la estrategia de simplificacin usando el mapa de


Karnaugh:
a) llevar la tabla de verdad o la expresin Booleana al mapa.
b) Visualizar y agrupar los unos que difieran en una variable
c) Extraer la funcin Booleana ms simple.
A los cuadrados o subrectngulos de un mapa de Karnaugh que difieren en una
sola variable se les llama adyacentes. Las reglas practicas que deben seguir para
identificar adyacencias en un mapa de Karnaugh son:
Ing. Vctor Silva Martnez

78

Curso de electrnica digital


Cada subrectngulo del mapa de Karnaugh difiere de uno adyacente en una
variable.
Para mapas de Karnaugh de dos variables, los subrectngulos cuyos lados
horizontales o verticales se tocan son adyacentes.
Para mapas de Karnaugh de 3 y 4 variables (figura 452)
a) los subrectngulos cuyos lados horizontales o verticales se tocan son
adyacentes.
b) Los subrectngulos superiores e inferiores de una columna son adyacentes.
c) Los subrectngulos de los extremos derecho e izquierdo de una fila son
adyacentes.

El procedimiento sistemtico que debe emplearse para simplificar una expresin


Booleana o una tabla de verdad mediante el mapa de Karnaugh se puede resumir
en los siguientes trminos.
1. Llevar la tabla de verdad o la expresin Booleana a un mapa de Karnaugh.
Si hay combinaciones de las variables que fsicamente no ocurren o no
estn especificadas, coloque en el mapa una indiferencia,
representndola por una X. Esta X puede valer, en el momento de
agrupar adyacencias, 0 1 segn convenga.
2. agrupar adyacencias de 1s as:
a. seleccione todos los 1s que no sean adyacentes a otros 1s.
b. Seleccione todos los 1s que puedan combinarse en grupos de dos
1s (dos) pero que no puedan formar grupos de cuatros 1s
(cuartetos)

Ing. Vctor Silva Martnez

79

Curso de electrnica digital


c. Selecciones todos los 1s que formen grupos de cuatro (cuartetos)
pero que no puedan conformar adyacencias de ocho (octetos),
etc.,Repita este algoritmo hasta cubrir todos los 1s del mapa.
d. Asegrese de no introducir selecciones redundantes o repetitivas.

Ejemplo: Disear el circuito de control de un sistema de aplicacin de goma,


sobre la banda transportadora, en una lnea de produccin de bolsas de papel.
La banda es accionada por un motor de velocidad constante. Al eje del motor se le
acopla un codificador ptico formado por un engranaje, un disco con una
perforacin, una fuente emisora de luz y un fototransistor. Cada vez que el motor
gira un nmero de vueltas, el codificador emite un pulso que es registrado por el
circuito secuencial.
Hay tambin, un sensor de proximidad que genera un pulso activo bajo cuando
una bolsa entra a la banda engomadora. Una vez recibida la seal del sensor, el
sistema debe contar 3 pulsos del codificador ptico, al cabo de los cuales se
acciona, durante 2 pulsos, un electroimn que deposita la goma.
Despus de otro pulso del codificador, el controlador espera la orden del sensor
para iniciar un nuevo ciclo de aplicacin de goma.

Ing. Vctor Silva Martnez

80

Curso de electrnica digital


CONTADORES BINARIOS
Un contador es un dispositivo digital que utiliza flip-flops conectados en cascada
para contar pulsos. Los contadores son, sin duda, una de las funciones digitales
de uso ms extendido. Prcticamente, todos los sistemas digitales avanzados
utilizan contadores como bloques funcionales bsicos dentro de su estructura.
Dependiendo de la aplicacin, los contadores se pueden usar para medir
frecuencia, tiempo, temperatura, velocidad, etc., o para contar.
Los contadores se emplean tambin para secuenciar eventos, dividir frecuencias,
almacenar datos, manipular nmeros, direccionar dispositivos como multiplexores,
demultiplexores, registros, memorias, etc.
Algunos aparatos digitales que utilizan contadores son : computadores, equipos
automticos de control, relojes, multmetros, frecuencmetros, osciloscopios de
almacenamiento, sintetizadores de msica, generadores de barras y puntos para
calibracin de televisores. Etc.
Los contadores digitales se pueden clasificar de acuerdo a una gran variedad de
criterios. Por ejemplo, el cdigo que utilizan para representar la cuenta de los
pulsos. A este respecto, los dos grupos ms importantes de contadores son los
binarios y los BCD. Los primeros cuentan en binario y los segundos en cdigos
BCD.
Existen tambie4n contadores que cuentan en otros cdigos, por ejemplo, los
llamados contadores de anillo o Jonson, que son, bsicamente, registros de
desplazamientos recirculares.
En general, los contadores digitales se clasifican en dos grandes grupos:
asincrnicos o series y sincrnicos o paralelos. En un contador asincrnico
cada flip-flop es disparado por el flip-flop inmediatamente precedente mientras
que en un contador sincrnico, todas las etapas estn disparadas por un reloj
comn. Los contadores binarios y BCD entran en ambas categoras.
Existen tambin contadores que combinan ambos modos de operacin, es decir,
son parcialmente sincrnicos y asincrnicos. Estos dispositivos se denominan
contadores hbridos. A esta categora pertenecen, por ejemplo, los contadores de
anillo.
Contadores asincrnicos
Un contador es, bsicamente, una cadena de flip-flops conectados de tal modo
que la salida de un flip-flop maneja la entrada del flip-flop siguiente, produciendo

Ing. Vctor Silva Martnez

81

Curso de electrnica digital


cambio de estados en una secuencia determinada cada vez que se aplica un
pulso a la entrada del circuito.
En un contador binario, los flip-flops estn conectados de forma tal que la
secuencia de estados generada sigue el mismo desenvolviendo lgico que tienen
los nmeros (bits) del sistema binario posicional. Los niveles altos y bajos de cada
salida representan los bits 1 y 0 de la cuenta binaria. Consideradas en conjunto,
las salidas registran el nmeros de pulsos que han sido aplicados.
Los contadores binarios se denominan tambin contadores divisores por N
porque, como veremos producen un pulso de salida por cada N pulsos de entrada.
Esta caracterstica permite utilizarlos como divisores de frecuencia o
escalizadores.
En la figura 496 se muestra el diagrama de bloque, el circuito lgico y la secuencia
de estados de un contador binario de 4 etapas realizados con 4 flip-flops J-K
disparables por flancos de bajada (transiciones de 1 a 0). Este modo de disparo es
tpico en contadores TTL. La mayora de contadores CMOS se disparan con
flancos de subida.

Ing. Vctor Silva Martnez

82

Curso de electrnica digital

Cada flip-flop opera en el modo de toggle, con sus entradas J-K conectadas a 1.
Los pulsos se aplican a la entrada de reloj del primer flip-flop. La salida Q de cada
flip-flop alimenta la entrada de reloj CLK del siguiente. Cada vez que la entrada de
reloj de un flip-flop pasa de alto a bajo, su salida cambia de estado.
Las lneas PRESET y CLEAR son de control y se utilizan para inicializar las
salidas del contador en 0000 y 1111, respectivamente.
Debido a que la salida de cada flip-flop afecta a la entrada del flip-flop siguiente, el
cambio de estado de las salidas no se produce instantneamente si no que se
propaga en cadena, de una etapa a la siguiente. Por esta razn, se dice que el
contador de la figura 496 es un contador asincrnico o serie.

Ing. Vctor Silva Martnez

83

Curso de electrnica digital


Por ejemplo, cuando ocurre el flanco de bajada del octavo pulso, el flip-flop A se
dispara y la salida A pasa de 1 a 0. a continuacin, se dispara el flip-flop B, la
salida B pasa de 1 a 0, se dispara el flip-flop 3, y as sucesivamente, hasta que,
finalmente, la salida D pasa de 0 a 1. Es decir, los estados de salida de los flip-flop
cambian uno tras otro hasta que la nueva cuenta queda fijada en las salidas.
El tiempo que demora este proceso se denomina retardo de propagacin y
puede llegar a ser, por ejemplo, de 100 ns. Durante este tiempo, el estado de las
salidas es invalido y, si se decodifican las salidas, se generan pulsos estrechos
espreos llamados glitches, de 20 a 30 ns de ancho, los cuales pueden llegar a
ser muy molestos.
En otras palabras, el retardo de propagacin provoca errores en la cuenta de
salida y pulsos invlidos cuando esta ltima se decodifica. Esto sucede porque los
flip-flops no cambian al mismo tiempo.
En estos casos, se recurre al uso de contadores sincrnicos, que son ms
adecuados y estn libres de retardos de propagacin, estados invlidos y glitches.
Adems de ser un contador asincrnico o de rizo (ripple), el circuito de la figura
496 es tambin un contador hexadecimal y un contador de modulo 16 (MOD 16).
Es hexadecimal porque la cuenta binaria en las salidas sigue la secuencia de
cdigos del sistema de codificacin hexadecimal. Y de mdulo 16 porque el
contador debe recorrer secuencialmente 16 estados diferentes, desde 0000 hasta
1111, para completar su ciclo de conteo y reiniciarlo. El mdulo 16 de un contador
se refiere a esta circunstancia.
En general, un contador de mdulo N es un circuito que realiza una secuencia a
travs de N estados diferentes. El mdulo de un contador binario est
estrechamente relacionado con su tamao.
En general, un contador binario de n etapas o flip-flops puede contar entre 0 y 2n
1 y tiene 2n estados diferentes.
El mdulo de un contador se puede incrementar o extender, simplemente
agregando ms flip-flops a la cadena o conectando varios contadores en cascada.
En este ltimo caso, el mdulo de contador resultante es el producto de los
mdulos de los contadores individuales.
El circuito de la figura 496 es tambin un contador ascendente porque la cuenta
binaria representada en sus salidas se incrementa en uno con cada pulso de reloj.
Cuando la cuenta alcanza su valor mximo (1111) retorna a su valor mnimo
(0000) con el siguiente pulso de reloj y contina. Existen tambin contadores
descendentes y ascendentes/descendentes o up/down.

Ing. Vctor Silva Martnez

84

Curso de electrnica digital


Los primeros cuentan en orden inverso y los segundos pueden contar en ambas
direcciones. Cuando la cuenta de un contador descendente alcanza su valor
mnimo (0000) retorna a su valor mximo y contina. En un contador up/down, la
direccin de conteo se puede especificar mediante una lnea de control (U/D) o
utilizando dos entradas de reloj separadas.

Contadores binarios sincrnicos


La principal desventaja de los contadores estudiados hasta el momento es su
velocidad. Cualquier cambio en la entrada debe propagarse a travs de toda la
cadena de flip-flops antes de que las salidas adopten su estado final. Adems, los
retardos de propagacin entre etapas causan estados de salidas invlidos y
provocan la aparicin de glitches.
Todos los problemas anteriores se eliminan utilizando contadores sincrnicos. A
diferencia de un contador asincrnico, el cual la salida de una etapa maneja la
entrada de reloj de la etapa siguiente, en un contador sincrnico todas las etapas
del contador estn manejadas directamente por una lnea maestra de reloj y todas
las salidas cambian al mismo tiempo. No hay efectos de propagacin.
En la figura 508 se muestra el circuito de un contador sincrnico binario de 4
etapas construido con flip-flops J-K maestro/esclavo. Observe que todas las lneas
de reloj de los flip-flop estn conectadas entre s, formando una lnea maestra de
entrada de pulsos. Note la presencia de las compuertas AND G1 y G2.

La compuerta G1 garantiza que el flip-flop FF3 cambie de estado slo cuando las
salidas QA y QB sean ambas altas. Del mismo modo, la compuerta G2 garantiza
que el FF4 cambie de estado nicamente cuando las salidas QA, QB y QC sean
altas. Cuando se aplica un pulso de reloj (CLK), todos los flip-flops que deben
cambiar de estado lo hacen simultneamente.
85
Ing. Vctor Silva Martnez

Curso de electrnica digital


Para comprender como opera este circuito, recuerde que, en un flip-flop J-K la
salida no cambia de estado cuando las entradas J-K son altas y cambia de estado
cuando estas entradas son bajas. Suponiendo que, inicialmente el estado de las
salidas es QDQCQBQA = 0000, las entradas J y K de los flip-flops FF2, FF3, FF4
sern todas bajas.
Por tanto, la aplicacin de un pulso de reloj en ese instante no cambiar el estado
de sus salidas. Sin embargo, puestos que las e4ntradas J y K del flip-flop FF1
estn conectadas a un nivel alto permanece la salida QA de 0 a 1. As, la cuenta
binaria registrada por el contador es 0001.
Puesto que las entradas J y K del flip-flop FF2 son ahora altas, el siguiente pulso
de reloj cambiar{a el estado de salida QB de 0 a 1 y la salida QA retornar a 0,
configurndose la cuenta binaria de salida QDQCQBQA = 0010. Con la salida QA
baja, nicamente el flip-flop FF1 puede cambiar de estado con el siguiente pulso
de reloj. Esto provoca que la cuenta de salida sea 0011.
Puesto que, ahora, QA y QB son ambas altas, la compuerta G1 situar un alto en
las entradas J y K del flip-flop FF3. De este modo, la salida QC cambiar de 0 a 1
en el siguiente pulso de reloj y la cuenta binaria resultante ser 0100. El resto de
la secuencia sigue un patrn de comportamiento similar.
Cuando la cuenta llega al estado QDQCQBQA = 0111, las compuertas G1 y G2
habilitan el flip-flop FF4 para cambiar de estado cuando arribe el siguiente pulso.
La nueva cuenta ser, entonces, QDQCQBQA =1000. Cuando la cuenta llega a
1111, todos los flip-flops quedan habilitados para cambiar de estados y sus salidas
sern todas iguales a 0 cuando llegue el prximo pulso.
La caracterstica ms importante del contador sincrnico descrito es su velocidad.
Todos los flip-flops cambian de estado simultneamente, en sincronismo con la
seal de reloj. La demora total en cada cambio de estado es el tiempo de
propagacin de un solo flip-flop y no la suma de los tiempos de propagacin de
todas las etapas, como sucede en un contador asincrnico.
Lo anterior permite operar a frecuencia de reloj mucho ms alta que las admitidas
en un contador de rizo y no origina glitches molestos cuando se decodifica la
cuenta binaria. El costo de esta mejora es un aumento en la complejidad del
circuito, puesto que se requiere una circuiteria lgica adicional y un mayor nmero
de conexiones.
En general, los contadores sincrnicos son ms rpidos, ms costosos, ms
complejos y consumen ms potencia que las versiones asincrnicas
correspondientes pero son una mejor eleccin cuando se trabaja a altas
frecuencias.

Ing. Vctor Silva Martnez

86

Curso de electrnica digital


El contador sincrnico mostrado en la figura 508 es ascendente.

Contadores BCD
Los contadores BCD como su nombre lo indica cuentan pulsos en BCD, un
cdigo binario en el cual los dgitos decimales del 0 al 9 se representan mediante
patrones binarios de 4 bits. Los contadores BCD se utilizan siempre que deben
visualizarse o manejarse datos en forma decimal.

Los contadores BCD son, en realidad, contadores binarios de 4 bits en los cuales
la secuencia natural de conteo se ha alterado, mediante compuertas lgicas, para
proporcionar nicamente diez estados, desde 0000 (0) hasta 1001 (9). Cuando la
cuenta llegue a 9, retorna automticamente a 0 en el siguiente pulso. En otras
palabras son contadores de mdulo 10.
Los contadores BCD se rigen bajo la misma teora general explicada para los
contadores binarios. Exist4en contadores BCD sincrnicos y asincrnicos,
ascendentes y descendentes, lineales y realimentados, prefijables, conectados en
cascada, etc. Y sus salidas se pueden decodificar fcilmente para proporcionar
una lectura decimal directa.
En la figura 523 se muestra el circuito lgico y el diagrama de temporizacin de un
contador BCD asincrnico realizados con flip-flop J-K y disparable por flancos de
bajada. Observe que los tres primeros flip-flops estn conectados en cascada
como en un contador binario estndar. Sin embargo, el ltimo flip-flop tiene su
entrada de reloj conectada a la salida Q del primero.
Note tambin que la seal que controla la entrada J del FF4 la suministra una
compuerta NAND, la cual monitorea las salidas de los flip-flops FF2 y FF3.
Observe, as mismo, que la salida Q negada de FF4 se realimenta a la entrada J
de FF2. como resultado de estas interconexiones, el circuito posee nicamente
diez estados, en lugar de los 16 de un contador binario.
Como se puede observar en el diagrama de temporizacin de la figura 523 (b), el
circuito cuenta cclicamente entre 0000 (0) y 1001 (9), siguiendo una secuencia
normal. Cuando se recibe el dcimo pulso, el contador recicla a 0 y se repite la
misma secuencia. Para los 8 primeros estados, desde 0000 hasta 0111, el circuito
opera en forma similar al contador binario normal.
Despus del sptimo pulso, el estado de las salidas DCBA es 0111 y la entrada J
del flip-flop FF4 recibe un alto, quedando habilitado para cambiar de estado
(toggle) cuando ocurra el siguiente pulso de reloj. Al finalizar este ltimo, el nuevo
estado de salida es 1000 y la salida Q negada de FF4 es 0.
Ing. Vctor Silva Martnez

87

Curso de electrnica digital

Este 0 se realimenta a la entrada J del flip-flop FF2, evitando que este ltimo se
dispare la prxima vez que reciba un flanco de bajada procedente del flip-flop FF1.
Cuando ocurre el noveno pulso, FF1 cambia de 0 a 1 y el nuevo estado de salidas
1001, la mxima capacidad de conteo.

Bajo esta condicin, la entrada J del flip-flop FF4 recibe un bajo, quedando
habilitado para pasar a 0 (reset) cuando la salida de FF1 cambie de estado con el
88
Ing. Vctor Silva Martnez

Curso de electrnica digital


siguiente pulso de reloj. Cuando este ltimo ocurre, las salidas D y A pasan de 1 a
0 mientras las salidas B y C permanecen en 0. como resultado, el contador retorna
a su estado original (0000) y se repite la misma secuencia.
Para realizar conteos superiores a 9, deben utilizarse contadores BCD en
cascada.

Ing. Vctor Silva Martnez

89

Curso de electrnica digital

MEMORIAS SEMICONDUCTORAS
La implementacin tecnolgica del concepto de memoria revoluciona, por
completo, la estrategia de diseo utilizada hasta este punto. Con la memoria,
como CI, aparecen los circuitos de lgica programable. Siguiendo esta tcnica, es
posible disear aplicaciones complejas de manera independiente de la circuiteria
electrnica.
El almacenamiento y retencin de informacin ha originado una revolucin sin
precedentes en los campos de la informtica y la electrnica de consumo. Usted
encontrar memorias semiconductoras en casi todos los sistemas electrnicos
inteligentes de hoy, incluyendo radios de automvil, televisores, grabadoras de
video, microcomputadores.
Lgica cableada
Podemos aplicar las siguientes conclusiones a cualquier circuito construido con
lgica cableada:
a. en circuitos de lgica cableada se necesita un diseo especfico para cada
aplicacin.
b. Hay que realizar nuevamente todo el diseo si se desea efectuar cualquier
tipo de cambio, no previsto inicialmente en la secuencia, por ejemplo.
c. Los circuitos de lgica cableada pueden resultar complejos y con un
nmero considerable de circuitos integrados.
d. Los circuitos de lgica cableada fueron muy usados hasta la aparicin de
las memorias y microprocesadores de tamao y potencia reducidos.

Arquitectura general de una memoria


Los circuitos de lgica programable utilizan, de una u otra forma, un dispositivo
para almacenar informacin. Los circuitos electrnicos digitales que permiten
almacenar datos o cantidades binarias son muy importantes para este tipo de
lgica.
El flip-flop es la celda de memoria mnima de las memorias electrnicas. Un flipflop, puede almacenar un bit de informacin digital o binaria. Un arreglo de flipflops conforma el tipo de memoria ms rpido que existe: el registro.

Ing. Vctor Silva Martnez

90

Curso de electrnica digital


La informacin binaria que procesa una mquina de lgica programable, como los
de un computador digital, se compone de grupos de bits. Al nmero de bits que
manipula en cada ciclo de trabajo se le llama palabra. Al conjunto de 8 bits se le
llama byte. Al grupo de 16 bits se les llama especficamente, palabra y al de 32
bits doble palabra.

Una memoria se compone de un conjunto de posiciones o direcciones que


guardan palabras binarias de informacin. Si se trabaja con bytes, por ejemplo,
cada posicin de memoria consta de 8 bits. El nmero de posiciones que tiene una
memoria semiconductora se expresa en kilo bytes. Un kilo byte equivale a 1024
posiciones de memoria. Abreviadamente, 1K = 1024.
Se puede representar la memoria digital como un casillero ordenado en el que
cada casilla corresponde a una direccin en la que se guarda informacin.
En trminos generales el diagrama lgico de una memoria semiconductora es
como se muestra en la figura 607. Esta compuesto por las siguientes lneas o
seales.

Bus de direcciones. Por intermedio de este bus o grupos de bits se generan las
direcciones que apuntan o sealan hacia cualquier posicin de memoria en
particular.

Bus de datos. El contenido de la posicin localizada por el bus de direcciones


aparece a travs de este bus. En un sistema de lgica programable o de

Ing. Vctor Silva Martnez

91

Curso de electrnica digital


microcomputadores es frecuentes que varias memorias compartan el mismo bus
de datos. Esta es la razn por la cual las salidas del bu8s de datos son tri-state.
CS (chip selet: seleccin de integrado). Cuando esta seal es activa baja 0, el
bus de datos se conecta al exterior. De lo contrario, permanece en estado de alta
impedancia (Hi-Z). Se usa esta seal para seleccionar entre diferentes memorias
que comparten un mismo bus.
WE. (write enable: habilitador de escritura). A travs de esta lnea se le indica a
los circuitos internos de la memoria sobre la naturaleza de la operacin que se
pretende efectuar. Si WE es activa baja, por ejemplo, la operacin es de escritura
y se pueden almacenar o escribir datos en cualquier posicin de la memoria. Si
WE es alta, la operacin es de lectura, es decir, se puede leer cualquier posicin
de la misma.
Clasificacin de las memorias semiconductoras
Las memorias semiconductoras se clasifican en dos grandes grupos o categoras
generales:
a. Memoria de lectura nicamente o ROM (read only memories).
b. Memoria de lectura y escritura, tambin llamadas memorias de acceso
aleatorio o RAM (random access memories)
Aunque los principios de funcionamientos de ambos tipos de memoria son
similares, cada una juega un papel diferente y tiene ventajas y desventajas nicas.
Las memorias ROM se denominan tambin memorias permanentes y las RAM
memorias temporales.
Memorias ROM. Una ROM es una memoria cuya informacin binaria ha sido
programada de manera permanente por el fabricante del circuito. Esta memoria es
no voltil y, obviamente, su informacin no desaparece con la ausencia de
alimentacin
Las memorias ROM son las ms comnmente utilizadas para almacenar
instrucciones o constantes numricas fijas, es decir, que no cambian durante la
vida de un producto. Los computadores personales, por ejemplo, usan memorias
ROM para soportar el llamado BIOS (sistema bsico de entrada/salida) que es el
encargado de inicializar el sistema.
Hay 4 tipos de memoria ROM o permanentes: las ROM propiamente dichas, las
PROM (programable ROM), las EPROM (erasable PROM) y las EEPROM
(Electrical EPROM).
Las memorias ROM solamente pueden ser programadas por el fabricante del chip.
El usuario o comprador le especifica a este ltimo cual es la informacin que debe
Ing. Vctor Silva Martnez

92

Curso de electrnica digital


de ser programada. Una ve programada no puede ser alterada. Una ventaja de la
ROM es su robustez. Soportan el abuso elctrico y fsico sin perder su contenido.
Las memorias PROM se programan, de manera definitiva, por el usuario,
empleando equipo especial. Pueden programarse o quemarse una sola vez. No
son borrables. Las PROM son ligeramente ms costosas que las ROM pero su
flexibilidad justifica el costo.
Las memorias EPROM pueden ser programadas y borradas por el usuario
cualquier nmero de veces. Los datos almacenados de esta memoria se borran
acercando rayos ultravioletas de alta intensidad a travs de una ventana
transparente de cuarzo situada en la parte superior del circuito integrado.
Las memorias EEPROM pueden ser programadas y borradas por el usuario dentro
del circuito de utilizacin. Los datos almacenados en las memorias tipo EEPROM
se borran usando seales elctricas digitales. Son relativamente ms lentas que
los otros tipos de ROM.
Memorias RAM. El contenido de las posiciones de esta clase de memoria puede
ser ledo y alterado en cualquier momento. Las memorias RAM pueden ser
fcilmente programada, borrada y reprogramada por el usuario. La informacin
almacenada en una RAM es temporal o voltil, es decir se pierde al suprimir el
suministro de energa.
El nombre de aleatoria se debe a que cualquier posicin puede ser accesada tan
rpidamente como cualquier otra. Hay dos tipos de memoria RAM: las RAM
estticas o SRAM y las RAM dinmicas o DRAM. Cada una tiene beneficios e
inconvenientes particulares.
Las memorias RAM estticas estn compuestas por flip-flops. Si se almacena una
informacin binarias en estas memorias, permanece all a menos que se cambie
por otro dato o se desconecte la energa. Las RAM tienden a ser rpidas pero su
capacidad de almacenamiento es limitada debido a que cada flip-flop ocupa un
rea relativamente grande.
Las memorias RAM dinmicas utilizan condensadores MOS como celdas mnimas
de memoria. Son ms simples y baratas que las estticas pero, dado que los
condensadores tienden a perder carga, es necesario refrescar cada una de las
celdas cientos de veces por segundo. Si una celda no es refrescada a tiempo,
pierde el dato que tena almacenado.

Ing. Vctor Silva Martnez

93

Curso de electrnica digital

Ing. Vctor Silva Martnez

94

También podría gustarte