Está en la página 1de 4

ENGI Revista Electrnica De La Facultad De Ingeniera

Vol. 1 No. 1 Julio Ao 1 ISSN 2256-5612

Dise'o E Implementacin De n !ircuito !om(inacional )asado En Lengua*e De Descripcin De +ard,are V+DL -ara n Ro(ot .vil .ulti/uncional*
I0 Ruge1 I0 )etancourt01 D0 Garca1 -0 +urtado0
conocimientos en cada una de las tem4ticas anteriormente mencionadas1 adem4s de generar el deseo 8 la motivacin de 5uerer aprender nuevas tAcnicas 8 mAtodos 5ue :agan del ro(ot un sistema m4s autnomo e inteligente0
II0

ResumenEl presente artculo muestra el diseo e implementacin de un robot mvil multifuncional: Seguidor de lnea, seguidor de luz y evasor de obstculos, producto del desarrollo de la prctica de laboratorio correspondiente a la asignatura de Circuitos igitales ! del cuarto semestre acad"mico del #rograma de !ngeniera Electrnica de la $niversidad de Cundinamarca% El ob&etivo general de la prctica de laboratorio es identificar y aprender la importancia del desarrollo de aplicaciones basadas en circuitos digitales programables #' , abordando los conceptos de 'engua&e de escripcin de (ard)are *( ' y +erramientas de desarrollo como !S#'ever Starter de la empresa 'attice Semiconductors% 'os resultados obtenidos permitieron desarrollar +abilidades para el diseo de aplicaciones basadas en lgica combinacional para una aplicacin en particular del rea de ,obtica, y adems comprender las venta&as con respecto a la implementacin de aplicaciones digitales utilizando circuitos digitales cableados convencionales% El dispositivo lgico programable utilizado para el control del robot mvil fue la -.'/0*12, el sensor C3456 fue utilizado para la deteccin de lnea, un par de fotoceldas como sistema para deteccin de luz y para deteccin de obstculos unos interruptores tipo bumper% Palabras Claves.rreglos 'gicos #rogramable, 'engua&e de escripcin de (ard)are *( ', 'gica Combinacional, ,obtica mvil, Seguidor de 'nea, Seguidor de 'uz%

E&2R

!2 RB DEL R3)32 .CVIL . L2IF N!I3NBL

En la Fig0 % se muestra el es5uema general de la ar5uitectura del ro(ot mvil multi/uncional implementado0

&

I0 IN2R3D

!!I3N

I (ien la ro(tica es un 4rea 5ue actualmente est4 siendo utili6ada en un sin n7mero de aplicaciones industriales1 domesticas 8 educativas1 tra(a*ar con ro(ots e9ige un conocimiento multidisciplinar de la electrnica1 la in/orm4tica 8 :asta la mec4nica ;%<0 !onocimiento de sensores1 comunicaciones1 motores e incluso inteligencia arti/icial :ace de esta disciplina un e9celente elemento /ormativo para estudiantes 8 pro/esionales1 colocando en pr4ctica los

Fig0 %0 Estructura de ro(ot mvil multi/uncional0 La /uncin a reali6ar por el ro(ot mvil esta determinada por el estado de las entradas de seleccin DSEL0 y SEL1E0 -ara la /uncin de seguimiento de lnea se utili6aron un par de sensores pticos !NF?$ DSL0 y SL1E1 para el seguimiento de lu6 se utili6aron un par de /otoceldas DSLuz0 y SLuz1E 8 para la /uncin de evasin de o(st4culos un par de interruptores tipo (umper DSObs0 y SObs1E ;%<1 ;#<0 El cere(ro del ro(ot es un arreglo lgico programa(le B2F%GV>) ;H< 8 la movilidad del ro(ot esta determinada por el accionamiento con*unto de dos motores D! controlados por medio de un puente + integrado L#@H) ;%<1 ;#<0 La Fig0 # muestra el diagrama de (lo5ues del ro(ot implementado0

I0 Ruge1 Docente niversidad de !undinamarca1 Investigador Grupo GI2EIN!31 Fusagasug41 !olom(ia il(erruge=8a:oo0es1 iruge=unicundi0edu0co0 I0 )etancourt01 Estudiante niversidad de !undinamarca1 Fusagasug41 !olom(ia0 ivan(#>$?=:otmail0com0 D0 Garca1 Estudiante niversidad de !undinamarca1 Fusagasug41 !olom(ia0 aleduv%?$%=:otmail0com0 -0 +urtado Estudiante niversidad de !undinamarca1 Fusagasug41 !olom(ia0 padrino>@$=:otmail0com0

* Reproducido con permiso de VII Jornada de Ingeniera niversidad de !undinamarca " #$%#

DI&EI3 E I.-LE.EN2B!ICN DE N !IR! I23 !3.)INB!I3NBL )B&BD3 EN LENG BJE DE DE&!RI-!ICN DE +BRDJBRE V+DL -BRB N R3)32 .CVIL . L2IF N!I3NBL

Los estados de MOTOR1 8 MOTOR2 corresponden a los estados 5ue adoptaran las lneas de entrada al integrado L#@H) Control0, Control1, Control2 8 Control3 respectivamente DVer Fig0 #E0 &i am(os sensores detectan color negro D&L$N$ 8 &L%N$E el ro(ot de(e avan6ar1 si se detecta color (lanco por la derec:a D&L%N$ 8 &L$N%E el ro(ot de(e girar a la i65uierda1 si se detecta color (lanco por la i65uierda D&L%N% 8 &L$N$E el ro(ot de(e girar a la derec:a1 8 si am(os sensores detectan color (lanco D&L%N% 8 &L$N%E el ro(ot de(e retroceder0 Fig0 #0 Diagrama de (lo5ues del ro(ot mvil multi/uncional0 III0
DI&EI3 DEL BLG3RI2.3 !3N2R3LBD3R DEL R3)32 .3VIL . L2IF N!I3NBL

La ta(la I muestra la asignacin de /unciones a reali6ar por el ro(ot mvil1 seg7n los estados de las lneas de seleccin0

A. Seguidor de Luz La /uncin de seguidor de lu6 solo tendr4 en cuenta los estados lgicos digitales entregados por las /otoceldas dispuestas para tal /in0 El acondicionamiento electrnico reali6ado a cada /otocelda para 5ue entregue dic:os estados digitales1 se muestra en la Fig0 O0

2a(la I0 Bsignacin de /unciones para ro(ot mvil0


SE'/ 6 6 / / SE' 6 6 / 6 / 7$3C!83 &EG ID3R DE LKNEB &EG ID3R DE L L EVB&3R DE 3)&2M! L3& -BRBR

A. Seguidor de Lnea La /uncin de seguidor de lnea solo tendr4 en cuenta los estados lgicos digitales entregados por los sensores pticos dispuestos para tal /in0 El acondicionamiento electrnico reali6ado a cada sensor ptico para 5ue entregue dic:os estados digitales1 se muestra en la Fig0 #0

Fig0 O0 Bcondicionamiento de sensores de luminosidad0 La lgica utili6ada para la activacin de los motores para la /uncin de seguimiento de lu6 se muestra en la 2a(la III0 2a(la III0 Lgica de control de motores para seguidor de lu60
E39,. .S Sluz/ Sluz6 $ $ $ % % $ % % S.'! .S :;9;,/ :;9;, < % % % % $ % % $ % $ $ % $ % $ %

Fig0 H0 Bcondicionamiento de sensores pticos0 El volta*e de cali(racin Vcal se a*usta para me*orar la sensi(ilidad de acuerdo a las condiciones de luminosidad de la pista de prue(a0 La lgica utili6ada para la activacin de los motores para la /uncin de seguimiento de lnea se muestra en la 2a(la II0

Entonces1 si am(os sensores de luminosidad detectan lu6 D&Lu6%N% 8 &Lu6$N%E el ro(ot de(e avan6ar :acia adelante1 si se detecta lu6 por la derec:a D&Lu6%N$ 8 &Lu6$N%E el ro(ot de(e avan6ar girando a la derec:a1 si se detecta lu6 por la i65uierda D&Lu6%N% 8 &lu6$N$E el ro(ot de(e avan6ar girando a la i65uierda1 8 por ultimo1 si no se detecta luminosidad D&Lu6%N$ 8 &Lu6$N$E el ro(ot de(e parar0 A. Evasor de O s!"#ulos La /uncin de evasin de o(st4culos solo tendr4 en cuenta los estados lgicos digitales entregados por los interruptores tipo (umper dispuestos para tal /in0 El acondicionamiento electrnico reali6ado a cada (umper para 5ue entregue dic:os estados digitales1 se muestra en la Fig0 P0

2a(la II0 Lgica de control de motores para seguidor de lnea


E39,. .S S'/ S'6 6 6 6 / / 6 / / S.'! .S :;9;,/ :;9;, < / 6 / 6 6 / / 6 / 6 6 / 6 / 6 /

R GE1 )E2BN!3 R21 GBR!KB1 + R2BD3

com(inacional ;O< 8 ;P<0 -ara su implementacin se selecciono como dispositivo controlador el Brreglo Lgico -rograma(le B2F%GV>)1 8 el cdigo /uente es (asado en lengua*e de descripcin de :ard,are V+DL ;G<0 -ara la generacin del arc:ivo 0JEDE! se utili6o el so/t,are de desarrollo I&-Lever &tarter ;?<1 8 el respectivo cdigo V+DL se muestra a continuacinQ Fig0 P0 Bcondicionamiento sensores detectores de o(st4culos tipo (umper0 La lgica utili6ada para la activacin de los motores para la /uncin de evasin de o(st4culos se muestra en la 2a(la IV0 2a(la IV0 Lgica de control de motores para evasin de o(st4culos0
E39,. .S Sobs/ Sobs6 $ $ $ % % $ % % S.'! .S :;9;,/ :;9;, < $ % $ % % $ $ % $ % % $ % $ % $ li rar$ ieee% use ieee.s!d&logi#&116'.all% en!i!$ (O)*&+O*O, is -or!. SEL/ in s!d&logi#&ve#!or .1 do0n!o 12% S&LINEA/ in s!d&logi#&ve#!or .1 do0n!o 12% S&L34/ in s!d&logi#&ve#!or .1 do0n!o 12% S&O*S,/ in s!d&logi#&ve#!or .1 do0n!o 12% (ON,+OL/ ou! s!d&logi#&ve#!or .5 do0n!o 12% end% ar#6i!e#!ure *E7AVIO+AL o8 (O)*&+O*O, is egin -ro#ess.SEL9 S-LINEA9 S&L349 S&O*S,2 egin SE!"#$OR $E L#%E& i8 SEL:;11< !6en i8 S&LINEA:;11< !6en (ON,+OL=:;1111<% --re!ro#ede elsi8 S&LINEA:;11< !6en (ON,+OL=:;1111<% --dere#6a elsi8 S&LINEA:;11< !6en (ON,+OL=:;1111<% --iz>uierda else (ON,+OL=:;1111<% --adelan!e end i8% end i8% SE!"#$OR $E L"' i8 SEL:;11< !6en i8 S& L34 :;11< !6en (ON,+OL=:;1111<% --adelan!e elsi8 S& L34 :;11< !6en (ON,+OL=:;1111<% --iz>uierda elsi8 S& L34 :;11< !6en (ON,+OL=:;1111<% --dere#6a else (ON,+OL=:;1111<% ---arar end i8% EV&SOR $E O(ST&C"LOS i8 SEL:;11< !6en i8 S&LINEA:;11< !6en (ON,+OL=:;1111<% --re!ro#ede elsi8 S&LINEA:;11< !6en (ON,+OL=:;1111<% --dere#6a elsi8 S&LINEA:;11< !6en (ON,+OL=:;1111<% --iz>uierda else (ON,+OL=:;1111<% --adelan!e end i8% end i8% OTRO C&SO i8 SEL:;11< !6en (ON,+OL=:;1111<% ---arar end i8% end -ro#ess% end *E7AVIO+AL%

&i los (umpers no detectan o(st4culo D&3(s%N% 8 &3(s$N%E el ro(ot de(e avan6ar1 si se detecta o(st4culo por la derec:a D&3(s%N$ 8 &3(s$N%E el ro(ot de(e girar a la i65uierda1 si se detecta o(st4culo por la i65uierda D&3(s%N% 8 &3(s$N$E el ro(ot de(e girar a la derec:a1 8 por ultimo1 si se detecta o(st4culo /rontal D&3(s%N$ 8 &3(s$N$E el ro(ot de(e retroceder0 La Fig0 G muestra una idea general del algoritmo propuesto para el control del ro(ot mvil1 seg7n lo descrito anteriormente0

Fig0 G0 Diagrama de /uncionamiento del algoritmo de control para el ro(ot mvil0 IV0
I.-LE.EN2B!I3N DEL BLG3RI23 EN DI&-3&I2IV3 L3GI!3 -R3GRB.B)LE B2F%GV>)

&eg7n la descripcin de /uncionamiento deseado para el ro(ot mvil1 se reali6o un algoritmo (asado en lgica

La Fig0 ?0 .uestra el diagrama de cone9iones del dispositivo de control .97/0*12 8 el -uente + integrado '<=>21 para la respectiva validacin de /uncionamiento del

DI&EI3 E I.-LE.EN2B!ICN DE N !IR! I23 !3.)INB!I3NBL )B&BD3 EN LENG BJE DE DE&!RI-!ICN DE +BRDJBRE V+DL -BRB N R3)32 .CVIL . L2IF N!I3NBL

algoritmo de control com(inacional planteado1 utili6ando el so/t,are de simulacin I&I& -roteus0

pueden desempe'arse de /orma adecuada 8 pertinente como elemento controlador0 REFEREN!IB&


;%< ;#< J0 Bngulo1 Introduccin a la Ro(ticaQ ?rin#i-ios !e@ri#os9 #ons!ru##i@n $ -rograAa#i@n de un ro o! edu#a!ivo1 Ed0 2:omson1 #$$P1 pp ?P0 E0 -alacios1 .icrocontrolador -I!%GF>O Desarrollo de -ro8ectosQ Sensores -ara )i#roro @!i#a1 segunda edicin1 Ed0 Bl/a3mega1 #$$G1 pp0 P%P"P#?0 B2F%GV>) Data&:eet1 Lattice &emiconductor1 disponi(le en internetQ :ttpQRR,,,0datas:eetcatalog0orgRdatas:eetsRH#$R@%HGHTD&0pd/0 !0 Rot: Jr1 Fundamentos de dise'o logicoQ Bes#ri-#i@n V7BL de (ir#ui!os (oA ina#ionales1 Ed0 2:omson1 #$$P1 pp0 #H@"#OO0 J0 JaUerl81 Dise'o Digital -rincipios 8 -racticasQ ?rin#i-ios de diseo logi#o-#oA ina#ional1 Ed0 -rentice +all1 #$$%1 pp0 %@H"#@>0 F0 -ardo1 V+DL Lengua*e para &ntesis 8 .odelado de !ircuitosQ Bes#ri-#i@n (oA-or!aAen!al Algor!Ai#a1 Ed0 Bl/aomega1 #$$O1 pp0 G@">#00 J0 Gar6a1 &istemas Digitales 8 Electrnica Digital -racticas de La(oratorioQ (a-!ura Es>ueA"!i#a IS?Lever S!ar!er1 Ed0 -rentice +all1 #$$G1 pp0 #@"OG0

Fig0 ?0 Diagrama de !one9iones entre sensores1 controlador 8 actuadores de ro(ot mvil0 En la Fig0 > se muestra el aspecto /inal del prototipo de ro(ot mvil multi/uncional implementado0

;H< ;O< ;P< ;G< ;?<

Fig0 >0 Ro(ot mvil multi/uncional0 El siguiente enlace contiene un video 5ue muestra los modos de /uncionamiento del ro(ot mvil e9puesto en este artculoQ :ttpQRR,,,08outu(e0comR,atc:SvNViL59E/V?$$0 V0
!3N!L &I3NE&

,uge, !lber .% Ingeniero Electrnico egresado de la niversidad -edaggica 8 2ecnolgica de !olom(ia sede &ogamoso )o8ac4 en el a'o #$$P1 .agister en Ingeniera de !ontrol Industrial egresado de la niversidad de I(aguA 2olima en el a'o #$%%0 Bctualmente se desempe'a como docente en la niversidad de !undinamarca en el -rograma de Ingeniera Electrnica0 .iem(ro del grupo de Investigacin -!9E!3C; clase D !ol!iencias0 2emas de interAsQ !ontrol inteligente1 energas alternativas1 dispositivos electrnicos programa(les entre otros0 -arca , uvan -% Bctualmente esta culminado estudios en la de !undinamarca en el -rograma de Ingeniera Electrnica0 (urtado, #edro .% Bctualmente esta culminado estudios en la de !undinamarca en el -rograma de Ingeniera Electrnica0 2etancourt, !vn % Bctualmente esta culminado estudios en la de !undinamarca en el -rograma de Ingeniera Electrnica0 niversidad

niversidad

El desarrollo de aplicaciones (asadas en dispositivos programa(les1 permite generar aplicaciones de manera mas r4pida 8 e/iciente1 puesto 5ue el dise'o es mas orientado al algoritmo desarrollado a nivel de so/t,are1 8 los inconvenientes de implementacin 8 ca(leado pasan a ser pro(lemas menos tediosos 8 di/ciles de corregir0 -ara el desarrollo del circuito com(inacional controlador del ro(ot mvil1 es importante identi/icar las entradas 8 salidas del sistema1 as como el /uncionamiento general del mismo1 puesto 5ue el lengua*e de descripcin de :ard,are V+DL sinteti6a el circuito lgico respectivo de acuerdo a la descripcin del comportamiento de las salidas con respecto a los cam(ios en las entradas del sistema ro(tico0 El desarrollo de la pr4ctica de la(oratorio permiti comprender de una manera m4s clara el signi/icado de Lengua*e de Descripcin de +ard,are1 el concepto de procesamiento !oncurrente o -aralelo de los circuitos integrados digitales1 8 la importancia de los dispositivos programa(les -LD1 en aplicaciones no solo como la ro(tica1 sino en otras aplicaciones donde este tipo de dispositivos

niversidad

Fec:a RecepcinQ #% de .a8o #$%# Fec:a Bpro(acinQ @ de Junio #$%#

También podría gustarte