Está en la página 1de 11

Control de velocidad de un motor monofsico Universal tipo Jaula de Ardilla por PWM

Por medio de la tcnica de modulacin de ancho de pulso se puede realizar un control para sistemas que estn a larga distancia ya que se puede evitar la interferencia proveniente del exterior permitiendo realizar un control de la forma ms ptima. La ventaja de utilizar el ancho de pulso como control de una variable, es que no se ve afectada por ruido elctrico, inyectado a lo largo de la lnea de transmisin, sin importar si la amplitud del pulso se ve reducida por el ruido externo o por la misma resistencia de la lnea de transmisin; ya que el circuito no est observando la amplitud de la seal si no la frecuencia que sta posee adems del ancho de pulso T de encendido o de apagado. La aplicacin del PWM se realiza por medio del PIC16F84, el cual posee un programa que se describir luego. Para el aislamiento entre la parte de potencia y la parte electrnica de control, se usan optoaisladores, que evitan posibles daos en la parte de control. Materiales: PIC 16F84A ADC 0804 MOC 3041 Potencimetro de 10K Resistencia de 4.7K, 20K, 10K, 1K, 220 Condensador 150 picofaradio. Varistor

Descripcin de componentes: ADC0804: Este circuito integrado forma parte de una familia de conversores A/D fabricados por National Semiconductor, compuesta por los ADC0801,ADC0802, ADC0803 y ADC0804. Caractersticas: Estos convertidores de aproximaciones sucesivas son muy utilizados por su relacin velocidad / precio. Disponen de 8 bits de salida con posibilidad de tri-estado, lo que facilita su conexin a un microprocesador, dado que pueden conectarse varios en un bus, y elegir solo uno de ellos a la vez por medio de un "1" en uno de sus pines. El que sea un conversor A/D de 8 bits en la prctica se traduce en que el valor medido (una tensin de entre 0 y 5voltios) ser un nmero binario entre 00000000 y 11111111 (en decimal, un nmero entre 0 y 255). Se puede calcular la "resolucin" del conversor haciendo el cociente: 5voltios / 256 = 0.0195 volt. Es decir que se puede discernir variaciones de unas dos dcimas de

volt usando este chip. Tiene un tiempo de conversin de 100 nanosegundos (es decir, se pueden hace 10 millones de conversiones por segundo). Los dispositivos trabajan con una tensin de alimentacin de + 5 V y disponen de un reloj interno, si bien admite que se conecte uno externo. Su pin out puede verse en la figura siguiente:

El pin 1, denominado CS (Chip Select - Seleccionar Chip) es el habilita al chip a ser utilizado. Si este pin esta a nivel alto (5V, o un "1" lgico) elchip se encuentra deshabilitado, sus salidas se comportan como siestuvieran desconectadas del bus de datos (en nuestro caso, de la PC). Si ponemos este pin a masa (0 Volt o "0" lgico) el chip queda seleccionado. El segundo pin, RD, es el que permite la lectura de los datos convertidos. WR activado durante al menos 100 nanosegundos es el que le pide al chip que comience con la conversin. Esto le lleva aproximadamente unos 200 nanosegundos, durante los cuales INTR pasa a nivel alto. El chip informa que se completo poniendo en bajo nuevamente el pin 5 (INTR).Los pines 6 y 7 son los que "leen" el valor analgico a convertir. Estas entradas analgicas Vin (+) y Vin(-), estn protegidas contra sobrecargas, pero para un correcto funcionamiento, las seales aplicadas deben estar comprendidas entre 0V y la tensin de alimentacin. El dato en forma binaria se har presente en las salidas, pines 11 al 18(D7 a D0). El pin 11 es el que contiene el MSB. Estas salidas tienen un latch que mantienen su valor hasta que se peticione una nueva conversin. Si se deja el pin 9 (Vref /2) en circuito abierto, la tensin de referencia que se obtiene internamente es la mitad de la tensin de alimentacin, en nuestro caso serian 2.5v (5V/2). Instrucciones: Las instrucciones del ADC0804 son:

CS (Chip Select) - Autoriza el funcionamiento del convertidor. En nuestro caso, como solo tendremos un conversor conectado al bus, pondremos este pin a masa directamente. WR (Write) - Da la orden de inicio del conversor. RD (Read) - Efecta la lectura de los datos. INTR - Indicador fin conversin. Con CS y WR en "1" el convertidor A/D se bloquea y no acta. La conversin empieza con la llegada de un pulso "1" a la entrada de WR si la entrada de CS esta a 0.Durante la transicin de "1" a "0" de la seal en la entrada del WR o del CS, se resetean el controlador interno, y el registro de datos y la salida del INTR se pone a "1"Despus de que la conversin se completa el pin INTR realiza una transicin de "1" a "0" , esto puede ser usado para interrumpir un microprocesador o sealar la posibilidad de un nuevo resultado para otra conversin. Una operacin de lectura del RD con CS a "0" limpia la INTR y autoriza los latch de salida. PIC16F84A: Se trata de uno de los microcontroladores ms populares del mercado actual, ideal para principiantes, debido a su arquitectura de 8 bits, 18pines, y un set de instrucciones RISC muy amigable para memorizar y fcil de entender, internamente consta de: Memoria Flash de programa (1K x 14). Memoria EEPROM de datos (64 x 8). Memoria RAM(68 registros x 8). Un temporizador/contador (timer de 8 bits). Un divisor de frecuencia. Varios puertos de entrada-salida (13 pines en dos puertos,5 pines el puerto A y 8 pines el puerto B). Manejo de interrupciones (de 4 fuentes). Perro guardin (watchdog). Bajo consumo. Frecuencia de reloj externa mxima 10MHz. (Hasta 20MHzen nuevas versiones). La frecuencia de reloj interna es un cuarto de la externa, lo que significa que con un reloj de 20Mhz, el relojinterno sera de 5Mhz y as pues se ejecutan 5 Millones deInstrucciones por Segundo (5 MIPS).

No posee conversores analgicos-digital ni digital-analgicos. Pipe-line de 2 etapas, 1 para bsqueda de instruccin y otra para la ejecucin de la instruccin (los saltos ocupan un ciclo ms). Repertorio de instrucciones reducido (RISC), con tan solo 30instrucciones distintas. 4 tipos distintos de instrucciones, orientadas a byte, orientadas a bit, operacin entre registros, de salto. Usos En los ltimos aos se ha popularizado el uso de este microcontrolador debido a su bajo costo y tamao. Se ha usado en numerosas aplicaciones, que van desde los automviles a decodificadores de televisin. Es muy popular su uso por los aficionados a la robtica y electrnica. Puede ser programado tanto en lenguaje ensamblador como en Basic y principalmente en C, para el que existen numerosos compiladores. TRIAC B708: Un triac es un semiconductor de estado slido que acta como un interruptor de alimentacin de CA. Consta de tres pines que un acto similar a un interruptor de luz. Dos clavijas son para la CA y la reduccin (en general son intercambiables, por lo que se denominan A1 y A2 en el esquema, en lugar de A in y A out), similar a los terminales superior e inferior de un interruptor de luz.

Optoaislador MOC3041 El optoacoplador es un circuito integrado (IC, un chip) que est especficamente diseado para conectar a los controles de baja tensin a un triac de alta tensin de CA. El opto en los terminales de salida del Triac es un chip de 6-pin, pero slo 4 pines se utilizan: 2 para la DC en, y 2 para la salida AC.

Internamente, el opto tiene tres secciones principales: un LED de entrada, un detector de cruce cero, y un controlador de salida triac. (No todas las Optos tienen un circuito de paso por cero, pero estos lo hacen.). La entrada de LED es un diodo emisor de luz, muy parecida a la que ve en las placas de circuitos. Pin 1, el nodo, es la parte alta, que est conectado a una resistencia pull-up, que es a su vez conectado a una tensin de alimentacin DC, en este caso 5V. Pin 2, el ctodo, es la parte baja, que acta como el control de DC. Cuando el LED est encendido, brilla una luz dentro de la opto para activar el circuito de paso por cero. Esto proporciona el aislamiento ptico (de ah el nombre), ya que no hay conexin elctrica a partir de la entrada de CC a la salida de CA. Al encender o apagar la optoelectrnica, que se enciende o apaga la CA en la prxima mitad del ciclo que atraviesa cero voltios. El opto en el tablero TriacOut4 es un MOC3041M, de FairchildSemiconductor. La serie MOC30xx es muy comn, con diferentes versiones para diferentes voltajes y corrientes. El MOC3041M es de 400V pico, por lo que se encargar de 120 y 240 AC, y tiene una entrada de corriente de 15 mA. Hay corrientes de entrada disponible ms baja, que afectara a la seleccin de la resistencia pull-up. Nota: los dos pines no utilizados, 3 y 5, deben permanecer desconectados.

DC entrada lgica La tarjeta de salida Triac se compone de dos conectores y una resistencia pull-up, que conectan a la entrada del optoacoplador.

Detalles de tensin de entrada de corriente El voltaje en la optoelectrnica de LED es 1.3V, por lo que (5 - 1.3) / 15mA = 246 ohmios. La vuelta a la corriente, y la resistencia tiene una norma de 220 ohmios. Para la tensin mxima, la resistencia de 1/4W es el factor limitante. V^ 2 / 220 ohm = 0,25 W, de modo que V = 7,4 V mx. 9 V. Entrada AC y fusible: La entrada de CA es formado por un conector y fusible. El tornillo de 2 pines del bloque terminal, J3, conecta la parte de conmutacin para el fusible y en el triac, adems conecta a la parte comn (generalmente neutro) a los pines comn de todos los conectores de salida.

El fusible se utiliza para todos los canales, por lo que no estn aislados unos de otros. El fusible tiene un valor de corriente de 6,3 A.

Salida de AC:

Circuito final:

Programa en ensamblador:

__CONFIG _CP_OFF & _WDT_OFF & _PWRTE_ON & _XT_OSC CBLOCK 0x0C CicloTrabajo GuardaValor GuardaEntrada Timer0_ContadorA ENDC TMR0_Carga MAX_ENTRADA EQU d'256'-d'245' EQU .16

#DEFINE SalidaMarcha PORTA,3 ORG 0 goto Inicio ORG 4 goto Timer0_Interrupcion Mensajes Addwf PCL,F Mensaje0 DT " VELOCIDAD", 0x00 Inicio call movlw call bsf bcf movlw movwf movlw movwf bcf LCD_Inicializa Mensaje0 LCD_Mensaje STATUS,RP0 SalidaMarcha b'11110000' PORTB b'00000001' OPTION_REG STATUS,RP0

bcf Principal TesteaVelocidad movf swapf movwf andlw movwf btfsc goto sublw btfsc goto btfss goto movf movwf movlw movwf goto DC_CeroPorCiento bcf goto DC_100PorCiento bsf InhabilitaInterrupcion clrf Fin ;Subrutina ;

SalidaMarcha

PORTB,W PORTB,W GuardaValor b'00001111' GuardaEntrada STATUS,Z DC_CeroPorCiento MAX_ENTRADA STATUS,Z DC_100PorCiento STATUS,C DC_CeroPorCiento GuardaEntrada,W CicloTrabajo b'10100000' INTCON Fin

SalidaMarcha InhabilitaInterrupcion

SalidaMarcha

INTCON goto Principal " visualixa velocidad"---;

Visualixa movlw call clrw swapf call call return .6 LCD_PosicionLinea2 PORTB,W BIN_a_BCD LCD_Byte

; Subrutina "Timer0_Interrupcion" ----; ; ; CBLOCK Guarda_W Guarda_STATUS ENDC Timer0_Interrupcion Movwf swapf movwf bcf movlw movwf decfsz goto btfsc goto EstabaBajo bsf call movf movwf goto SalidaMarcha visualixa CicloTrabajo,W Timer0_ContadorA Fin_Timer0_Interrupcion Guarda_W STATUS,W Guarda_STATUS STATUS,RP0 TMR0_Carga TMR0 Timer0_ContadorA,F Fin_Timer0_Interrupcion SalidaMarcha EstabaAlto

EstabaAlto bcf call movf sublw movwf Fin_Timer0_Interrupcion swapf movwf swapf movwf swapf swapf bcf bcf retfie INCLUDE INCLUDE INCLUDE INCLUDE END GuardaValor,W PORTB Guarda_STATUS,W STATUS Guarda_W,F Guarda_W,W INTCON,RBIF INTCON,T0IF <RETARDOS.INC> <BIN_BCD.INC> <LCD_4BIT.INC> <LCD_MENS.INC> SalidaMarcha visualixa CicloTrabajo,W .16 Timer0_ContadorA

También podría gustarte