Está en la página 1de 8

ESCUELA POLITCNICA NACIONAL

FACULTAD DE INGENIERIA ELECTRICA Y ELECTRONICA


Departamento de Electrnica, Telecomunicaciones
y Redes de la Informacin

INFORME

PREPARATORIO

SISTEMAS DIGITALES
PRCTICA N: 8
TEMA: Diseo Flip-Flops

REALIZADO POR:
ALUMNO (s): Isaac Pozo

Katherine Valdivieso
Dayana Vsquez
3

GRUPO: 5

SUBGRUPO:

FECHA DE ENTREGA: 2016

Semestre:

AO

Enero
MES

/ 13
DIA

Febrero Junio

RECIBIDO POR:________________________________________________
SANCIN: ____________________________________________________

2015-B
PRCTICA 8

OBJETIVOS

Entender el diseo y funcionamiento de multivibradores biestables,


ms conocidos como flip-flops, as como su utilidad prctica.

INFORME
I.

Haga el anlisis de los resultados obtenidos en esta prctica.


Comente las modificaciones hechas a su circuito y las causas
que las motivaron.

La implementacin de los circuitos para realizar los flip flops fueron


adecuadas ya que funcionaron correctamente, de acuerdo a las tablas de
verdad que deba cumplir cada tipo de flip flop.
No fue necesario realizar modificaciones a ninguno de los circuitos ya que
los resultados estaban correctos.
Se pudo comprobar adems que con la utilizacin de compuertas, como de
circuitos integrados se logran obtener los mismos resultados a las salidas de
cada tipo de flip- flop.
En el caso de los flip- flops sincrnicos que se activaban con una seal de
reloj, se implement previamente un circuito que entregaba un tren de
pulsos mediante el CI 555, con la conexin adecuada de un capacitor de
100 uF, una resistencia de 1k y un potencimetro de 50 k que permiti la
variacin de frecuencia de dicha seal de reloj.
II.

Investigue acerca de la utilidad de los flip-flops en circuitos


prcticos.

Los flip flops son circuitos digitales muy utilizados en diversas


aplicaciones; como el diseo e implementacin de circuitos de control
electrnico bastante bsicos (pequeas alarmas, cerraduras electrnica de
secuencia). Adems los flip flops tambin son utilizados en circuitos
secuenciales como relojes digitales y cronmetros.
Entre las principales aplicaciones de los flip flops se pueden destacar:
Almacenamiento de datos en paralelo:
En circuitos digitales, los datos son almacenados comnmente en grupos de
bits que representan nmeros, cdigos, o cualquier otra informacin.
As, es normal encontrarse con varios bits almacenados en lneas en
paralelo y se almacenen simultneamente en un grupo de flip-flops.

Cada una de las tres lneas de datos se conecta a la entrada D de un flipflop tipo D. Puesto que todas las entradas de reloj se conectan a una seal
de reloj comn, los datos de las entradas D se almacenan simultneamente
en los flip-flops en el flanco positivo del reloj.
Registros de Corrimiento:
En el procesamiento digital de datos se necesita con frecuencia retener los
datos en ciertas ubicaciones intermedias del almacenamiento temporal, con
el objeto de realizar algunas manipulaciones especficas, despus de las
cuales los datos modificados se pueden enviar a otra localizacin similar.
Los dispositivos digitales donde se tiene este almacenamiento temporal se
conocen como registros de corrimiento o de desplazamiento. Dado que la
memoria y el desplazamiento de informacin son sus caractersticas
bsicas, los registros son circuitos secuenciales constituidos por flip-flops,
donde cada uno de ellos maneja un bit de la palabra binaria.
Por lo general se da el calificativo de registro a un conjunto de 8 o ms flipflops. Muchos registros usan flip-flops tipo D aunque tambin es comn el
uso de flip-flops JK. Ambos tipos pueden obtenerse sin dificultad como
unidades comerciales.
Divisin de frecuencia
Cuando se aplica una onda cuadrada peridica (TTL) a la entrada de reloj de
un flip-flop J-K conectada en modo toggle, la salida Q es una seal cuadrada
con una frecuencia mitad de la de la seal de reloj. Si se conectan ms flipflops en cascada como se indica en la figura, se pueden conseguir sucesivas
divisiones por dos de la frecuencia de la seal de reloj de entrada.

La salida Q del segundo flip-flop es un cuarto de la frecuencia de la seal de


reloj original. Esto es porque la seal de reloj se divide a la mitad en el
primer flip-flop, y es de nuevo dividida a la mitad en el segundo flip-flop. Si
se conectan ms flip-flops de la misma forma, la divisin de la frecuencia
sera de1/2n, donde n es el nmero de flip-flops conectados.
III.

Explique porque se le considera al flip-flop como la unidad


bsica de memoria.

Un flip - flop es un circuito que puede almacenar informacin, ya que puede


permanecer en dos posibles estados cuanto tiempo lo requiera el usuario,
siempre y cuando no se modifique el estado del flip - flop y que la seal de
reloj no habilite ningn tipo de cambio.
Por este motivo es que al FF tambin se lo llama biestable, por que posee
dos estados estables y pueden permanecer en cualquiera de ellos por un
tiempo indefinido. Lo cual permite la memorizacin de datos tomando en
cuenta que el flip- flop almacena un solo bit.
IV.

Consulte sobre los circuitos detectores de flanco para Flip


Flops.

En una seal digital, se denomina flanco a la transicin del nivel bajo al alto
(flanco de subida) o del nivel alto al bajo (flanco de bajada).
En la siguiente figura se representa un circuito tpico de detector de flancos.

Al pasar la seal A de bajo a alto, tras el retardo, t1, propio por la puerta NO,
su salida, B, conmutar a bajo. Pero durante dicho retardo, ambas entradas
de la puerta NO Y quedan a alto, lo que har que, transcurrido su tiempo de
retardo, t2, su salida proporcione un impulso negativo de duracin t1.
De este modo se indica que en la entrada A se ha producido un flanco de
subida.

En la siguiente figura se puede observar un detector de flanco de bajada.


Este enva un pulso positivo ante un flanco de bajada en la entrada. El
flanco de bajada es detectado por el retardo que realiza la compuerta NOT
as cuando a la entrada haya uno las dos entradas de la or negada sern
uno y cero, por tanto la salida ser de cero, pero en el momento en que la
entrada sea de cero la conexin directa que hay a la OR negada nos dar un
cero inmediato en una de sus entradas, mientras que por el retardo que
presenta la NOT tambin tendremos un cero y esto nos generar un uno a la
salida por unos momentos, o sea la deteccin del flanco de bajada.
Algunos
circuitos
digitales
(Flip
flops, contadores, registro
de
desplazamiento, etc.) poseen entradas de sincronismo que se activan por
flancos.
Flip flops RS sncronos por flancos
En estos biestables se introduce un circuito detector de flancos

El disparo (activacin del FF) se puede dar tanto en el flanco de subida


como el de bajada, esta situacin viene reflejada en la en la tabla de verdad
del FF, como en la siguiente en las que las flechas hacia arriba indican que
se utiliza el flanco de subida de la seal de reloj.

De todas formas en la representacin del FF RS en los circuitos tambin


podr diferenciarlos segn muestra la siguiente figura:

V.

Comente la siguiente afirmacin: Los flip-flop son la base de


las memorias.

Esta afirmacin es correcta debido a que como ya se explic anteriormente


los flip flops almacenan un bit de informacin ya sea en forma de 1L o 0L.
Por lo cual un flip flop se comporta como una celda bsica de memoria, lo
cual permitir almacenar cadenas de datos binarios mucho ms extensas.
VI.

Utilizando flip-flops tipo J-K, disee un contador sncrono


mdulo 56, con control ascendente descendente y control de
arranque y detencin. Presente su diseo simulado en un
paquete computacional.

CONCLUCIONES
Isaac Pozo

El flip flop es la unidad de memoria y gracias a una seal se puede


habilitar una funcin de otra forma el flip flop permanecer en el
ultimo estado en el que se lo dej. Esto es de gran utilidad para
realizar circuitos secuenciales donde importa el instante de tiempo en
el importan las condiciones de las entradas.

Existen muchos tipos de flip flops como por ejemplo el tipo D que
copia la entrada o el tipo T que identifica entre complementar la
salida o dejarla igual. Estos pueden tener diferentes tipos de
aplicaciones como la transmisin de datos o el conteo de frecuencia
respectivamente.

Se aprovecha que al dividir la frecuencia varias veces el resultado es


la numeracin binaria. Gracias a esto se puede realizar un conteo e
incluso se puede reiniciar el conteo a partir de un nmero.

Katherine Valdivieso

El flip-flop ms utilizado es el flip-flop J-K, ya que no tiene en su tabla


de funcin condiciones prohibidas, y en base a este flip-flop resulta fcil
implementar los diferentes tipos de flip-flops de ser necesario.
Un flip-flop sincrnico adems de sus respectivas entradas, depende
tambin de la seal de reloj, la cual debe estar en estado activo para un
correcto funcionamiento del dispositivo.
Las entradas asincrnicas de un flip-flop (preset y clear) tienen mayor
jerarqua que las entradas del dispositivo e incluso que la seal de reloj,
las mismas que al estar en su estado inactivo, no afectan al
comportamiento del circuito.
Dayana Vsquez

Siempre los diseos digitales simplificados a su mnima expresin son


los que resultan ms utilizados e implementados en la realidad,
debido principalmente al espacio fsico.

El diseo de circuitos combi nacionales representan una solucin a


problemas aplicables a sucesos reales, pero para su buen
desempeo, los resultados deseados deben ser interpretados
correctamente, teniendo en cuenta todas las situaciones posibles y
las peores condiciones.
Se utiliz los principios bsicos del diseo de sistemas digitales a la
solucin de problemas lgicos combinacionales, mediante la
simplificacin de las funciones utilizando el mtodo del Mapa de
Karnaugh.

Se comprendi el funcionamiento de los Flip-Flops, los cuales son


circuitos bsicos empleados para realizar diversas aplicaciones, como
son: contadores asincrnicos y los divisores de frecuencia.

Se observ que los contadores en general pueden usarse como


divisores de frecuencia, la frecuencia de salida ser igual a la
frecuencia del reloj de entrada dividida sobre el mdulo del contador.

BIBLIOGRAFIA

SISTEMAS DIGITALES PRINCIPIOS Y APLICACIONES. Tocci Ronald J.,


Sexta edicin, Ed. Prentice Hall, Hispanoamericana.
http://es.wikipedia.org/wiki/Biestable
http://www.forosdeelectronica.com/tutoriales/flip-flops.htm
http://ingeniatic.euitt.upm.es/index.php/tecnologias/item/457-flip-flop

También podría gustarte