Está en la página 1de 9

Momento II

Aporte individual
Curso Sistemas Digitales Secuenciales.
Cdigo 90178

Por
Oscar Daniel Fajardo Cdigo: 1.065.632.645
No. Grupo: 14

Presentado a
Carlos Emel Ruiz

Universidad Nacional Abierta y a Distancia UNAD


CEAD Florencia
Escuela de Ciencias Bsicas Tecnologas E Ingenieras
07 de Septiembre de 2014

INTRODUCCIN

El presente trabajo muestra el diseo de un pequeo vehculo impulsado por dos


motores DC, uno en cada rueda trasera. El carro contar con dos sensores en la
parte frontal que servirn para detectar el impacto del carro con un obstculo. En
su diseo se usa una circuitera combinacional y circuitos secuenciales, se utiliza
dispositivos electrnicos tales como flip-flop, temporizadores, etc. En el desarrollo
del trabajo se muestran algunos aspectos importantes como el diagrama de
bloques, descripcin de la forma en que los flip-flop pueden resolver el problema
planteado. La implementacin del diseo ser ilustrada mediante simulacin en el
software Proteus.
Con el presente informe se evidencia la asimilacin de las temticas vistas en
Sistemas Digitales Secuenciales, a travs del diseo y funcionamiento de un
pequeo vehculo; utilizando como estrategia pedaggica el trabajo en equipo

DESARROLLO DE LA ACTIVIDAD

Fase 1: Descripcin del Problema.


Una vez estudiados los contenidos de la unidad I, usando una circuitera
combinacional y circuitos secuenciales, se debe disear un pequeo vehculo
impulsado por dos motores DC, uno en cada rueda trasera. El carro contar con
dos sensores en la parte frontal que servirn para detectar el impacto del carro
con un obstculo. El diseo debe ser tal que el vehculo inicie su marcha normal
hacia adelante; una vez ste impacte debe recordar que el choque activa uno de
los sensores con el fin que el vehculo retroceda girando en un sentido diferente.
No importa cul de los dos sensores se active o haga el impacto siempre debe
cambiar de giro, no importa que impacte dos veces con el mismo microswitche.
Una vez que uno de los sensores haya detectado el impacto del carro, ste deber
retroceder por un tiempo de dos (2) segundos y reiniciar su marcha hacia
adelante, este tiempo debe ser controlado usando un temporizador 555 en modo
monoestable.

Fase 2: Implementacin.
Para realizar este procedimiento el estudiante debe haber ledo los contenidos
relacionados con la unidad I, adems de indagar las referencias bibliogrficas
recomendadas para los temas de Flip Flops y cerrojos.

1. El estudiante debe describir la necesidad o problema muy bien.


Usando circuitera combinacional y los circuitos secuenciales estudiados en la
primera unidad, disear un pequeo vehculo, impulsado por dos motores DC,
uno encada rueda trasera del vehculo. El carro contar con dos micro-swiches
en su parte frontal que le servirn para detectar el impacto con un obstculo.
El diseo debe ser tal, que el vehculo inicie su marcha hacia adelante. Una
vez el vehculo impacte, debe recordar este choque con el fin de que pueda
retroceder girando en un sentido diferente luego de cada impacto. Una vez que
uno de los sensores (micro-swiches) hayan detectado el impacto del vehculo,

ste deber retroceder con un tiempo de reversa de 2 segundos, este tiempo


debe ser controlado usando un temporizador 555 en modo monoestable, una
vez transcurrido este perodo de tiempo, el vehculo deber iniciar nuevamente
su marcha hacia adelante.

2. Elaborar una lista de materiales.

Referencia

Descripcin

74L04

COMPUERTA NOT

7408

COMPUERTAS AND

L293D

PUENTE H

74LS76

FLIP-FLOP TIPO JK

KIA7805AP

REGULADOR

(2)

MOTORES 12 Vdc

(2)

SWITCH

100F,150F, 0F

CONDENSADORES

45.4K

POTENCIOMETRO

10K

RESISTENCIAS

74LS32

COMPUERTAS OR

3. Elaborar un diagrama de bloques.

4. Definir las variables lgicas de entrada y salida del sistema.


De acuerdo a las seales capturadas por los sensores (micro switches), el
pequeo vehculo tendr la capacidad de moverse libremente hacia adelante o
cambiar sus movimientos para evadir el obstculo. Los sensores son los
encargados de detectar los obstculos.
Las seales de entrada a cargo de los sensores son las variables lgicas de
entrada y la seal de salida se ver reflejada en el movimiento de los motores
que a su vez generan el movimiento general del pequeo vehculo.
Las seales de entrada y de salida son continuas y tienen un carcter binario,
es decir que el 1 lgico indica cinco voltios DC y 0 lgico indican cero voltios
DC.
Los sensores o micro switches estn conectados a 0 lgico en su estado
normal, es decir cuando no hay obstculos y se mueve hacia adelante. Cuando
alguno o los dos sensores detectan la presencia de un obstculo, el sensor se
conecta a 1lgico

La seal de salida se ver reflejada en el movimiento de los motores que a su


vez generan el movimiento general del vehculo, de la siguiente manera:
Si hay deteccin del obstculo:
1. El pequeo vehculo se detiene.
2. Encamina su movimiento hacia atrs por un tiempo de 2 segundos
3. Cambia su direccin hacia la derecha o hacia la izquierda.
4. Va hacia adelante nuevamente.
Si no hay deteccin del obstculo, el vehculo continua su movimiento hacia
adelante.

5. Realizar el programa en la herramienta de VHDL.

DIAGRAMA DE BLOQUES EN VHDL


Librera:
Library ieee:
Use ieee, std_logic_1164.all;
Entidad:
Entity circuito_secuencial is
port (

clk:
in std_logic;
J0, K0: in std_logic;
J1, K1: in std_logic;
J2, K2: in std_logic;
Q0, Neg Q0: outbuffer std_logic;
Q1, Neg Q1: outbuffer std_logic;
Q2, Neg Q2: outbuffer std_logic;

End circuito_secuencial;
Arquitectura: Desarrollado en un estilo de comportamiento o tambien llamado
behavioral, que define la funcionalidad del dispositivo mediante un algoritmo
ejecutado secuencialmente, de forma muy parecida a como lo hace cualquier
programa escrito en un lenguaje de programacion comun.
Architecture circ_seq of circuito_secuencial is begin

Q0, Neg Q0: std_logic;


J0, K0: std_logic_vector (1 downto 0);
Q1, Neg Q1: std_logic;
J1, K1: std_logic_vector (1 downto 0);
Q2, Neg Q2: std_logic;
J2, K2: std_logic_vector (1 downto 0);

begin
input0<=J0, K0;
input1<=J1, K1;
input2<=J2, K2;
p: process(
clk) is
begin
if rising_edge (clk) then
case (input0) is
when 11 =>
Q0, <=Neg Q0;
when 10 =>
Q0, <= 1;
when 01 =>
Q0, <= 0;
when others =>
nult:
end case;
case (input1) is
when 11 =>
Q1, <= Neg Q1;
when 10 =>
Q1, <= 1;
when 01 =>
Q1, <= 0;
when others =>
null;
end case;
case (input2) is
when 11 =>
Q2, <= Neg Q2;
when 10 =>
Q2, <= 1;
when 01 =>
Q2, <= 0;
when others =>
null;
end case;

end if;
end process;
End circ_seq
I.

La etapa de sealizacin, conformada por el decodificador y el display


de siete segmentos.
Librera:

library ieee;
use ieee.std_logic_1164 all;
Entidad:
entity display_7segmentos is
port (
Q2 downt0 Q0: in bit vector (2 downto 0)
Salida: out bit_vector (6 downto 0) );
end display_7segmentos;
Arquitectura: Desarrollada en el mismo estilo comportamental.
architecture disp_7segment of dispaly_7segmentos is
begin
p: process (

Q2 downt0 Q0:)

begin
caso Q2 downt0 Q0: is
when 000 => salida <= 0000000
when 101 => salida <= 0110000
when 011 => salida <= 1111001
when 101 => salida <= 1011011
when 111 => salida <= 1110000
when 010 => salida <= 1101100
when 100 => salida <= 0110011

when 110 => salida <= 0011111


end case;
end process;
end disp_7segment;

REFERENCIAS BIBLIOGRAFICAS

Syllabus del curso Sistemas Digitales Secuenciales.


Guia integradora curso Sistemas Digitales Secuenciales.

También podría gustarte