Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Solucion de Los 5 Primero Puntos Oscar Daniel Fajardo
Solucion de Los 5 Primero Puntos Oscar Daniel Fajardo
Aporte individual
Curso Sistemas Digitales Secuenciales.
Cdigo 90178
Por
Oscar Daniel Fajardo Cdigo: 1.065.632.645
No. Grupo: 14
Presentado a
Carlos Emel Ruiz
INTRODUCCIN
DESARROLLO DE LA ACTIVIDAD
Fase 2: Implementacin.
Para realizar este procedimiento el estudiante debe haber ledo los contenidos
relacionados con la unidad I, adems de indagar las referencias bibliogrficas
recomendadas para los temas de Flip Flops y cerrojos.
Referencia
Descripcin
74L04
COMPUERTA NOT
7408
COMPUERTAS AND
L293D
PUENTE H
74LS76
FLIP-FLOP TIPO JK
KIA7805AP
REGULADOR
(2)
MOTORES 12 Vdc
(2)
SWITCH
100F,150F, 0F
CONDENSADORES
45.4K
POTENCIOMETRO
10K
RESISTENCIAS
74LS32
COMPUERTAS OR
clk:
in std_logic;
J0, K0: in std_logic;
J1, K1: in std_logic;
J2, K2: in std_logic;
Q0, Neg Q0: outbuffer std_logic;
Q1, Neg Q1: outbuffer std_logic;
Q2, Neg Q2: outbuffer std_logic;
End circuito_secuencial;
Arquitectura: Desarrollado en un estilo de comportamiento o tambien llamado
behavioral, que define la funcionalidad del dispositivo mediante un algoritmo
ejecutado secuencialmente, de forma muy parecida a como lo hace cualquier
programa escrito en un lenguaje de programacion comun.
Architecture circ_seq of circuito_secuencial is begin
begin
input0<=J0, K0;
input1<=J1, K1;
input2<=J2, K2;
p: process(
clk) is
begin
if rising_edge (clk) then
case (input0) is
when 11 =>
Q0, <=Neg Q0;
when 10 =>
Q0, <= 1;
when 01 =>
Q0, <= 0;
when others =>
nult:
end case;
case (input1) is
when 11 =>
Q1, <= Neg Q1;
when 10 =>
Q1, <= 1;
when 01 =>
Q1, <= 0;
when others =>
null;
end case;
case (input2) is
when 11 =>
Q2, <= Neg Q2;
when 10 =>
Q2, <= 1;
when 01 =>
Q2, <= 0;
when others =>
null;
end case;
end if;
end process;
End circ_seq
I.
library ieee;
use ieee.std_logic_1164 all;
Entidad:
entity display_7segmentos is
port (
Q2 downt0 Q0: in bit vector (2 downto 0)
Salida: out bit_vector (6 downto 0) );
end display_7segmentos;
Arquitectura: Desarrollada en el mismo estilo comportamental.
architecture disp_7segment of dispaly_7segmentos is
begin
p: process (
Q2 downt0 Q0:)
begin
caso Q2 downt0 Q0: is
when 000 => salida <= 0000000
when 101 => salida <= 0110000
when 011 => salida <= 1111001
when 101 => salida <= 1011011
when 111 => salida <= 1110000
when 010 => salida <= 1101100
when 100 => salida <= 0110011
REFERENCIAS BIBLIOGRAFICAS