Está en la página 1de 24

INTRODUCCIN

En el siguiente trabajo se explicar los que son los registros de


desplazamiento, mostrando lo que es su teora en la que se explica la forma
en como estos componentes funcionan, adems la forma en cmo se
almacena un bit por biestables, los componentes de registro con su
respectivo diagrama que es simulado en el software LiveWire.

OBJETIVOS
Explicar lo que son los registros y su funcionamiento, a travs de base
terica, para entender con ms precisin dichos componentes.

Muestra de diagramas de algunos tipos de componentes de registro


con su implementacin en software de simulacin.

MARCO TEORICO
Un registro de n bits es un dispositivo que tiene capacidad de almacenar n
bits, internamente estn formados por biestables, tantos como bits sea capaz
de almacenar el registro.
Normalmente estos dispositivos son sncronos siendo los biestables D los
ms usados para la implementacin interna.

QU ES UN BIESTABLE?
Los BIESTABLES nos son necesarios para la sntesis de los circuitos
secuenciales, que son aquellos cuya salida depende de la entrada actual y
de las entradas en momentos anteriores. Los biestables sern los
encargados de almacenar (MEMORIA) el estado interno del sistema.
Antes de poder crear un biestable, necesitamos saber cmo se almacena un
bit.

CMO SE ALMACENA UN BIT?

Seguidamente ahora si podemos crear un biestable, luego de ya saber la


forma en cmo se almacena un bit.
CMO CONSTRUIR UN BIESTABLE?

En cuanto a las operaciones bsicas que se realizan sobre los registros


destacamos fundamentalmente dos; escritura (W) y lectura (R), aunque
habitualmente se pueden encontrar registros que incorporan operaciones
como Clear.
Todos los registros que tengan algn modo de funcionamiento en serie para
lectura o para escritura se denominan Registros de desplazamiento (Shift
registers). Podemos encontrar dos tipos de registros de desplazamiento en
funcin del sentido de movimiento de los bits: izquierda o derecha.

REGISTROS DE DESPLAZAMIENTO
Un registro de desplazamiento es un circuito digital secuencial (es decir, que
los valores de sus salidas dependen de sus entradas y de los valores
anteriores) consistente en una serie de biestables, generalmente de tipo D,
conectados en cascada, que basculan de forma sincrnica con la misma
seal de reloj. Segn las conexiones entre los biestables, se tiene un
desplazamiento a la izquierda o a la derecha de la informacin almacenada.

Es de sealar que un desplazamiento a la izquierda de un conjunto de bits,


multiplica por 2, mientras que uno a la derecha, divide entre 2.
Registro de desplazamiento a la derecha. Y la forma en cmo se desplaza el
bit.

Registro de desplazamiento a la izquierda. Y la forma en cmo se desplaza


el bit.

Los registros se pueden clasificar en funcin de cmo se lean o se escriban


los bits, as podemos encontrar:
Registros con entrada en serie (entrada hace referencia a escritura) y
salida en serie (salida hace referencia a lectura).
Registros con entrada en serie y salida en paralelo.
Registros con entrada en paralelo y salida en serie.
Registros con entrada en paralelo y salida en paralelo.

Si disponemos de un registro de n bits, diremos que si este tiene entrada en


serie, entonces el registro slo tiene una lnea de entrada de datos por la
que, uno tras otros, se introducen los n bits que sern almacenados por el
registro. Si un registro de n bits se dice que tiene entrada en paralelo,
entonces dicho registro dispone de n lneas de entrada, una por cada bit, por
la que se introducen simultneamente los n bits al registro.
Estos conceptos de entrada en serie y entrada en paralelo son igualmente
aplicables para la salida en serie y salida en paralelo. Un registro de n bits
tiene salida en serie, implica que tiene una nica lnea de salida por la que,
uno tras otro, van saliendo los n bits almacenados en el registro. Si un
registro de n bits tiene salida paralelo, implica que dispone de n salidas, una
por cada bit, de forma que simultneamente se leen todos los bits del
registro.

REGISTRO DE ENTRADA EN SERIE


Y SALIDA EN SERIE (SISO)
Una estructura de un registro de 4 bits de entrada en serie y salida en serie
se muestra en la siguiente figura.

Para todos los registros de desplazamiento es necesario que los biestables D


que lo constituyen sean disparados por flanco-. Slo se salva de esta regla el
registro de entrada paralelo y salida en paralelo, el cual suele estar formado
por biestables disparados por nivel.
Se observa en el registro de la figura anterior, que los biestables estn
conectados de forma que la salida de cada uno corresponde con la entrada
del biestable situado inmediatamente a su derecha. As mismo, la salida del
biestable situado a la derecha del conjunto se corresponde con la salida del
registro en serie, mientras que la entrada para el biestable situado a la
izquierda del conjunto se corresponde con la entrada de datos del registro.
Para que el registro opere de la forma adecuada, los bits de entrada deben
estar sincronizados con la seal del reloj, esto es, un bit de entrada por cada
ciclo de reloj. El primer bit, B 0, de entrada se escribir en el biestable D 3,
encima de B0, el cual pasa, al biestable D3. El tercer bit de entrada B2, se
escribe nuevamente en D3, encima de B1, el cual pasa al biestable D 2,
sobrescribiendo el bit B0, que a su vez, pasa a D 1. As se completa la
secuencia de escritura para los cuatro bits.

Para la lectura en serie el procedimiento es similar. Slo hay que tener en


cuenta que para leer el primer bit almacenado B 0, no es necesario esperar
ningn ciclo del reloj, ya que la salida del ltimo biestable, (y por lo tanto el
bit B0), se encuentra conectada con la salida del registro.

La siguiente figura ilustra el proceso de lectura de cada ciclo del reloj.

Por lo visto, podemos decir que el registro de entrada en serie y salida en


este apartado es un registro de desplazamiento a derecha. El registro de
desplazamiento a izquierda se hubiera construido de forma similar sin ms
que conectar la salida de un biestable con la entrada del biestable situado a
la izquierda. La entrada del registro seria en este caso B 0, y la salida, Q3.

Un circuito que nos podra servir para demostrar este tipo de registro de
desplazamiento es el 74LS74.

Con el software de simulacin de circuitos electrnicos LiveWire se puede


demostrar su funcionamiento. Vista del diagrama simulado en donde se
muestra el desplazamiento de bits.

REGISTR CON ENTRADA EN SERIE


Y SALIDA EN PARALELO (SIPO)
La siguiente figura muestra la estructura bsica de un registro de entrada en
serie y salida en paralelo de 4 bits. Al igual que en el apartado anterior, este
es un registro de desplazamiento a derecha.

El procedimiento de escritura es el mismo que el descrito en el apartado


anterior. En cuanto a la lectura, se hace evidente que el registro no depende
de la seal de reloj para mostrar su contenido, este siempre aparece en las
lneas de salida.
El circuito 74LS164 es el adecuado para demostrarlo.

Con el software de simulacin de circuitos electrnicos LiveWire se puede


demostrar su funcionamiento. Vista del diagrama simulado en donde se
muestra el desplazamiento de bits.

REGISTR CON ENTRADA EN


PARALELO Y SALIDA EN SERIE
(PISO)

La siguiente figura ilustra la estructura bsica de un registro de estas


caractersticas.

El proceso de lectura es igual a los anteriores, para lo que se hace


necesario que los biestables estn conectados entre s, salida de uno con la
entrada del siguiente. (Se comprueba que el registro de desplazamiento es
nuevamente a la derecha). Aqu se modifica el proceso de escritura, que se
realiza cuando la lnea Load est activa.
En la escritura en paralelo, todos los bits de la entrada Ai, se cargarn
simultneamente en los biestables Qi.
En la figura se ha escogido un procedimiento de carga asncrona, usando las
entradas de Clear y Preset de los biestables. (Se deja al estudiante la
estructura para un procedimiento de carga o escritura sncrona). Se ha
diseado un circuito combinacional, formado por dos puertas NAND y un
inversor, que controla las lneas de Cl y Pr de cada biestable en funcin del
bit a escribir, Ai, y de la seal de control Load. Cuando esta ltima est a 0,
las entradas Cl y Pr estn a 1, no ocurre nada. Si Load = 1, entonces si Ai =
0, se activa Cl y si Ai = 1, se activa Pr, esto es escritura asncrona de un 0, o
un 1 respectivamente. Haciendo extensible este proceso de escritura de un
biestable a todos los biestables que constituyen el registro, tenemos el
proceso de escritura del mismo.
Es un registro con entradas de datos paralelo, los bits se introducen
simultneamente en sus respectivas etapas a travs de lneas paralelo, en
lugar de bit a bit a travs de una nica lnea como sucede en la entrada
serie. Para describir el comportamiento de un registro paralelo-serie vamos a

considerar el circuito formado por cuatro flip-flops D conectados en serie


(registro de cuatro bits).
Partimos de que inicialmente la salida de cada flip-flop est a 0 y que se
aplica al circuito una seal de reloj.

Obsrvese que el circuito tiene cuatro lneas de entrada de datos, D0, D1,
D2y D3y una entrada SHIFT/LOAD (desplazamiento/carga), que permite
cargar en paralelo los cuatro bits de datos en el registro.
Cuando esta entrada est a nivel bajo, las puertas G1, G2 y G3se activan,
permitiendo que cada bit sea aplicado a la entrada D de su respectivo flipflop. Cuando se aplica un pulso de reloj, los flip-flops con D=1 pasan a SET
(Q=1), y los flip-flops con D=0 pasan a RESET (Q=0), almacenndose de
este modo los cuatro bits simultneamente.
Cuando la entrada SHIFT/LOAD est a nivel alto, las puertas G1, G2y G3 se
inhiben y las puertas G4, G5y G6 se activan, permitiendo que los bits de
datos se desplacen hacia la derecha, pasando de una etapa a la siguiente.
Las puertas OR permiten el desplazamiento normal o la introduccin de
datos en paralelo, dependiendo de qu puerta AND se haya activado, es
decir, del valor de la entrada SHIFT/LOAD.
Se muestra la evolucin temporal (cronograma) de un registro de
desplazamiento paralelo-serie de cuatro bits al introducir a las entradas los
bits 1011.

Una aplicacin de estos registros de desplazamiento es para comunicacin a


larga distancia, en la que se pueden transformar palabras de informacin
paralelas en forma serie para enviarlas a travs de una sola lnea.
El circuito 74165 y el 74166 cumplen con este desplazamiento.

74165

Con el software de simulacin de circuitos electrnicos LiveWire se puede


demostrar su funcionamiento. Vista del diagrama simulado en donde se
muestra el desplazamiento de bits.

REGISTR CON ENTRADA EN


PARALELO Y SALIDA EN PARALELO
La siguiente figura ilustra la estructura de un registro con entrada y salida en
paralelo de 4 bits.

Como se observa, los biestables son del tipo disparado por nivel bajo en
lugar de disparado por flanco. Esto suele ser habitual en este tipo de
registros, denominados tambin como LATCH, aunque no se descarta la
posibilidad que se pueden disear con los biestables disparados por flanco.
Si la seal del Clk vale 0, los biestables capturan simultneamente todos los
bits de entrada. Si el Clk vale 1, los biestables mantienen la informacin
capturada que muestran siempre por sus lneas de salida.
Entrada en paralelo, salida en paralelo, visto desde otro diagrama en la
siguiente figura. Y la utilizacin de las puertas lgicas.

Se
el

utiliza

74LS194.

Con el software de simulacin de circuitos electrnicos LiveWire se puede

demostrar su funcionamiento. Vista del diagrama simulado en donde se


muestra el desplazamiento de bits.

CONCLUSIONES:
Los circuitos de registro son muy importantes para la
elaboracin de mecanismos automticos, ya que se pueden
configurar para que tengan cierta secuencia todo junto con
temporizador, adems se mostr la forma en como estos
circuitos se transfieren bits, o mejor dicho como se
desplazan, las formas en cmo pueden entrar y salir, en
paralelo y en serie. Tambin la demostracin por
simulaciones.

Universidad de El Salvador
Facultad Multidisciplinaria Oriental
Departamento de Ingeniera & Arquitectura

Asignatura:
Sistemas Digitales

Docente:
Ing. Milagro de Reyes
Alumnos:
Ingrid Fabiola Ramrez
Nelson Orlando Benavides Cuadra
Mauricio Rigoberto Martnez Romero
Marvin Jos Bentez Bentez

Exposicin: Registros

09.06.2015

También podría gustarte