Está en la página 1de 13

InstitutoTecnolgicodeMinatitln

Tutorial:Galaxy
GuarpidadelusodelprogramaGalaxy

Dr.JosdeJessMorenoVzquez

Materia:DiseoDigitalconVHDL

TutorialdeoperacindelprogramaGalaxy

Contenido

1. Introduccin ............................................................................................................................................ 2
2. Utilizacin del Programa Galaxy ................................................................................................ 2
3. Configuracin de salida de las patillas de la GAL22V10 ....................................................... 9
4. Conversin de un archivo PAL a GAL ........................................................................................ 10
5. Como cargar el archivo .jed en la GAL en proteus ................................................................ 11

Dr.JosdeJessMorenoVzquez

TutorialdeoperacindelprogramaGalaxy

1. Introduccin
Uno de los programa que tambin pueden utilizarse para programar en VHDL y
trabajar con PLD`s es el ispLEVER Classic del fabricante Lattice Semiconductor el cual
se
puede obtener gratuitamente en su pagina (http://www.latticesemi.com/dynamic/in
dex.cfm?fuseaction=view_documents&document_type=154&sloc=01010707), pero
deben registrarse con una cuenta institucional para que se les proporcione una
licencia.
Si no se tiene cuenta institucional, entonces pueden conseguir navegando en el
internet una versin anterior llamada Warp Release 6.3, sobre la cual se dar una
explicacin a travs del programa Galaxy til en la captura, sntesis e
implementacin y el paquete de simulacin HDLSim necesario para la simulacin
de los diseos.
Este tutorial muestra los pasos a seguir para seleccionar el dispositivo PLD y el
diseo de sistemas digitales mediante el lenguaje de descripcin hardware (HDL), con
VHDL. Se ha elegido el dispositivo lgico programable (PLD) PAL22v10 para realizar
los ejemplos de la clase u su respectiva simulacin en proteus, as como, su
implementacin en el laboratorio.

2. Utilizacin del Programa Galaxy


El uso del software se har mediante el desarrollo de un ejemplo sencillo para


indicar todos los pasos a seguir para generar el archivo con extensin .jed, necesario
para la simulacin y programacin de la GAL.
Ejemplo:
Para que un porto n se abra es necesario que este n activados los interruptores
a1 y a2 o b1 y b2 simulta neamente, el cierre de la puerta se produce automa ticamente,

transcurrido un tiempo. Existe una proteccio n para evitar que la puerta baje cuando
hay un coche debajo. La la mpara L permanecera encendida cuando la puerta este
cerrada. Disen ar el circuito y el co digo necesario para la apertura de la puerta,
exclusivamente, y para el control de la la mpara.
Tabla 1. Tabla de verdad el ejemplo
a1 a2 b1 b2

0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111

ML
01
01
01
10
01
01
01
10
01
01
01
10
10
10
10
10

Dr.JosdeJessMorenoVzquez

TutorialdeoperacindelprogramaGalaxy

Ejecutar programa Galaxy:


INICIO> TODOS LOS PROGRAMAS> CYPRESS> WARP R6.3> GALAXY
Una vez abierta la ventana del programa Galaxy (Figura 1), del men seleccione file y New,
se abrir la ventana mostrada en la Figura 2, seleccione project (targetdevice) y oprima
OK.


Figura 1. FILE> NEW

Figura 2. Elegir PROJECT (TARGETDEVICE) > OK

Inmediatamente aparecer la ventana mostrada en la Figura 3, proceda a crear una


carpeta en la raz del disco principal o donde desee, en este caso la carpeta esta en
D:\PROGRAMAS_VHDL2013\tuto, as, el programa a realizar se guardar en la
carpeta tuto. Elija un nombre preferentemente corto de no ms de 8 caracteres, por
ejemplo pract1 y se oprime siguiente, aparecer la ventana de la Figura 4.

Dr.JosdeJessMorenoVzquez

TutorialdeoperacindelprogramaGalaxy

Figura 3. Nombre del proyecto

Figura 4. Oprimir siguiente

Nuevamente oprima siguiente y aparecer la ventana de la Figura 5. Maximice


+
oprimiendoelsigno,ubicadoaladodelterminoSPLD(SmallPLDs),

Dr.JosdeJessMorenoVzquez

TutorialdeoperacindelprogramaGalaxy

Figura 5. Maximizar oprimiendo el signo ms que esta a lado de SPLD (Small PLDs)

SedesplegarlaventanadelaFigura6.Ahora en Device se elige el dispositivo c22v10 y en


Package se selecciona PALCE22V1025PC/PI y se oprime finalizar y aparecer el mensaje
siguiente: Do you want to save the new Project elegir si.

Figura 6. Seleccionar c22v10

AhoraenelmenelegimosFile>New,aparecerlaventanadelaFigura7yseselecciona
TextFileyseoprimeOKyaparecerlaventanadelaFigura8.

Dr.JosdeJessMorenoVzquez

TutorialdeoperacindelprogramaGalaxy

Figura 7. Seleccin de ventana para escribir cdigo

Figura 8. Espacio de trabajo para cdigo VHDL

Ahora en el men se elige File> save as y buscan la carpeta tuto y ponen un nombre
corto con extensin .vhd (ejemplo: progra.vhd) y se oprime guardar, esto puede
observarse en la figura 9.

Dr.JosdeJessMorenoVzquez

TutorialdeoperacindelprogramaGalaxy

Figura 9. Guardado de hoja de trabajo

Ahora ya se puede escribir el cdigo del programa como se aprecia en la Figura 10.

Figura 10. Ejemplo de cdigo VHDL

Una vez escrito el cdigo VHDL, se procede a agregar el cdigo al proyecto, del men
elegir Project > Add all Files, como se aprecia en la figura 11.

Dr.JosdeJessMorenoVzquez

TutorialdeoperacindelprogramaGalaxy

Figura 11. Adicionando programa al proyecto

Agregado el programa al proyecto, del lado superior derecho aparecer el nombre del
archivo en donde se escribi el cdigo VHDL (ver Figura 12).

Figura 12. Archivo adicionado al proyecto

Ahora se proceder a compilar el archivo para verificar que no existen errores, para
ello seleccione del men Compile > Project (Ver Figura 13).

Figura 13. Compilacin de cdigo VHDL

Si el programa no contiene errores se mostrar un mensaje como el que se muestra en


la Figura 14.

Dr.JosdeJessMorenoVzquez

TutorialdeoperacindelprogramaGalaxy

Figura 14. Mensaje de que el programa se compil sin errores

3. Configuracin de salida de las patillas de la GAL22V10


Para saber cmo quedo configurada las terminales de la GAL22V10, basta con
seleccionar la pestaa Output Files View que se encuentra en la parte inferior
izquierda de la ventana y dar doble click sobre pinout y mostrar la ventana de la
Figura 15. Para ver caractersticas de espacio que queda en el dispositivo y las
ecuaciones que son implementadas en la GAL desplcese con la barra de scroll
(marcada con un crculo).

Figura 15. Pinout de la GAL

En este punto ya se ha generado el archivo progra.jed dentro de la carpeta que se eligi al


inicio para guardar el proyecto.

Nota: Como el programa Galaxy genera un archivo con extensin .jed para una PAL
(ver figura 6 donde se seleccion PALCE22V1025PC/PI ), este archivo no puede usarse
en los PLD que contiene proteus ya que estos son considerados como GAL que fueron
una modificacin de mejora de los primeros PAL.
Entonces para poder usar las GAL que estn en el programa proteus es necesario
convertir el archivo para ello en la siguiente seccin se indicar como hacerlo.
Dr.JosdeJessMorenoVzquez

TutorialdeoperacindelprogramaGalaxy

4. Conversin de un archivo PAL a GAL


Para realizar la conversin de un archivo PAL al de una GAL se emplear el programa
PALTOGAL.EXE, el cual es un pequeo programa que se ejecuta en ambiente DOS de
Windows.
Para mayor facilidad ponemos el archivo del programa PALTOGAL.EXE en la carpeta
donde este el archivo con extensin .jed. Ahora se procede a ejecutar el programa
PALTOGAL.EXE y aparecer la pantalla mostrada en la Figura 16

Figura 16. Programa PALTOGAL.EXE

Sobre la ventana de DOS oprimir tecla Enter (Entrar o Intro) y aparecer la ventana
de la Figura 17, la cual permitir que se elija la conversin entre PAL a GAL.

Figura 17. Men de seleccin de componente a convertir

Para la convertir de la PAL22V10 a GAL22V10 se escribe el nmero 47 y aparece la


pregunta que pide poner el nombre del archivo con extensin .jed a convertir se
oprime la tecla Enter y ahora se pregunta el nombre del archivo de salida con
extensin .jed, (para perder el archivo original con extensin .jed, preferiblemente
puede ponerse otro nombre pero con la extensin .jed), nuevamente se oprime Enter
y se escribe la letra H y se oprime la tecla Enter y nuevamente Enter y la ventana se
cerrar sola. Todo el proceso descrito puede observarse en la Figura 18.

Dr.JosdeJessMorenoVzquez

10

TutorialdeoperacindelprogramaGalaxy

Figura 18. Procedimiento de conversin

Ahora si, en la carpeta elegida para guardar el proyecto debe aparecer el nuevo
archivo con extensin .jed. Este archivo ya se puede usarse para simular el circuito en
proteus y para programar la GAL22V10 si se desea.

5. Como cargar el archivo .jed en la GAL en proteus


Se procede abrir el programa ISIS:
INICIO> TODOS LOS PROGRAMAS>PROTEUS 7 PROFESSIONAL> ISIS 7 PROFESSIONAL

Abierto el programa, se busca en la librera de PLD & FPGAs el componente AM22V10


el cual aparecer como se muestra en la Figura 19.

Figura 19. Representacin de la GAL22V10 en proteus

Dr.JosdeJessMorenoVzquez

11

TutorialdeoperacindelprogramaGalaxy

Dando doble click sobre el componente aparecer la ventana de la Figura 20. En la parte
donde dice JEDEC Fuse Map File, oprimiendo el icono de la carpeta (circulo rojo) se abrir la
opcin para buscar el archivo .jed convertido (para el ejemplo progra1.jed) donde se guardo
todo el proyecto del cdigo VHDL.

Figura 20. Carga del archivo con extensin .jed a la GAL

Una vez cargado el programa ya puede implementarse todo el circuito y simular. En la Figura
21 se muestra una forma en que queda montado el circuito y a travs del cual puede
comprobarse la tabla del ejemplo realizado.

Figura 21. Circuito elctrico del ejemplo



Dr.JosdeJessMorenoVzquez

12

También podría gustarte