Documentos de Académico
Documentos de Profesional
Documentos de Cultura
VHDL (Programacion)
VHDL (Programacion)
II. Objetivo
III. Justificacin
end asuma;
El siguiente cdigo presenta un multiplexor
de 5 entradas a 32 salidas.
library ieee;
use iee.std_logic_1164.all;
library ieee;
use ieee.std_logic_1164.all;
entity suma is
port (
0);
end suma;
a1,b2,c3,d4,e5,f6,g7,h8,i9,j10,k11,l12,m1
3,n14,o15,p16,q17,r18,s19,t20,u21,v22,w2
3,x24,y25,z26,a27,b28,c29,d30,e31,f32: out
std_logic);
end Deco;
begin
a1 <= '0';
b2 <= '0';
case vector_x is
c3 <= '0';
d4 <= '0';
e5 <= '0';
f6 <= '0';
g7 <= '0';
h8 <= '0';
i9 <= '0';
process(clk)
begin
then if en='1'
then if load='1'
end case;
end process;
end if;
end arqdeco;
end if;
end if;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
end process;
p_out <= registro;
end Behavioral;
entity ser_par is
Port ( clk, en, load : in STD_LOGIC;
dato : in std_logic_vector(3 downto
0);
p_out : out STD_LOGIC_VECTOR (3
downto 0));
end ser_par;
V. Conclusiones
Este lenguaje de programacin (VHDL) es
nuevo para m, su programacin es muy
parecida al lenguaje C++, con malo cual me
facilito su programacin, un problema que
se tuvo fue el programa Warp Galaxy, ya
que este requiere un sistema operativo casi
obsoleto.
begin