Está en la página 1de 4

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS

FACULTAD DE INGENIERA ELECTRNICA


ESCUELA DE INGENIERA ELECTRNICA

PROBLEMAS PROPUESTOS DE
DISEO DIGITAL
LABORATORIO No1
INTRODUCCIN A LAS HERRAMIENTAS DE
SNTESIS Y USO DEL ESTILO FLUJO DE DATOS PARA
EL DISEO E IMPLEMENTACIN EN FPGA DE
CIRCUITOS COMBINACIONALES

Profesor: Ing. Alfredo Granados Ly.

UNMSM FIEE

Diseo Digital

1. Dibuje como es un CLB de un Spartan 2


2. De cuntas maneras se puede configurar un FPGA Spartan 2?
3. Indique algunas ventajas de la lgica programable sobre circuitos lgicas de funcin
fija.
4. Implemente el cdigo VHDL de un buffer de tipo tres-estados.
5. Implemente el circuito de la siguiente figura en VHDL (decodificador de 3 a 8 con
polaridad positiva y habilitador):

6. Implemente el siguiente circuito en base a multiplexores de 2 a 1:

Ing. Alfredo Granados Ly

Laboratorio No1

UNMSM FIEE

Diseo Digital

7. Explique como funciona el siguiente circuito:

8. Considere las siguientes sentencias de asignacin VHDL:

(a) Escribir el cdigo completo VHDL para implementar F1 y F2.


(b) Use la simulacin funcional de F1 y F2. Indique si hay alguna relacin entra las dos
funciones.

Ing. Alfredo Granados Ly

Laboratorio No1

UNMSM FIEE

Diseo Digital

9. Asuma que un arreglo de compuerta contiene una celda lgica descrita en la siguiente
figura:

Las entradas in1, in2, in3, in7 se pueden conectar a 1 o 0 o a cualquier seal
lgica:
(a) Muestre como sera la celda lgica para realizar la siguiente funcin:

(b) Muestre como sera la celda lgica para realizar la siguiente funcin:

10. Porqu debemos utilizar la biblioteca IEEE?. Indique las ventajas.

Ing. Alfredo Granados Ly

Laboratorio No1

También podría gustarte