Está en la página 1de 642

http://libreria-universitaria.blogspot.

com
Conteni do
l r
PREFACI O
SI STEMAS EI NARI OS
v l
t
I
' t -' l
t - z
t - 5
1- 4
- 5
- 6
- 8
- q
z - l
2-2
2-3
2- 4
2-5
2-6
2-8
Comput adores di gi i al es y si st emas dgi t al es
Nmeros bi na ri os 4
Conversi ones ent re nmeros de base df erent e
Nmeros hexadeci mal es y oct al es 9
Comol ement os I I
Cdi gos bnaros | 6
Al macenami ent o de bi nari os y regi sl ros 23
Lgi ca bi nari a 26
Ci rcui t osi nt egrados 3l
Ref erenci as 33
Probl emas 33
ALGEBRA DE BOOLE Y COMPUERTAS LOGI CAS
Def nci ones l gi cas 36
Def i ni ci n axi omt i ca del l gebra bool eana 38
Teoremas bsi cos y propi edades del l gebra de
Bool e 4l
Fu nci ones bool eanas 45
Formas canni ca y normal i zada 49
Ot ras ope raci ones l gi cas 55
Compuert as l g i cas di gi t al es 58
Fami l i as de ci rcui t os i nt egrados l gi co di gi t al es 62
Ref erenci as 70
Probl emas 7l
36
http://libreria-universitaria.blogspot.com
CONTENI DO
SI MPLI FI CACI ON
DE FUNCI ONES
DE
BOOLE
75
3- 1
3-2
3- 3
3-4
3- 5
3- 6
3-7
3- 8
3- 9
3- 10
3- 11
3- 12
LOG I CA
COM
BI NACI ONAL
f nt r oducci n
| 20
Pr ocedi mi ent o
de di seo
| 2l
Sumador es
123
Sust r act or es
| 27
Conver si n
ent r e
cdi gos
l 30
Pr ocedi mi ent o
de an" f i si s
| 3g
Ci r cui t os
NAND
de muf t i ni ve
l | 36
Ci r cui t os
NOR
de mu t n vi
t 44
Las f unci ones
oR excr usi va
y
de equi var enci a
r 4g
Ref er enci as
I 54
'
Pr obl emas
I 54
LOG I CA
COM
BI NACI ONAL
CON
MS I Y LS I
El mt odo
del mapa
75,
Mapas
de dos y
t r es var i abl es
7Sr /
Mapa
de cuat r o
var i abl es gO
X
Mapas
de ci nco y
sei s var i abl es x. g3
Si mpl i f i caci n
de un pr oduct o
de
sumas
y, g6
Ej ecuci n
con NAND y
NOR
Sg
Ot r as
ej ecuci ones
con dos
ni vel es
g6
Condi ci ones
de NO i m por t a
I 03
Ef mt odo
del t abul ado
I Os
Det er mi naci n
de f os pr i mer os
i mpl i cados
l Os
Sel ecci n
de l os pr i mer os
i mpl i cados
| | |
Obser vaci ones
concl uyent es
| | s
Ref er enci as
| | s
Pr obl emas
| | 6
f nt r oducci n
I Sg
Sumador
par al el o
bi nar i o
| 60
Sumador
deci mal
| 66
Compar ador
de magni t udes
| 70
Decodi f i cador es
| 7 |
Muf t i pl exor es
I
gl
Memor i a
de sol o l ect ur a ( ROM)
Ar r egf o
l gi co
pr ogr amabl e
( pLA)
Not as
concl uyent es
20l
Ref e r enci as
202
Pr obl emas
2O3
4- 1
4-2
4-3
4-4
4-5
4-6
4- 7
4-8
4- E
120
159
5- 1
5-2
5- 3
5-4
5- 5
5- 6
5-7
5- 8
5- 9
188
195
I
I
http://libreria-universitaria.blogspot.com
REG I STROS CONTADO RES Y UN I DAD DE ME MO RI A 265
Pr ocedi mi ent o de di seo 240
Di seo de cont ador es 251
Di seo de ecuaci ones de est ado 255
Ref e r enci as 259
Pr obl emas 260
l nt r oducci n 265
Regi st r os 266
Regi st r os de despl azami ent o 272
Cont ador es de r i zado 282
Cont ador es si ncr ni cos 286
Secuenci as de t i empo 295
La uni dad de memor i a 3OO
Ej empl os de memor i a de acceso al eat or i o 306
Ref e r enci as 3l 2
Pr obl emas 3l 3
LOG I CA DE TRASFE RENCI A DE REG I STROS
6- 1
6-2
6- 3
6-4
6- 5
6- 6
6-7
6- 8
6- 9
7- 1
7- 2
7- 3
7- 4
7- 5
7- 6
7- 7
7- 8
8- 1
8-2
8- 3
8-4
8- 5
8- 6
8-7
8- 8
8- 9
8- 10
8- 11
8- 12
LOGI CA SECUENCI AL
f nt r oducci n 208
Fl i p- f l ops 2l O
Di spar o de l os Fl i p- f l ops
( t r i gger i ng)
Anl i si s de l os ci r cui t os secuenci al es
Reducci n de est ados y asi gnaci n
Tabl as de exci t aci n de l os Fl i p- f l ops
l nt r oducci n 3 | 6
Tr asf er enci a ent r e r egt st r os 3l 9
Mi cr ooper aci ones
ant mt i cas, l gi cas y
despl azami ent o 327
CONTENI DO v
208
2t 6
t empor i zados 224
231
237
316
Pr oposi ci ones cond i ci ona l es
Dat os bi nar i os del punt o f i j o
Sobr eca paci dad
33I
Despl aza mi ent os a r i t mt i cos
Dat os deci mal es 343
de cont rol 332
335
341
Dat os del punt o f l ot ant e 345
Dat os no numr i cos 348
Cdi gos de i nst r ucci n 352
Di seo de un comput ador senci l l o 357
Ref er enci as 366
Pr obl emas 366
\
http://libreria-universitaria.blogspot.com
--
V CONTENI DO
9 DI SEO LOGI CO DE PROCESADORES
372
9- 1 I nt r oducci n 372
9- 2 Or gani zaci n del pr ocesador
373
9- 3 Uni dad l gi ca ar i t mt i ca 382
9- 4 Di seo de un ci r cui t o ar i t mt i co 383
9- 5 Di seo del ci r cui t o l gi co 39O
9- 6 Di seo de una uni dad l gi ca ar i t mt i ca 393
9-7 Regi st ro de condi ci n 396
9- 8 Di seo de un. r egi st r o de despl azami ent o 3gg
9- 9 Uni dad pr ocesador a 4Ol
9- 10 Di seo del acumul ador 406
Ref er enci as 417
Pr obl emas 417
10 DI SEO DE LOGI CA DE CONTROL 423
1O- 1 I nt r oducci n 423
1O- 2 Or gani zaci n del cont r ol 42G
10- 3 Cont r ol de component es al ambr ados
-
Ej empl o 1 431
10- 4 Cont r ol de mi cr opr ogr ama 441
10- 5 Cont r ol de l a uni dad pr ocesador a
447
1O- 6 Cont r ol a base de component es conect ados-
Ej empl o 2 452
1O- 7 Cont r ol del PLA 461
10- 8 Secuenci ador del mi cr opr ogr ama 464
Ref er enci as 471
Pr obl emas 472
11 DI SEO DE COMPUTAOORES
477
1 1- 1 I nt r oducci n 477
11- 2 Conf i gur aci n del si st ema 478
11- 3 I nst r ucci ones de comput ador 482
11- 4 Si ncr oni zaci n de t i empo y cont r ol 4Sg
11- 5 Ej ecuci n de i nst r ucci ones 4gO
1 1- 6 Di seo de l os r egi st r os de comput ador 497
11- 7 Di seo del cont r ol 5O3
1 1- 8 Consol a del comput ador Sl 2
Ref er enci as 5l 3
Pr obl emas 5l 4
http://libreria-universitaria.blogspot.com
CONTENI DO vi i
12 DI SEO DEL SI STEMA DEL MI CROCOMPUTADOR
518
12- 1 l nt r oducci n 5l 8
12- 2 Or ganr zaci n del mi cr ocomput ador 521
12- 3 Or gani zaci n del mi cr opr ocesador 526
12- 4 I nst r ucci ones
y modos de di r ecci onami ent o 534
12- 5 Pi l a, subr ut i nas e i nt er r upci n 543
12- 6 Or gani zaci n de l a memor i a 554
12- 7 I nt er conexi n de ent r ada- sal i da 559
12- 8 Acceso di r ect o de memor i a 569
Ref er enci as 574
Pr obl emas 575
13 CI RCUI TOS I NTEGRADOS DI GI TALES 579
1 3- 1 I nt r oducci n 579
13- 2 Car act er st i cas del t r ansi st or bi pol ar 581
13- 3 Ci r cui t os RTL y DTL 585
13- 4 Lgi ca de i nyecci n i nt egr ada
( l ' zL)
589
13-5 Lgi ca de t ransi st or-t ransi st or
(TTL)
591
13- 6 Lgi ca de emi sor acopl ado
( ECL)
600
13- 7 Semi conduct or de xi do de met al
( MOS)
604
13- 8 MOS compl ement ado
( CMOS)
608
Ref er enci as 6l O
Pr obl emas 6l O
APENDI CE: Respuest as a
pr obl emas sel ecci onados 613
I NDI CE
625
http://libreria-universitaria.blogspot.com
P ref aci o
La l gi ca di gi tal trata de l a i nterconexi n entre componentes di gi tal es
y
mdul os y en un t r mi no usado par a denot ar el di seo y anl i si s de l os
si stemas di gi tal es. EI ej empl o ms conoci do de un si stema di gi tal es un
computador di gi tal para propsi to general . Este l i bro presenta l os concep-
t os bsi cos usados en el di seo y anl i si s de l os si st emas di gi t al es e i nt r o-
duce l os pri nci pi os
de l a organzacn del computador di gi tal y
su di seo.
Presenta vari os mtodos y tcni cas adecuados para una vari edad de apl i -
caci ones de di seo del si stema di gi tal . Cubre todos l os aspectos del si ste-
ma di gi tal desde l os ci rcui tos de compuertas el ectrni cas hasta l a estruc-
tura compl ej a de un si stema de mi crocomputador.
Los Captul os t hasta 6 presentan tcni cas de di seo de l gi ca de di se-
o desde el punto
de vi sta cl si co. El l gebra de Bool e y l as tabl as de ver-
dad se usan par a el anl i si s y di seo de l os ci r cui t os combi naci onal es y l as
t cni cas de t r ansi ci n de est ado par a el anl i si s y di seo de l os ci r cui t os
secuenci al es. Los Captul os 7 hasta el 12 presentan mtodos de di seo de
si stemas di gi tal es desde el punto
de vi sta de trasferenci a entre regi stros.
EI si stema di gi tal se descompone en subuni dades de regi rqtros y
el si stema
se especi fi ca con una Ii sta de proposi ci ones
de trasferenci a entre regi stros
que
descri ben l as trasferenci as operaci onal es de l a i nformaci n al macena-
da en l os regi stros. El mtodo de trasferenci a entre regi stros se usa para
ei anl i si s y di seo de l as uni dades del procesador,
uni dades de control ,
un procesador
central de computador y para descri bi r l as operaci ones i n-
ternas de mi croprocesadores y
mi crocomputadores. El Captul o 13 trata
de l a el ectrni ca de l os ci rcui tos di gi tal es y presenta l as fami l i as l gi cas
di gi tal es ms comunes a base de ci rcui tos i ntegrados.
Los componentes usados para
construi r si stemas di gi tal es se fabri can
en l a forma de ci rcui tos i ntegrados. Los ci rcui tos i ntegrados conti enen
una gran
canti dad de ci rcui tos di gi tal es i nterconectados dentro de una
pequea pasti l l a. Los di sposi ti vos (MSI)
de i ntegraci n a medi ana escal a
conforman funci ones di gi tal es y l os di sposi ti vos (LSI)
de i ntegraci n a
gran escal a conforman mdul os de computador compl etos. Es muy i mpor-
ante para
el di seador l gi co, fami l i ari zarse con l os di ferentes componen-
viii
http://libreria-universitaria.blogspot.com
X
PREFACI O i x
tes digitales encontrados en la forma de circuitos integrados. Por esta
razn muchos ci rcui tos MSI y LSI se i ntroducen a l o l argo del l i bro y se
expl i can compl etamente sus fami l i as l gi cas. El uso de ci rcui tos i ntegrados
en el di seo de si stemas di gi tal es se i l ustra por medi o de ej empl os en el
texto y en l os probl emas
al fi nal de l os captul os.
Este Ii bro fue pl aneado ori gi nal mente como una segunda edi ci n del
di sen l gi co de computadores, del autor (Prenti ce-Hal l ,
rg72). Debi do a
l a gran canti dad de materi al nuevo y a l as revi si ones extensas que se han
l l evado a cabo, parece ms apropi ado adoptar un nuevo ttul o para el texto
presente. Al rededor de un terci o del texto es materi al que aparece en el
Ii bro anteri or. Las otras dos terceras partes consti tuyen i nformaci n nue-
va o revi sada. Los factores fundamental es para l as revi si ones y adi ci ones
surgen de l as desarrol l adas en l a tecnol oga el ectrni ca di gi tal . Se da un
gran nfasi s a l os ci rcui tos MSI y LSI y
a l os mtodos de di seo que usan
ci rcui tos i ntegrados. El l i bro cubre vari os componentes LSI de l a vari edad
de grupo de bi ts y mi crocomputador. Presenta apl i caci ones de Ia meryrori a
de sl o l ectura (RoM) y del arregl o l gi co programabl e (PLA).
si n embar-
go, l os adel antos posteri ores
en el mtodo de di seo de trasferenci a entre
regi stros, demanda una nueva redacci n de l a segunda parte del l i bro.
El captul o 1 presenta
vari os si stemas bi nari os adecuados para repre-
sentar i nformaci n en componentes di gi tal es. El si stema de nmeros bi na-
ri os se expl i ca y se i l ustran l os cdi gos bi nari os para demostrar l a repre-
sentaci n de l a i nformaci n deci mal y al fanumri ca. La l gi ca bi nari se
i ntroduce desde un punto
de vi sta i ntui ti vo
antes de proceder
con una
defi ni ci n formal del l gebra de Bool e.
Los postul ados
bsi cos y teoremas del l gebra de Bool e se encuentran
en el Captul o 2. Se enfati za l a correl aci n entre l as expresi ones de Bool e
y
sus compuertas de i nterconecci n equi val entes. Todas Ias operaci ones
Igi cas posi bl es para dos vari abl es se i nvesti gan y a parti r de el l se dedu-
cen l as compuertas di gi tal es di sponi bl es en Ia forma de ci rcui tos i ntegra-
dos se presentan
al comi enzo de este captul o, pero se dej a para l a l ti ma
parte
del captul o el anl i si s ms detal l ado para descri bi r Ia construcci n
i nterna de l as compuertas.
.
rl l
captul o 3 presenta
el mapa y l os mtodos de tabul ado para si mpl i -
fi car l as funci ones de Bool e. El mtodo del mapa se usa para si mpl i fi car
ci rcui tos di gi tal es construi dos con AND, OR, NAND, NOR, y
compuertas
l gi cas al ambradas. Los di ferentes procesos
de si mpl i fi caci n se sumari -
zan en forma de tabl a para una referenci a fci l .
Los procedi mi entos
de di seo y anl i si s de l os ci rcui tos combi naci o-
nal es se presentan
en el Captul o 4. Al gunos componentes bsi cos usados
en el di seo de si stemas di gi tal es,- tal es como sumadores y converti dores
de cdi go son i ntroduci dos como ej empl os de anl i si s y di seo. El captul o
i nvesti ga confi guraci ones posi bl es
usando ci rcui tos combi naci onal es de
mul t i ni vel NAND y NOR.
El captul o 5 versa sobre l os componentes MSI y LSI de l gi ca combi -
naci onal . A menudo se expl i can funci ones tal es como sumadorei paral el os,
decodi fi cadores y
mul ti pl exores, y se i l ustra con ej empl os su uso en el di -
seo de ci rcui tos combi naci onal es. La memori a de sl o l ectura (RoM) y el
arregl o l gi co programabl e (PLA)
son i ntroduci dos y se demuestra su uti -
l i dad en el di seo de ci rcui tos combi naci onal es compl ej os.
f, .
4^^idE
http://libreria-universitaria.blogspot.com
-/-
PREFACI O
El Captulo 6 esboza varios mtodos para el diseo y anlisis de los
ci rcui tos secuenci al es tempori zados. El captul o comi enza
presentando
varios tipos de flip-flops
y la forma como ellos son disparados. El diagrama
de estado, tabla de estado,
y las ecuaciones de estado se presentan como
herrami entas conveni entes
para anal i zar l os ci rcui tos secuenci al es. Los
mtodos de di seo
presentados, trasforman el ci rcui to secuenci al a un
grupo de funciones de Boole que especifican la entrada lgica a los flip-flops
del ci rcui to. Las funci ones de entrada de Bool e se deri van de l a tabl a de
exci taci n
y se si mpl i fi can por medi o de mapas.
En el Captul o 7, se presentan una vari edad de regi stros, regi stros de
despl azami ento
y contadores si mi l ares a aqul l os di sponi bl es en l a forma
de ci rcui tos i ntegrados. Se expl i ca l a operaci n de l a memori a de acceso
al eatori o
(RAM). Las funci ones di gi tal es i ntroduci das en este captul o
son l os bl oques de construcci n bsi cos a parti r de l os cual es se pueden
construi r si stemas di gi tal es ms compl ej os.
El
paptul o
8 i ntroduce un mtodo de trasferenci a entre regi stros para
descri bi r l os si stemas di gi tal es. Este muestra cmo expresar en forma
si mbl i ca l a secuenci a de operaci n entre l os regi stros de un si stema di gi -
tal . Se defi nen smbol os para trasferenci a entre regi stros, mi crooperaci o-
nes ari tmti cas, l gi cas y de despl azami ento. Se cubren en detal l e l os di fe-
rentes tipos de datos almacenados en los registros de los computadores.
Se usan al gunos ej empl os tpi cos para mostrar cmo se presentan l as i ns-
trucci ones de computador en forma bi nari a codi fi cada
y cmo l as operaci o-
nes especi fi cadas
por i nstrucci ones pueden ser expresadas con proposi -
ci ones de trasferenci a entre regi stros. El captul o concl uye con el di seo de
un computador muy senci l l o para demostrar el mtodo de trasferenci a entre
regi stros del di seo de si stemas di gi tal es.
El Captul o 9 ti ene que ver con l a uni dad procesadora de l os computa-
dor es di gi t al es. Se di scut en al t er nat i vas par a or gani zar una uni dad pr o-
cesadora con buses
y memori as tapn
(Scratchpad memory). Se presenta
una uni dad l gi ca, ari tmti ca tpi ca
(ALU) y se desarrol l a
para el di seo
de cual qui er otra confi guraci n de ALU. Se presentan tambi n otros com-
ponentes encontrados comnmente en l os procesadores, tal es como regi s-
tros de condi ci n
y despl azami ento. Se comi enza el di seo de un regi stro
acumul ador
para propsi tos general es, comenzando a parti r de un grupo
de operaci ones de trasferenci a entre regi stros y cul mi nando con un di a-
grama l gi co.
En el Captul o 10 se i ntroducen cuatro mtodos de di seo de l gi ca de
control . Dos de l os mtodos consti tuyen un control al ambrado con ci rcui to
i mpreso. Los otros dos i ntroducen el concepto de l a mi croprogramaci n
y
cmo di sear un control ador con un arregl o l gi co programabl e (PLA). Los
cuatro mtodos son demostrados
por medi o de ej empl os
que muestran el
d,esarrol l o de al gori tmos de di seo
y el procedi mi ento para obtener l os ci r-
cui t os de cont r ol del si st ema. La l t i ma secci n i nt r oduce un secuenci ador
de mi croprograma LSI y muestra cmo se puede usar en el di seo de una
uni dad de cont r ol de mi cr opr ogr ama.
El Cap t ul o 11 est dedi cado al di seo de un comput ador di gi t al
pe-
queo. Los regi stros en el computador son defi ni dos
y se especi fi ca el con-
j unto
de i nstrucci ones del computador. La descri pci n del computador se
I
http://libreria-universitaria.blogspot.com
PREFACI O xi
formaliza con las proposiciones de trasferencia entre registros que especi-
fican las microoperaciones entre los registros, lo mismo que las funciones
de control que inician esas microoperaciones. Se muestra entonces que
el
conjunto de microoperaciones puede usarse para disear Ia parte procesa-
dora de datos del computador. Las funciones de control en la lista de pro-
posiciones de trasferencia entre registros, suministran la informacin para
el diseo de la unidad de control. La unidad de control para el computador
se disea por medio de tres mtodos diferentes: el control alambrado con
ci rcui to i mpreso, el control PLA y el control del mi croprograma.
El Captulo 12 es enfocado sobre varios componentes LSI para formar
un si stema de mi crocomputador. La organi zaci n de un mi croprocesador
tpi co se descri be y expl i ca su organi zaci n i nterna. Un conj unto tpi co de
i nstrucci ones para el mi croprocesador,se presenta y se expl i can vari os mo-
dos de di recci onami ento. La operaci n de una pi l a y el mani pul eo de l as
subruti nas e i nterrupci ones, se cubre desde el punto de vi sta de l os mate-
ri al es. El captul o i l ustra tambi n l a conexi n de l as pasti l l as de memori a
al si stema de bus del mi croprocesador y l a operaci n de vari as uni dades
de i nterconexi n que se comuni can con di sposi ti vos de entrada y sal i da.
Concl uye con una descri pci n del modo de trasferenci a de acceso di recto
a Ia memori a.
El Captul o 13 detal l a l os ci rcui tos el ectrni cos de l a compuerta bsi ca
en si ete fami l i as l gi cas de ci rcui tos i ntegrados. Este captul o fi nal debe
ser consi derado como un apndi ce, puede ser omi ti do si se desea. El Cap-
tul o 13 asume un. conoci mi ento previ o de el ectrni ca bsi ca, pero no hay
un prerrequisito especfico para el resto del libro.
Cada captul o i ncl uye un grupo de probl emas y una l i sta de referenci as.
Las respuestas a l os probl emas sel ecci onados aparecen en el apndi ce para
sumi ni strar una ayuda al estudi ante y para ayudar al l ector i ndependi en-
te. Un manual de sol uci ones se sumi ni stra para el i nstructor por parte
del publ i ci sta.
El l i bro es adecuado para un curso en l gi ca di gi tal y di seo de courpu-
tadores en un departamento de i ngeni era el ctri ca o de computadores.
Se puede usar tambi n en un departamento de ci enci a de computadores
para un curso en organi zaci n de computador. Las partes del l i bro pueden
usarse de vai as formas: (1)
Como un pri mer curso en l gi ca di gi tal o ci r-
cui tos de conmutaci n al cubri r l os Captul os t hasta el 7 y posi bl emente
el Captul o 13.
(2)
Como un segundo curso, en l gi ca de computador di gi tal
con un prerrequi si to de un curso en ci rcui tos de conmutaci n bsi cos, ba-
sado en l os Captul os 3 y 7 hasta el 12.
(3)
Como una i ntroducci n a l a con-
fi guraci n con materi al es de l os mi croprocesadores y mi crocomputadores
al cubri r l os Captul os 8 hasta el 12.
En concl usi n, me gustari a expl i car l a fi l osofi a fundamental del mate-
ri al presentado en este l i bro. El mtodo cl si co ha si do predomi nante en el
pasado para descri bi r l as operaci ones de l os ci rcui tos di gi tal es. Con el ad-
veni mi ento de l os ci rcui tos i ntegrados y especi al mente de l a i ntroducci n
de l os componentes LSI del mi crocomputador, el mtodo cl si co parece
estar bastante l ej os de l as apl i caci ones prcti cas. Aunque el mtodo cl si co
para descri bi r si stemas di gi tal es compl ej os no es di rectamente apl i cabl e,
el concepto bsi co de l gebra de Bool e, l gi ca combi naci onal y procedi mi en-
-4
-
?,s
http://libreria-universitaria.blogspot.com
--:7
P REFAC IO
to de l gi ca secuenci al , son todava i mportantes para comprender Ia cons-
t r ucci n i nt er na de muchas f unci ones di gi t al es. Por ot r a par t e, el mt odo
de trasferenci a entre regi stros, presenta
una mej or representaci n para
descri bi r l as operaci ones entre l os di feentes mdul os en l os si stemas
di gi t al es. Est e ver sa de l a t r asf er enci a de cadenas de bi t s en par al el o y
puede ser consi derado como de un ni vel mayor en l a
j erarqua
de l a repre-
sent aci n del si st ema di gi t al . La t r ansi ci n del mt odo cl si co al de t r as-
ferenci a entre regi stros, se hace en este l i bro por medi o de l as funci ones
MSI de ci rcui tos i ntegrados. Los Captul os 5 y 7 cubren muchas funci ones
di gi tal es que estn di sponi bl es en ci rcui tos i ntegrados. Su operaci n se
expl i ca en trmi nos de conpuertas y fl i p-fl ops que
conforman el ci rcui to
di gi t al par t i cul ar . Cada ci r cui t o MSI se consi der a como una uni dad f ' un-
ci onal que r eal i za una f unci n par t i cul ar . Est a oper aci n se descr i be en el
mtodo de rotaci n de trasferenci a entre regi stros. As, el anl i si s y
di se-
o de regi stros y otras funci ones di gi tal es se hace por medi o del mtodo
cl si co, pero el uso de esas funci ones al descri bi r Ias operaci ones de un si s-
tema di gi tal , se especi fi ca por medi o de proposi ci ones de trasferenci a entre
regi stros. EI mtodo de trasferenci a entre regi stros se usa para defi ni r l as
i nstrucci ones de computador, para expresar l as operaci ones di gi tal es en
forma conci sa, para demostrar l a organi zaci n de l os computadores di gi ta-
l es y para especi fi car l os componentes de l os materi al es para el di seo de
si st emas di gi t al es.
Deseo expr esar mi s agr adeci mi ent os al Dr . John L. Fi ke por r evi sar el
manuscri to ori gi nal y al Profesor Vctor Payse por i ndi car correcci ones
dur ant e l a enseanza del cur so al usar el manuscr i t o. La mayor par t e del
trabaj o de mecanografi a fue hecho por Mrs. Lucy Al bert y su hbi l ayuda
es apreci ada grandemente. Mi s mayores agradeci mi entos l os doy a mi se-
ora por i as sugerenci as que el l a hi zo al mej orar l a faci l i dad de l ectura del
l i bro y por su ni mo y apoyo durante l a preparaci n de ste.
M. Mor . nl s M No
http://libreria-universitaria.blogspot.com
r ros
S iste m as
bi na
1- 1 COMPUTADORES DI GI TALES
Y SI STEM S OI CI TALES
Los computadores digitales han hecho posible muchos avances cientfi-
cos, i ndustri al es
y
comerci Ies
que no se hubi esen podi do l ograr
por otros
medi os. Nuestro programa espaci al hubi ese si do i mposi bl e si n l a vi gi l anci a
continua de tiempo real del computador
y muchas empresas de negocios
funcionan eficientemente slo con la ayuda del procesamiento automtico
de datos. Los computadores se usan para clculos cientficos,
procesa-
mientos de datos comerciales
y de negocios, control de trfico areo, di-
recci n espaci al , campo educaci onal
y en muchas otras reas' La propi e-
dad ms i mpactante de un computador es su general i dad. Puede segui r
una serie de instrucciones, llamadas programa, que operan con datos da-
dos. El usuario puede determinar
y cambiar los programas y datos de
acuerdo a una necesi dad especfi ca. Como resul tado de esta fl exi bi l i dad,
l os computadores di gi tal es de uso general pueden real i zar una seri e de
tareas de procesami ento de i nformaci n de ampl i a vari edad.
El computador di gi tal de uso general es el ej empl o ms conoci do de
si stema di gi tal . Otros ej empl os i ncl uyen conmutadores tel efni cos, vol -
tmetros di gi tal es, contadores de frecuenci a, mqui nas cal cul adoras,
y
rnqui nas tel eti pos. Tpi co de un si stema di gi tal es su manej o de el emen-
tos di scretos de i nformaci n. Tal es el ementos di scretos pueden ser i m-
pul sos el ctri cos, Ios dgi tos deci mal es, l as l etras de un al fabeto, l as ope-
raci ones ari tmti cas, l os smbol os de puntuaci n o cual qui er otro conj unto
de smbol os si gni fi cati vos. La yuxtaposi ci n de el ementos di scretos de
i nformaci n representan una canti dad de i nformaci n. Por ej empl o, l as
letras d, o y g forman la palabra dog. Los dgitos 237 forman un nmero'
De l a mi sma manera una secuenci a de el ementos di scretos forman un
l enguaj e, es deci r una di sci pl i na que con l l eva i nformaci n. Los pri meros
computadores fueron usados pri nci pal mente para cl cul os numri cos, en
este caso l os el ementos di scretos usados son l os dgi tos. De esta apl i ca-
ci n ha surgi do el trmi no computador di gi tal . Un nombre ms adecuado
para un computador digital
podra ser "sistema de procesamiento de
i nformaci n di screta".
ffi
http://libreria-universitaria.blogspot.com
SI STEMAS BI NARI OS
CAP, 1
Los elementos discretos de informacin se representan en un sistema
di gi tal por
canti dades fsi cas l l amadas senl es. Las seal es el ctri cas
tales como voltajes y corrientes son las ms comunes. Las seales en los
si stemas di gi tal es el ectrni cos de l a actual i dad ti enen sol amente dos
vl ores di scretos y se l es l l ama bi nari os. El di seador de si stemas di gi -
tal es est restri ngi do al uso de seal es bi nari as debi do a l a baj a confi a-
bi l i dad de l os ci rcui tos el ectrni cos de muchos val ores. En otras pal abras
puede ser diseado un circuito con diez estados que use un valor de volta-
je
discreto.para cada estado, pero que tenga pocq confiabilidad de opera-
ci n. En contraste, un ci rcui to de transi stor que puede estar en conducci n
o corte tiene dos valores de seales posibles y puede ser construido para
sr extrerradamente confiable. Debido a la restriccin fisica de los compo-
nentes y
a que l a l gi ca humana ti ende a ser bi nari a, l os si stemas di gi tal es
que
estn restringidos a usar valores discretos, lo estarn para usar valo-
res bi nari os.
Las cantidades discretas de informacin podran
desprenderse de la
naturaleza del proceso
o podran
ser cuantificadas a propsito de un proceso
continuo. Por ejemplo, un programa
de pago
es un proceso
discreto inheren-
te que
contiene nombres de empleados, nmeros de seguro social, salaios
semanales, impuestos de renta, etc. El cheque de pago
de un empleado, se
pocesa
usando valores discretos, tales como las letras de un alfabeto
(nom-
bres), dgitos
(salarios) y smbolos especiales tales como
g.
Por otra parte,
un
cientfico investigador podrla observar un proceso continuo pero anotar sola-
mente cantidades especficas en forma tabular. El cientfico estar cuanti-
ficando sus datos continuos. Cada nmero en su tabla constituye un elemen-
to discreto de informacin.
Muchos sistemas fisicos pueden
ser descritos matemticamente por
medio de ecuaciones diferenciales cuyas soluciones, como funciones de
ti empo, darn un comportari ento matemti co del proceso.
l Jn computa-
dor anlogo realiza una sirnulacin directa de un sistema fisico. Cada
seccin del computador es el anlogo de alguna parte
especfica del pro-
ceso sometido a estudio. Las variables en el computador anlogo estn
representadas por seales continuas que varan con el tiempo y que por
l o general
son vol taj es el ctri cos. Las seal es vari abl es son-consi eraas
anl ogas con aquel l as del proceso y se comportan de l a mi sma manera.
De esta forma, las mediciones de voltajes anlogos pueden ser sustituidos
por vari abl es del proceso. El trmi no senl anl oga se susti tuye por seri al
conti nua debi do a que un "computador
anl ogo" se ha converti do si gni -
ficativamente en un computador que maneja variables continuas.
Para simular un proceso
fsico en un computador digital, deben ser
cuanti fi cadas l as canti dades. Una vez que l as vari abl es del proceso
sean
representadas por seal es conti nuas de ti empo real , estas l ti mas sern
cuantificadas por un aparato de conversin de anlogo a digital. un sis-
tema fisico, cuyo compartamiento se exprese por medio de ecuaciones
matemti cas, se si mul a en un computador di gi tal con base en mtodos
numri cos. Cuando el probl ema que va a ser procesado
es i nherentemente
di screto, como en el caso de apl i caci ones comerci al es, el computador di gi -
tal mani pul a l as vari abl es en su forma natural .
http://libreria-universitaria.blogspot.com
Procesador
o unidad
aritmtica
Almacenador
o unidad
de memoria
Dispositivos
de salida
y control
Dispositivos
de entrada
y control
Figura l-1 Diagrama de bloque de un computador digital
Un diagrama de bloque del computador digital se muestra en Ia Fi-
gura.1-1. L uni dad de memori a al macena l os programas de l a mi sma for-
-" q,r" los datos de entrada, salida e intermedios. La unidad de proceso
realiza tareas aritmticas
y de procesamiento de datos segn sea especi-
ficado por el programa. La unidad de control supervisa el flujo de infor-
maci n entre l as di ferentes uni dades. Di cha uni dad recupera l as i nstruc-
ci ones una a una del
programa acumul ado en l a memori a. Para cada
instruccin, ella informa al procesador a fin de ejecutar la operacin es-
pecfica de la instruccin. Tanto el programa como los datos se almacenan
en l a memori a. La uni dad de control supervi sa el programa de i nstrucci o-
nes, y el procesador manipula los datos de acuerdo a las especificaciones
del programa.
El programa y los datos
preparados por el usuario son trasferidos a
l a uni dd de l a memori a medi ante un el emento de entrada tal como una
lectora de tarjetas perforada o una teleimpresora. Un elemento de salida
tal como un impresor recibe el resultado de los clculos
y le presenta al
usuario los resultados impresos. Los elementos de entrada
y salida son
sistemas digitales especiales manejables
por partes electromecnicas
y
control adas
por ci rcui tos el ectrni cos di gi tal es.
Una cal ul adora el ectrni ca es un si stema di gi tal si mi l ar al compu-
tador di gi tal que ti ene como el emento de entrada el tecl ado
y como el e-
mento de sal i da una
pantal l a numri ca. Las i nstrucci ones son trasfe-
ri bl es a l a cal cul adora
por medi o de l as tecl as de funci n tal es como el
ms y el menos. Los datos se i ntroducen medi ante l as tecl as numri cas
y los resultados se muestran
por pantalla en forma de nmeros. Algunas
talculadoras tienen algo de
parecido a las computadoras digitales
ya que
ti enen forma de i mpri mi r
y adems faci l i dad de programaci n'
http://libreria-universitaria.blogspot.com
-
4
STSTEMAS B| NARTOS
CAp. l
Un computador
di gi tal
es si n
em,bargo,.un
aparato
ms poderoso
que
una calculadora;
puede
usar muchos.otros"disposiiv
e entra y
salida,
puede
real i zar
n sol ament"
"et"rl o.
ai tmti cos
y
operaci ones
l ei cas
'
si no que puede
ser
.programado
para
tomar
deci si oes
basadas
en cJndi _
ci ones i nternas y
externas.
un computador
di gi tal
es una i nterconexi n
de mdul os
di gi tal es.
Para poder
omprender""
opl.""ioi
de cada
m-"
digital
es necesario
tener l bs conoci mi entos
bsi cos
de l os."rst"-*
i gl !,
,
a" su compor-
tami ento.
La pri mera
mi tad
ae este. ri bro
versa
,i r"
,r.t"mas
di gi tal es
en general
proporci onando
l os
conoci mi ento"
"""".i i o.
puru
su di seo.,
La segunda
mi tad del l i bro trata
sobe ros di rei l .,tes
-"oauto*
de un com_ putador
di gi tal '
su operaci n y
,u di seRo.
r,m
"".""t"rsti cas
operaci ona_
l es de l a uni dad
de memori a
s expl i can
en el
.capturo
T. La organi zaci n
y
di seo
de l a uni dad
de proceso
.Ji r"tu"
-en
el captul o
g.
vari os
mtodos
para
disear
la unidad
de contol
sslnrroduc;
;;;i';pruro
10. La orga_
:i":f
'3
lr?,i,T
1T
de u n computa
di ; t; r
-ffi
r"ffi' ;#rue o se p.*.,i
un procesador
combi nado
con l a uni dad
de control
.forma
un compo- nente
l l amado
un.dad.centrar
d" pri "ro
o cpu.
" ci ri -, encapsul ado
en una pasti l l a
de ci rcui to
i ntegrad
,e de' o- i ";
;;;r;;ror"rodor.
La uni _ dad de memori a,
de ra mi sm; i ;;;q;;' i ;;' ;;"".' l tror,
l a i nterco_
nexi n
entre
el mi croprocesgao-f
i o* el ementos
de ntrada y
sari da, puede
ser encapsulada
dentio
de ra ptiit"
a"f -i"i";;";;;il,
o puede
encon_
trarse
en pasti l ras
pequeas
-de
ci rcui tos
i ntegrados.
un cpu
combi _
nado
con u'u -u.noiia y
un
"o"t.t-
" i.,ter.o.r?r,-
ro.-".
un compu_
tador
de tamao
neque
a"ro-i n"a' o
m i c ro-c om pui
o-i i r' ." ru di spon i bi r i dad de los
"om'o.t"ttie"
aer -ic.o"o-ii,"r.ao"
h; ;;;;"i"r,^"ao
t" tecnologa
de di seo
de l os si stemas
ai gi ti "i -permi ti endo
al di seador
l a l i bertad
de cear
estructuras
que
antes
eran
anti econmi cas.
Los di ferentes
com_ ponentes
de un si stema
de mi crocomputador
,r;;;;;;;i ".,
.., el captul o
Ya se ha menci onado
el hecho
de que
un computador
di gi tal
mani pul a
el ementos
di scretos
de i nforma;i ;;
que
estos ementos
se pesentan
en foma
bi nari a.
Los
operando.,
.r.l dou
.en
ros
"r."l "r-p"eden
ser expre_ sados
en el si stema
e n.i *"i o.-I""ri o..
o;;-;;;;"L.
ai scretos,
i n_ cl ui dos
l os
dgi tos_
,deci *"1".,
-
r"- ,u!.u.".rru'
con cdi gos
bi nari os.
Er procesami ento
de datos se l l eva
a cabo por
medi o
e l os l ementos
l gi cos
bi nari os,
usando
seal es
i rra.i as.
i L- ca' ti dades
se acumul an
en l os
el e_ mentos
de al macenami ento
bi nari o.
u.propo.i t"1".i .' .aptul o
es el de introducir
ros diferent_es
conceptos
bi;ur-
;;;;
;;".;
de referencia
para
un posteri or
estudi o
de l os
captul os
.".t""i "r.
^"*^"
1- 2
NUMEROS
BI NARI OS
un nmero
deci mal
tal como
T3g2 representa
una canti dad
i gual
a T uni -
dades
de mi l , ms 3 center,as,
.;;;
;;"""nas,
ms 2 uni dades.
Las uni da_
des de mi l , l as centenas,
etc.,^son poJenci as
de 10 i mpl ci tamente
i ndi ca-
3r"g;,#rrosicin
de ros coeficienies.
para
.", ;-;;;ctos,
?3e2 puede
http://libreria-universitaria.blogspot.com
sEc. 1- 2
NUMEROS BI NARI OS 5
7 x 103 + 3 x 102 + 9 x l 0r + 2 x l 0o
Si n embargo, Io tonvenci onal es escri bi r sol amente l os coefi ci entes
y a
parti r' de su posi ci n deduci r l as potenci as necesari as de 10. En general ,
n nmero con punto deci mal
puede ser representado
por una seri e de
coefi ci entes de l a si gui ente manera:
AyA4A3A2APO, A - 1Q - 2Q - 3
Los coef i ci ent es a son uno de I os di ez d gi t os
( 0,
l , 2, . . .
, 9)
y el suscr i t o
.l
da el lugar
y poi tanto el valor de la potencia de 10 por el cual debe ser
mul ti pl i cado el coefi ci ente.
1054, + l }aao* l da3 * 102a2* l Ora, * l 00ao* l 0-ra-,
+10- 2a- 2+
l 0- 3a- ,
Se di ce
que el si stema de nmeros deci mal es ti ene l a base o raz I0 debi do
a que ,r. di ., dgi tos
y que l os coefi ci entes son mul ti pl i cados
por poten-
ci as de 10. El si sl ema bi naro es un si stema numri co di ferente. Los coe-
fi ci entes del si stema de nmeros bi nari os ti enen dos val ores
posi bl es:

y 1. cada coefi ci ente o, se mul ti pl i ca
por 2' . Por ej empl o, el equi va-
l ente deci mal del nmero' bi nari o 11010,11 es 26,75 como se demuestra de
l a mul ti pl i caci n de l os coefi ci entes
por potenci as de 2.
I x24+ I x 23 + 0x22 + I x 2r + 0 x 20 + | x2- l
+l x 2- 2: 26, 75
En general , un nmero expresado en un si stema de base r ti ene coefi ci en-
tes mul ti pl i cados
por potenci as de r:
en' r n + an- t ' f n- l + * az ' r 2+ at ' r * a
* a- t . r - t + a- r ' r - 2 +' ' ' + Q- ^ ' r - ^
Los coefi ci entes o, varan en val or entre 0 y r-1. Para di sti ngui r l os
nmeros de bases- di ferentes, se enci erran l os coefi ci entes entre
parn-
tesi s y se escri be un suscri to i gual a l a base usada
(con excepci n en
al guns casos de l os nmeros deci mal es en l os cual es su conteni do hace
obvi o
que se trate de un deci mal ). Un ej empl o de un nmero de base 5
ser:
( 4021, 2) s
:
4 x53 + 0 x 52 + 2 x 5t + I x 50 + 2x 5- r
: ( 511, 4) 10
Ntese
que l os val ores
para coefi ci entes de base 5 pueden sol amente ser
0, 7, 2, 3
y 4.
' ' Es
cbstumbre
presentar l os r dgi tos necesari os
para l os coefi ci entes
del si stema deci mal en caso de que l a base del nmero sea menor
qge 10'
Las l etras del al fabeto se usan
para compl etar l os di ez dgi tos deci mal es
cuando l a base del nmero sea mayor
que 10. Por ej empl o, en el si stema de
nmeros hexadeci mal
(base 16) se presentan l os pri meros di ez dgi tos del
si stema deci mal . Las l etras A, B; C, D, E y F se usan
para l os dgi tos 10,
http://libreria-universitaria.blogspot.com
- {
/

t
11, 12, 13,
ser:
SI STEMAS EI NARI OS
14 y 15 respecti vamente.
Un ej empl o
(865F)r6
:
l l x 163 + 6 x 162 + 5 x 16
suma:
CAP. 1
de nmeros hexadeci mal
* 15: (46687)rc
l 0l I
0000
l 0l I
l l 0l l t
Los pri meros
16 nmeros en l os si stemas deci mal , bi nari o, octal y hexa-
deci mal se l i st an en l a Tabl a 1-1.
Las operaci ones ari tmti cas con nmeros en base r si guen l as mi s-
mas regl as que l os nmeros deci mal es.
Cuando se usa ,.ru bu." di ferente
a l a conoci da de 10 se debe ser precabi do
de usar sol amente l as r dgi tos
permi ti dos.
A conti nuaci n se muestran ej empl os de suma, resta y
i rul -
ti pl i caci n de l os nrl meros bi nari os:
sumando:
l 0l l 0l
mi nuendo: l 0l I 0l mul t i pl i cando;
l 0l I
sumando:
+l 00l l l
sust r aendo: - l 00l l l
mul t i pl i cador : xl 0l
l 0l 0l 00 di f erenci a:
000110.
producto:
Tabl a 1- 1 Nmer os con di f e ent es bases
Deci mal
(base
10)
Bi nari o
(base
2)
Oct al Hexadeci mal
(base
8) (base
16)
00
0l
02
03
M
05
06
07
08
09
l 0
l l
t 2
l 3
t 4
I 5
0000
0001
00r0
00r r
0r00
0l 0l
0l l 0
0l l l
1000
l 00l
r 0l 0
l 0l I
I 100
I l 0l
l l l 0
l l l t
00
0l
02
03
04
05
06
07
l 0
l l
t 2
I J
l 4
l 5
l
l 7
0
I
2
J
4
5
6
7
8
9
A
B
C
D
E
F
La suma de dos nmeros
bi nari os se carcui a medi ante l as mi smas
regl as que
en deci mal es con l a di ferenci a
de que l os dgi tos de l a suma en
cual qui er posi ci n
si gni fi cati va pueden
ser 0 1. cuai qui e
..l l eva"
obte_
nida en una posicin
significativa
tlada, se usa por
el par
de dgitos en
la posicin
significativa
superior. La resta es un poco
ms com"plicada,
http://libreria-universitaria.blogspot.com
SEC. 1- 3 CONVERSI ONES ENTRE NUMEROS DE BASE DI FERENTE 7
sus regl as son l as mi smas que en el caso del si stema deci mal excepto que
l a "l l eva" en una posi ci n si gni fi cati va dada agrega 2 al dgi to del mi -
nuendo.
(Una
l l eva en el si stema deci mal agrega 10 al dgi to del mi nuen-
do) . La mul t i pl i caci n es muy si mpl e. Los d gi t os del mul t i pl i cador son
si empre 1 0. Por tanto, l os productos parci al es son i gual es al mul ti pl i -
cando o a 0.
1- 3 CONVERSI ONES ENTRE NUMROS DE BASE DI FERENTE
Un nmero bi nari o puede ser converti do a deci mal formando l a suma de
l as potenci as de base 2 de aquel l os coefi ci entes cuyo val or sea 1. Por ej em-
pl o:
( 1010, 01l ) z : 23 +2t +2- 2 +2- 3: ( 10, 375) r 0
El nmer o bi nar i o t i ene cuat r o unos y' el deci mal equi val ent e se deduce
de l a suma de cuatro potenci as de 2. Si mi l armente, un nmero expresado
en base r puede ser converti do a su equi val ente deci mal mul ti pl i cando
cada coefi ci ente con su correspondi ente
potenci a de r y sumando. El si -
gui ent e es un ej empl o de conver si n de un si st ema oct al a deci mal :
(630,4)8
:
6 x 82 + 3 x 8 + 4 x 8-'
:
(408,5)q
La conversi n de deci mal a bi nari o o cual qui er otro si stema de base r
es ms conveni ente si el nmero se separa en parte entero y parte
fracci o'
nari o para hacer l a conversi n de cada parte separadamente. La conver-
si n de un ent er o de si st ema deci mal o bi nar i o se expl i ca de mej or maner a
en el si gui ente ej empl o:
EJEMPLO f
-1.'
Converti r el deci mal 41 a bi nari o. Pri mero,
41 se di vi de por 2 para dar un coci ente entero de 20 y un resi duo
de
i .
El coci ent e se di vi de a su t urno por 2 para produci r un co-
ci ente nuevd con su resi duo. Se conti nua as el proceso hasta que
el coci ente entero se convi erte en cero. Los coefi cenes de l os
nmeros bi nari os deseados se obti enen de l os resi duos de Ia si -
gui ente manera:
Coc ent e
entero residuo ,o"rr!!:
do: I
at =0
az : 0
4 l : \
T:
,O
I
,
I
2
+=
ro
l 0
- =
' \
2-
; : 2
+
id
http://libreria-universitaria.blogspot.com
?
i
SI STEMAS BI NARI OS
2
- :
2
I
_:
2
CAP. 1
cocLent e
entero
residuo coefciente
d q : 0
d s : I
r es pues t a: ( 41) r o : ( ar a. as az a
t ao) ,
: ( 101001) ,
El proceso
ari tmti co puede l l evarse a cabo en forma ms con-
veni ente, de Ia si gui ente manera:
ent er o
4l
20
l 0
5
?
I
0
r esi duo
I
0
0
I
0
I l0l00l
:
respuesta
La conver si n de ent er os deci mal es a cual qui er si st ema de base r es
si mi l ar al ej empl o anteri or con l a di ferenci a de que l a di vi si n se hace por
r en vez d,e 2.
EJEMPLO l -2: Converti r el deci mal 153 a octal . La base
requeri da es 8. Pri mero se di vi de 153 por 8 para dar un coci ente
.
entero de 19 y un resi duo de 1. Luego se di vi de 19 por 8 para dar
'
, n coci ent e ent er o de 2 y
un r esi duo de 3. Fi nal mnt e, , " di ui du
2 por 8 para dar un coci ente de 0 y un resi duo de 2. Este proceso
puede
hacerse conveni entemente de l a si gui ente manera:
L
:1zl,
La conversi n de una
fracci n
deci mal o bi nari a se l l eva a cabo por
un mtodo si mi l ar al usado para
enteros.. Empero, se usa Ia mul ti pl i caci n
en vez de Ia di vi si n y se acumul an l os enteros en vez de l os resi duos. El
mt odo se expl i ca ms cl ar ament e a cont i nuaci n:
EJEMPLO f - 3. . Conver t i r ( 0, 6875) , 0
a bi nar i o. Pr i mer o se
mul t i pl i ca 0, 6875 por 2 par a
dar un ent er o y
una f r acci n. La nue-
va fracci n se mul ti pl i ca por 2 para dar un nmero entero y una
nueva fracci n. Este proceso se conti na hasta que l a fracci n
se convi erta en 0 o hasta que el nmero de dgi tos tenga l a sufi -
ci ent e pr eci si n. Los coef i ci ent es del nmer o bi nar i o se obt i enen
de l os ent er os de l a si zui ent e maner a:
153
l 9
2
0
I
3
2
http://libreria-universitaria.blogspot.com
\
ent ero
0, 6875x2:
I +
0 , 3 7 5 0 x 2 :
0 +
0, 7500x 2: I +
0, 5000x2: I +
fr"::r"!
,oolrr::!t,
0, 3750 - r
=
I
0 , 7 5 0 0 a - z = 0
0, 5000 a -t : I
0, 0000 a _c:
I
respuestl :
(0,6875)r0
-
(0,a -P -2a -3a -4)2
:
(0' l 0l l )2
Para converti r una fracci n deci mal a un nmero expresado en base
r, se usa un procedi mi ent o si mi l ar: se mul t i pl i ca
por r en vez de 2 y l os
coefi ci entes encontrados de l os enteros varan entre val ores desde 0 has-
t ar - 1 env ez de0yl .
EJEMPLO f
-4. '
Convert i r
(0, 513)ro a oct al '
0, 513 X 8: 4, 104
0, i 04 x 8: 0, 832
0, 832 X 8: 6, 656
0, 656 x 8: 5, 248
0, 248x 8: 1, 984
0, 984 x 8: 7, 872
La respuesta con si ete ci fras si gni fi cati vas se obti ene de l a parte
entera de los
Productos:
( 0, 513) r o
: ( 0, 406511 . ) a
La conversi n de nmeros deci mal es con parte fracci onari a
y entera'
se hace convi rti endo l a parte fracci onari a
y l a entera separadamente
y
l uego combi nando l as dos respuestas. Usando l os resul tados de l os Ej em-
pl os 1-1 y 1-3 se obt i ene:
( 41, 6875)
r o
: ( 101001, 1011) 2
De l os Ej empl os 1-2 y l -4, se obti ene:
( 153, 513)
r 0
: ( 231, 406517) 8
1- 4 NUMEROS HEXADECI MALES
Y OCTALES
La conversi n de bi nari o a octal
y hexadeci mal
y vi ceversa
j uega
un papel
muy i mpor t ant e en l os comput ador es di gi t al es. Como2' - 8
y 2a: 16, cada
dgi to octal corresponde a tres dgi tos bi nari os
y cada dgi to hexadeci mal
co"..esponde u crrui .o dgi tos bi nari os. La conversi n de bi nari o a octal se
l l eva cabo fci l mente haci endo l a parti ci n del nmero bi nari o en
grupos
de tres dgi tos, cada uno comenzando desde el punto bi nari o
y haci ndol o
cl e i zqui erda a derecha. El dgi to octal correspondi ente se asi gna a cada
grupo, El , si gui ente ej empl o es una i l ustraci n del
prbcedi mi ento:
I
''':
http://libreria-universitaria.blogspot.com
aa'/
I O SI STEMAS BI NARI OS
CAP.
),
:
(26153,7406)
r
La conversi n de bi nari o a hexadeci mal
es si mi rar excepto que
el nmero
bi nari o se di vi de en grupos
de cuatro dgi tos:
(gl gggIIgg
J_11
pgsgrg
2 6 I 5
' 3
7 4 0 6
( l 0 1100
t_J
/
L__J
2C
0l l 0 l 0l I
I I
l__l
6B
I! EI
)':
(2C68,F2),u
F2
El dgi to hexadeci mal
correspondi ente para
cada grupo
de dgi tos bi na-
ri os es fci l mente recordado
despus d estudi ar i s
' val ores
stados en
I a Tabl a 1- 1.
La conversi n de octal o hexadeci mal
a bi nari o se hace por
un proce-
di mi ento i nverso al anteri or._
cada dgi to octal se convi erte a un equi va-
l ente bi nari o de tres dgi tos. De l a mi sma manera, cada dgi to hexadeci mal
se convi erte a un equi val ente
bi nari o de cuatro dgi tos. Esto se i l ustra
con ej empl os a conti nuaci n:
(6i3,r24)8 :
(
g J-l_L E_L
gE
Eg Ig
t
673124
(306,
D)
, 0
:
( 001I 0000 0l l 0 I l 0l )"
???
?
Los nmeros bi nari os son di fi ci l es de trabaj ar ya que necesi tan tres
o cuatro veces ms
_dgi tos
que
su equi val ente
deci mal -.
por
ej empl o, el
nmer o bi nar i o 111111111111
es equi val ent e al deci mal aOos. Emper o,
l os computadores
di gi tal es usan l os .nu.o, bi nari os y
ui gr.,", veces se
hace necesari o que
el operador humano o usuari o se comuni que di recta-
mente con l a mqui na en trmi nos
de nmeros bi nari os. un ei quema que
reti ene el si stema bi nari o en el computador pero que educe el nmero de
dgi tos que el humano debe consi derar,
uti l a l a rel aci n que hay entre
el si stema de nmeros bi nari os y el si stema hexadeci mal
u octal . Medi an_
t e est e mt odo, el humano pi ensa
en. t r mi nos
de nmer os oct al es o hexa-
deci mal res y hace l a conversi n por
medi o de l a i nspecci n, cuando se hace
necesaria la comunieacin
directa con la mquina. As el nmero binario
111111111111
t i ene 12 d gi t os y se expr esa en oct al como 7777 ( . " ui . o
dgi tos) o en hexadeci mal
como FFF (l res
dgi tos). Durante l a comuni -
caci n de 1a gente (rel ati va
a nmeros bi nari os en el computador), se hace
ms deseabl e l a representaci n
hexadeci mal
u octal y qu" puede
ser
usada de manera ms compacta
con una tercera o cuarta parte
del nmero
de dgi tos necesari os para
expresar el nmero bi nari o equi val ente.
cuan-
do un humano se comu4.i cq.
col l a mqui na (a
travs ae tos i nterruptores
de l a consol a, l as l uces i ndi cadoras
o por
medi o de l os programas
escri tos
en l enguaj e de maquna), l a conversi n
de octal o hexdei i mal a bi nari o
y vi ceversa se hace por i nspecci n
de parte
del usuari o.
http://libreria-universitaria.blogspot.com
s Ec . 1- 5
COMPLEMENTOS
I I
1- 5 COMPLEMENTOS
Los compl ementos se usan en l os computadores di gi tal es
para si mpl i fi car
l a operai n de sustracci n
y para mani pul aci ones
l gi cas. Hay dos cl ases
de compl ementos
para cada si stema de base r: (1) EI t:ompl emento de r
y (2) ei compl ement o de
(r- 1). Cuando se sust i t uye
. !
val or de l a base
i o.' o. ti pos reci ben l os nombres de compl ementos de 2 y 1 en el uso de
l os nmeros bi nari os o compl ementos de 10
y 9 en el caso de l os nmeros
deci mal es.
El compl ement o
de / '
Dado un nmero posi ti vo .^y' en base r con
parte entera
{e
n dgi tos, se
def i ne el compl emnt o
r de N como r " - N
par a Nl 0y O par a N: 0' El
si gui ente ej empl o numri co ayudar a comprender mej or Ia si tuaci n:
El compl emento de 10 de
(52520)16 es I05
-52520:47480.
El nmero de d gi t os del nmero es n: 5.
El compl ement o de 10 de
(0, 3267)1e es l -0, 3267: 0, 6733.
No hay parte entera, por tanto i 0'
:
10o
:1.
El compl ement o de 10 de
(25, 639)ru es 102
-25, 639: 74, 361'
El compl ement o de 2 de
(101100), es
(26
)' o
-
(101100)z
: (1000000- 101100):
: 010100.
:
El compl ement o de 2 de
(0, 0110), es
(1- 0, 0110)z
:
0, 1010.
Por l a defi ni ci n y l os ej empl os, es cl aro
que el compl emento de 10 de
un nmero deci mal puede ser formado dej ando todos l os ceros menos si g-
nificativos inalterados, restando el primer nmero diferente de cero menos
si gni fi cati vo de 10 para l uego sustraer el resto de dgi tos ms si gni fi cati -
vos de 9. El complemento de 2 puede ser formado dejando todos los ceros
menos si gni fi cati vos
y el pri mer dgi to di ferente de cero si n cambi o, para
l uego rempl azar unos por ceros y ceros por unos en el resto de dgi tos mas
si gn i fi cat i vos.
Un tercer mtodo ms senci l l o para obtener el compl emento de r es
dado despus de l a def i ni ci n del compl ement o de
(r-1)' El compl ement o
de r de un nmero exi ste
para cual qui er base r
(si endo
r mayor pero no
i gual a 1) y puede ser obteni do de l a defi ni ci n que se dar a conti nuaci n.
Los ej empl os l i st ados aqu usan nmeros con r: 10
(deci mal ) y r: 2
(bi nari o) debi do a que estos son l as bases ms i nteresantes. El nombre
del compl emento se rel aci ona con Ia base del nmero usado. Por ej empl o
el compl ement o de
(r-1)
de un nmero en base 11 se l l ama compl ement o
de 10 ya que r - 1: 10 par a r : 11.
El compl ement o de
( r -
1)
Dado un nmero posi ti vo N en base r con una parte entera de n dgi tos
y
una parte fracci onari a de rn dgi tos, se defi ne el compl emento de
(r- 1)
de N como rn
-r-n -11[.
Se dan al gunos ej empl os a conti nuaci n:
fr
http://libreria-universitaria.blogspot.com
--r
F
f
I
I
I
| 2 SI STEMAS BI NARI OS
CAP. 1
El compl ement o de 9 de
(52520)r0
es
(t Ot
-
I -52520): 99999-
52520: 47479.
Como no hay part e f racci onari a, ent onces 10--: 100
: 1.
El compl ement o de 9 de
(0, 3267), n
es
(1-t O-+
-0, 3267): 0, 9999-
0. 3267
: 0. 6732.
Cqmo no hay parte entera entonces 10"
:
100
:
1.
El compl ement o de 9 de
( 25, 639) 1e
es
( t 0,
- 10- 3 - 25, 639) : 99, 999-
25. 639
: 74. 360.
El compl ement o de 1 de ( 101100) 2
es
( 26
-
1) - ( 101100) : ( 111111-
101100) 2
: 010011.
El compl ement o de 1 de ( 0, 0110) 2
es ( 1- Z- +
) r o
*
( 1, 0110) 2 : ( 0, 1111
-
0, 0110)2
:
0, 1001.
De estos ej empl os se ve que el compl emento de 9 de un nmero deci -
mal se forma si mpl emente sustrayendo cada dgi to de 9. El compl emento
de 1 de un nmero bi nari o se expresa en una forma an ms senci l l a: l os
unos se cambi an a ceros y l os ceros a unos. Como el compl emento de
(r- 1)
se puede obtener muy fci l mente el compl emento de r. De l as defi ni ci ones
y de l a comparaci n de l os resul tados obteni dos en l os ej empl os se des-
prende que el compl emento' de r puede
ser obteni do del compl emento de
(r-
1) despus de sumar r-^ al dgi to menos si gni fi cati vo. Por ej empl o
el compl emento de 2 de 10110100 se obti ene del compl emento de 1 de
01001011 agregando 1 para dar 01001100.
Val e l a pena menci onar que el compl emento del compl emento dej a al
nmero en su val or ori gi nal . El compl emento de r de N es rn
-
N y el com-
pl emento
de
(r"
-
N) es r"
-
(r"
-
N)
:
N; de l a mi sma manera sucede con
el compl emento de 1.
Sust r acci n con compl ement os de r
El mtodo di recto de sustracci n di seado en l as escuel as usa el concepto
de prestar. En este mtodo se presta un 1 de una posi ci n si gni fi cati va
ms al ta cuando el dgi to del mi nuendo es ms pequeo que el correspon-
di ente dgi to del sustraendo. Esto parece el mtodo ms senci l l o usado
por l a gente al hacer l a sustracci n con papel y l p2. Cuando Ia sustrac-
ci n se gj ecuta por medi o de l os componentes di gi tal es se. encuentra que
este mtodo es menos efi ci ente que el mtodo que
usa compl ementos y
suma de l a forma descri ta a conti nuaci n.
La sust racci n de dos nmeros posi t i vos (M-N),
ambos en base r
puede hacerse de l a si gui ente manera:
1. Se suma el mi nuendo M al compl ement o de r del sust raendo N.
2. Se i nspecci onan l os datos obteni dos en el Paso 1 para una "i l eva"
fi nal .
(a)
Si ocurre una "l l eva" fi nal . se debe descartar.
http://libreria-universitaria.blogspot.com
COMPLEMENTOS I 3 s Ec . 1- 5
(b)
Si no ocurre una "l l eva" fi nal , se toma el compl emento de r del
nmero obteni do en el paso 1 y se col oca un nmero negati vo
al frente.
Los si gui entes ej empl os i l ustran el procedi mi ento:
EJEMPLO I-5.' Usando el compl emento de 10, sustraer
72532
-
3250.
M
=72532
N
:
03250
complemento de 10 de .lf
:
96750
72s32
+
96750
10 de 30718)
de 2 para sustraer
respuesta: 69282
EJEMPLO l-6.' Sustraer: (3250
-
72532)rc.
M: 03250
N
: 72532
compl emento de 10 de
N
:2' 1468
ni nguna l l eva
respuesta:
-69282: -
(compl emento de
EJEMPLO I-Z Usar
pl
compl emento
M
-
N con l os nmeros bi nari os dados.
lleva final
-+
L/OgZgZ
03250
(a)
compl emento de
respuesta: I00[lA
(b)
compl emento de
M: 1010100
N: 1000100
2 de N: 0111100
l l eva
l 0l 0l 00
-r
0l l l l 00
fi nul --- I 0010000
1000100
nrnguna l l eva
M: 1000100
N: l 0l 0l 00
2 de N: 0101100
respuesta:
-
10000:
-
(compl emento
de 2 de 1110000)
http://libreria-universitaria.blogspot.com
--1
t 4 SI STEMAS EI NARI OS
M
: 72532
N: 03250
9 de N
: 96749
CAP. 1
La prueba de este procedi mi ento
es: l a suma de M al compl emento
de r de N da
(M*r"
-N).
Para nmeros que
ti enen una parte ntera de
l / dgi tos, r" es i gual a 1.
(Lo que
se ha l l amado l a "l l eva" fi nal ) en l a
posi ci n (N+
1). Como se asume que M y N son posi ti vos, por tanto:
( o) ( M+r " - N) ) r ,
s i M) N,
o
( b) ( M+r ,
- N) ( r ,
s i M( N
En el caso
(a)
l a respuesta es posi ti va
e i gual a M
-
N, y se obti ene di rec-
tamente descartando l a "l l eva" fi nal r" . En el caso (b)
l a respuesta es
negat i va e i gual a
-
(N-M). Est e
caso se det ect a por l a ausenci a de l a
"l l eva" fi nal . La respuesta se obti ene sacando un segundo compl emento
y
agregando un si gno negati vo:
- l r ' -
( M + r ^ - N) ]
: -
( N
-
M) .
Sust r acci n con compl ement o de
( r -
1)
El procedi mi ento para sustraer con el compl emento de
(r-
1) es exacta-
mente el mi smo que
el usado con el compl emento de r excepto por
una
vari aci n l l amada l a "l l eva" fi nal de rei ni ci o mostrada a conti nuaci n.
La sustracci n M-N de dos nmeros posi ti vos
en base r pueden cal cu-
l arse de l a si gui ente manera:
1. Se agrega el mi nuendo M al compl ement o de (r-i )
del sust raen-
do N.
2. Se i nspecci ona el resul tado en el Paso 1 y l a
..l l eva"
fi nai .
(a)
Si aparece una "l l eva" f i nal se agrega 1al d gi t o menos si gni -
fi cati vo (l l eva
fi nal de rei ni ci o).
(b)
Si no ocurre una "l l eva" fi nal , se obti ene el compl emento de
(r-
1) del nmero obteni do en el Paso 1 y se col oca un si gno
negativo al frente.
La prueba de este procedi mi ento
es muy si mi l ar a l a del compl emento
de r dada y se dej a al l ector como ej erci ci o. Los si gui entes ej empl os i l us-
tran este procedi mi ento:
EJEMPLO
plementos
de
(a)
complemento de
I-8.' Repeti r l os Ej empl os 1-5 y 1-6 usando com-
72532
+ 96749
/ -t @
lleva final de reinicio
[__--',
*
respuesta:
69282
69282
http://libreria-universitaria.blogspot.com
\
s Ec . 1- 5
(b) M: 03250
N
: 72532
complemento de 9 de N
:
27467
COMPLEMENTOS I 5
03250
27467 +
EJEMPLO
mento de 1.
(a)
compl emento de
respuesta: 10000
(b)
complemento de 1 de
M: l 0l 0l 00
N: 1000100
1 de 1{ : 0l l l 0l l
l l eva fi nal de rei ni ci o
M: 1000100
r/
:
l 0l 0l 00
N
:
0l 0l 0l I
ni nguna l l eva
l 0l 0l 00
0l l l 0l l
I
0010000
r000100
ninguna lleva
___Jh07n
respuesta:
-
69282:
-
(compl emento
de 9 de 30717)
respuesta:
-
10000:
-
(compl emento
de I de 1101111)
Compar aci n ent r e l os compl ement os
de2ydel
Al comparar los complementos de 2 y de 1 se detallan las ventajas y des-
ventajas de cada uno. El complemento de 1 es ms fcil de ejecutar, por
medio de componentes digitales ya que lo nico que hay que hacer es
cambiar los ceros a unos y los unos a ceros. La ejecucin del complemento
de 2 puede obtenerse de dos maneras:
(1)
agregando 1 al dgito significa-
tivo menor del complemento de 1 y (2)
dejando los primeros ceros, en las
posiciones significativas menores y el prirner 1 inalterados para cambiar
solamente el resto de unos a ceos y de ceros a unos. Durante la sustraccin
de los nmeros, usando complementos, es ventajoso emplear el complemento
de 2 en el cual solamente se requiere una operacin aritmtica de suma. El
complemento de 1 requiere dos sumas aritmticas cuando sucede una."lle-
va" final de reinicio. El complemento de 1 tiene la desventaja adicional de
poseer
dos ceros aritmticos: uno con todos los ceros y otro con todos los
I-9; Repeti r el Ej empl o 1-7 usando el compl e-
000l l l l
0l 0l 0l I
l l 0l nl
*,
http://libreria-universitaria.blogspot.com
{
I
t 6 SI STEMAS BI NARI OS
CAP. 1
nmeros
unos. Para
i l ustrar
este hecho, consi drese l a sustracci n de dos
bi nari os i gual es 1100
-
1100: 0.
Usando el complemento de 1:
I 100
T
001I
+ l l l l
Complementar de nuevo para obtener
-
0000.
Usando el compl emento de 2:
I 100
-r
0100
+ 0000
Mi entras que el compl emento de 2 ti ene sol amente un cero ari tmti co, el
0 complemento de 1 puede ser negativo o positivo
lo cual podra
complicar
l a si tuaci n.
Los compl ementos ti l es para l os cl cul os ari tmti cos en l os compu-
tadores se tratan en l os captul os 8 y
9. El compl emento de 1, si n embargo,
es muy ti l en l os mani pul adores l gi cos (como
se mostrar ms adel ante)
ya que el cambio de urros a ceros y viceversa es equivalente a la operacin
de i nversi n l gi ca. El compl emento de 2 se usa sol amente en asoci o de l as
apl i caci ones ari tmti cas. En consecuenci a es conveni ente adoptar l a si gi en-
te convenci n: cuando, se use l a pal abra
compl emeno, si n menci onar el ti po,
en asoci o con una apl i caci n ari tmti ca, se asume que
es el compl emento
de 1.
1- 6 CODI GOS BI NARI OS
Los si stemas di gi tal es el ectrni cos usan seal es que
ti enen dos val ores
di sti ntos y
el ementos de ci rcui to que
ti enen dos estados establ es. Exi ste
una anal oga di recta entre l as seal es bi nari as. l os el ementos de ci rcui to
bina-rios y los dgitos binarios. un nmero binario de r dgitos, por ejemplo,
puede ser representado por n elementos de circuito binaiio con seleJ de
sal i da equi val entes a 0 1 respecti vamente.
Los si stemas di gi tal es tepi "-
sentan y
mani pul an no sol amente l os nmeros bi nari os si no tambi n mu-
chos otros el ementos di rectos de i nformaci n. Cual qui er el emento di screto
de informacin especfico entre un grupo
de cantidades puede ser repre-
sentado p9r
un cdigo binario. Por ejemplo el rojo es un color especficodel
espectro. La letra A es una letra especfica del alfabeto.
un i f por defi ni ci n es un dgi to bi nari o. cuando se usa en asoci o
con un cdi go bi nari o es mej or pensar que denota una canti dad bi nari a
igual a 0 1. Para representar un grupo
de 2n elementos diferentes en
cdi go bi nari o se requi ere un mni mo de N bi ts. El l o es debi do a que
es
posible
arreglar r bits en 2" maneas diferentes.
por
ejemplo, ,r.t grnpo
http://libreria-universitaria.blogspot.com
-!
COOI GOS BI NARI OS t 7
de cuatro canti dades di ferentes puede ser representado por un codi go de
dos bi ts con cada canti dad asi gnada a cada una de l as si gui entes cornbi -
naci ones de bi ts; 00, 01, 10, 11. Un grupo
de ocho el ementos requi ere un
cdi go de tres bi ts con cada uno de l os el ementos asi gnados a uno
y sl o
uno de l os si gri entes: 000, 001, 010, 011, 100, 101, 110, 111. Los ej ernpl os
muestran que l as di ferentes combi naci ones en bi ts de un cdi go de n bi ts
pueden encontrase contando en forma bi nai a desde 0 hasta 2' - 1. Al -
gunas
combi naci ones de bi ts no se asi gnan cuando el nmero de el ementos
de un grupo que va a codi fi case no es ml ti pl o de una
potenci a
de 2. Los
di ez nmeos deci mal es 0, 1, 2,
,
9 son ej empl os de este grupo. Un cdi -
go bi nari o que
di sti ngue di ez el ementos di ferentes debe contener mni mo
cuatro bi ts: tres bi ts detemi nan un mxi no de ocho el ementos. Cuatro
bi ts pueden conformar 16 combi naci ones di feentes, pero como se codi -
fi can sol amente di ez dgi tos, l as sei s combi naci ones restantes no se usan
ni se asi gnan.
Aunque el nmero mni mo de bi ts, necesari os para codi fi ca 2" can-
ti dades di ferentes, es n, no hay un nmero mxmo d,e bi ts
que puedan
ser usados
por un cdi go bi nai o. Por ej empl o, l os di ez dgi tos deci mal es
pueden ser codi fi cados con di ez bi ts
y
a cada dgi to deci mal asi gnarl e
una combi naci n de bi ts de 9 ceros
y
un 1. En este cdi go bi nari o en par-
ti cul ar, al dgi to 6 se l e asi gna l a combi naci n de bi ts 0001000000.
Cd i gos deci mal es
Los cdi gos bi nari os para di gi tos deci mal es requi eren un mni mo de cua-
to bi ts. Se puede obtene numerosos cdi gos di feentes rearregl ando
cuatro o ms bi ts en di ez combi naci ones
posi bl es. Vari as de estas posi -
bi l i dades se muestran en l a Tabl a 1-2.
Tabl a l -2 Cdi gos bi nari os para d gi t os deci mal s
Di gi to
deci mal
( BDC
)
u2\ Exceso a 3 u. 2- l
(Bi gui nari o)
5043210
0
I
2
3
4
5
o
'l
8
9
0000
0001
0010
001I
0100
0l 0l
0t l 0
0l l l
r 000
t 00l
001 I
0100.
0101
0l l 0
0l l l '
1000
l 00l
l 0l
l 0l I
I 100
0000
0l l t
0l l 0
0l 0l
0l m
l 0l I
l 0l 0
l 00 t
r 000
l l l l
0000
0001
0010
001 I
0100
l 0l I
I 100
I l 0l
l l l 0
l l
010000 |
0100010
0100100
0101000
0l 10000
100000r
1000010
1000100
1001000
l 0l 0m0
Bl BDC
(el
bi nari o deci mal codi fi cado) es una forma di recta asi gnada
a un equi val ente bi nari o. Es posi bl e asi gnar cargas a l os bi ts bi nari os de
acuerdo a sus posi ci ones. Las cargas en el cdi go BDC son 8, 4, 2, l . La
asi gnaci n de bi ts 0110 por ej empl o, puede ser i nterpretada por l as cargas
http://libreria-universitaria.blogspot.com
I 8 S I STEMAS SI NABI OS
CAP. 1
B1*_l : l , t : . "l t g.
el d si t o deci mal
6 ya que
0x 8+ 1x 4+ 1x 2+0+
1: 6.
Ds posrDre
asrgrar cagas ne,gati vas
a un cdi go deci mal ,
tal como se
muestra.en
el cdi go 8, a,
.?,.
1. En este
"u"o
l "
"n-bi nu"l n
" i ts
0110 se i nt er pr et a
como el d gi t o deci mal
i ,
" i t " " r . "
de 0X8+1X4
+.I x
(
-
2)
+ 0 X
(
-
1)
:
2. O-tros dos cdi gs
con
""-.gJ-
-o"t.ados
en l a
tabl a son el 2421 y
el b043210.
U"
"Oai g,
""i _i q,]""J"
u usado en al _
fl 9-.- ""-nut"dores
vi ej os
en el cdi go de
"*"".o "' i .
Este l ti mo
es un
LuurBU srn caga. cuva asrgnati n
se obti ene
del correspondi ente
val or
en BDC una vez se hya sumado
l .
o"",,lli,l"l"u'jff
J:'::"r",::T,"?,J:t'"""11r1':T
jl-.'j"$":ir:jffi
li":
datos, el usuari o gusta
dar l os datos
""
f;;;;
j *i ."i .
L"" _"r,ur""
d",
crmal es reci bi das
se al macenan
i ntenamente
en el computador por
medi o
del cdi go deci mal .
Cada dgi to a""i rn"l ."q,l i "i "
;;;;"".. cuatro el e-
mentos
de al macenami ento
bi nari o.
Los n,i -".o..
' a""i aul es
se convrenen
:..1i 1*
cuando l as operaci ones
ari tmti cas
." hr"un-l nt".numente
con
numeros
representados
en bi nari o.
Es posi bl e
tambi n real i zar
operaci o_
nes ari tmti cas
di rectamente
en deci ml
con todos l o" n"n"ro.
,a
dej a_
dos en forma codi fi cada.
por
ej empl o,
"t
,,,i _".o
J""i -"i 9b,
",rundo
."
:j :ri :rl "_1^l i rri o
da i gual a 1100b1011 y
"on"i "t" "i ,
-"ueve
dgi tos bi na-
rrus. or mrsmo numeo representado
al ternamente
en cdi go BC,
ocupa
: r ^1r 1" _, b1"
par a
cada. di gr r , r
deci mal par a
un t ot af ae i Z i i s: 001110010101.
L.,fs prrmeros
c' ttro bi ts representan
el 3, l os si gui entes
cuatro el
g
y l os
ul trmos
cuatro el 5.
.
Es muy i mportante
comprender
l a di feenci a
entre conuersi n
de un
n-mero deci mal
a bi nai o y ra codi t' i caci n
i ...L u ,"' .omero
deci mal .
En_cada
caso el esul tado
ri rral
e" u.. ,".i "
' " -i t". -i _*
i r" obteni dos
d-e l a conversi n
son dgi tos bi nari os.
L", i i ".1""i Josl i
ta codi fi cacn
son combi naci ones
de unos a ceros arregl adu"
a" u"ul aan u l as regl as del
cdi go
usado. Por tanto es extremadam"ert"
i -p".i r.i "' i "r,".
"n "u"nru,
que
una seri e de unos y.ceros
en un si stema
di l i tal puee
al gunas
veces
epresentar
un nmero
bi nari o y
otras veces ."pi "a"r,tu.
al guna otra can
ti dad di sceta
de i nformaci n
como se especi fi ca
en un cdi go bi nari o
3:n::^,?^":0.11"^ llc
*...
ejemplo,
ha
"la-i"""eiJ.'j"
iui -o,,",^
ouu
""
u,r coorgo y
una conversi n
bi nai a
di recta si empre y
cuando l os nmeros
deci mal es
sean al gn entero y
entre 0 y
9.
pa;
;;;Jr-i l .yo.".
que
g,
l a
conversi n y
Ia codi fi caci n
son compl etamente
di ferent.' E.t"
-.r"upto
es tan i mportante
que
val e l a pena
repeti rl o
usando otro ej empl o: l a con_
versi n
bi nari a
del deci mal
l 3 es l 10l ; t,
"ral n"""i ""al
eci mal 13 con
BDC
es 00010011.
- - _ ?"
1, " " ci nco cdi gos. bi nar i os. l i st ados
en l a Tabl a 12, et BDC par ece
ser el mi s natural y
es si n duda el que
se encuentra
ms mtnmente.
Los
otros cdi gos
de cuatro bi ts ti enen
una caractersti ca
en comn que no se en_
cuenta
en BDC. El de exceso a 3, el 2, a,
?,
,,,
"l
B,,
_l -_
I son cdi gos
autocompl ementari os,
esto es que
el compremento
de 9 der nmeo
deci mal
se obti ene fci l mente
cambi ano
t"" ..;;
;;
;;i l
por
ms. Esta
propi edad
es muy ti l cuando se hacen l as operaci ones
ari tmti cas i nterna_
http://libreria-universitaria.blogspot.com
coDt Gos Bt NARr os 19
mente con nmeros deci mal es
(en
cdi go bi nari o) y l a sustracci n se hace
por medi o del compl emento de 9.
Fi l cdi go bi nari o mostrado en l a Tabl a l -2 es un ej empl o de un cdi go
de si etc dBi tos con propi edades
de derecci n de error. Cada di gi to deci mal
consi s e de 5 cer os
12
unos col ocados e r l as cor r esDondi ent cs col umnas de
."-a I
P
a.
La propredad
de l a detecci n de eror de este cdi go puede
compren-
derse si uno se da cuenta de que l os si stemas di gi tal m representan el
bi nari o 1 medi ante una seal especfi ca uno
y
el bi nai o cero por otra
segunda seal especfi ca. Durante l a tasmi si n de seal es de un l ugar a
otro puede pesentarse un error. Uno o ms bi ts pueden
cambi a de val or.
Un ci cui to en el l ado de recepci n puede
detectar l a presenci a
de ms
(o
menos) de dos unos y en el caso de que l a combi naci n de bi ts no est de
acuerdo con l a combi naci n permi ti da,
se detectar un error.
Cdi gos de det ec c i n de er r or
La i nformaci n bi nari a, si endo seal es de pul sos modul ados o seal es de
entrada y sal i da de un computador di gi tal , puede ser tasmi ti da a travs
de al gn medi o de comuni caci n tal como ondas de radi o o al ambres. Cual -
qui er rui do exteno i ntoduci do en el medi o de comuni caci n fi si ca cambi a
l os val oes de l os bi ts de 0 a 1 y vi ceversa. Puede ser usado un cdi go de
detecci n de error con el obj eto de detecta l os errores durante l a tras-
mi si n. El eror detectado no puede ser corregi do pero s i ndi cada su
presenci a. El procedi mi ento usual es observar l a frecuenci a del eror. Si
el ero ocurre de vez en cuando, al eatori amente y si n al gn efecto pro-
nunci ado sobe el total de l a i nformaci n trasmi ti da, o no se hace nada o
se trasmi te de nuevo el mensaj e errneo especco. Si el erro ocure tan
a menudo que se di storci ona el si gni fi cado de l a i nformaci n eci bi da, se
debe recti fi car l a fal l a del si stema.
Un bi t de pari d.ad es un bi t extra, i ncl ui do con el mensaj e para
con-
verti r el nmeo total de unos en
par
o i mpar. Un mensaj e de cuatro bi ts
y un bi t de pari dad
P se representan en l a Tabl a 1-3. En (a),
se escoge P
de tal manera que l a suma de todos l os unos sea i mpar (en
total ci nco bi ts).
En
(b),
se escoge P de tal manera que Ia suma de todos l os unos es par.
Duante l a trasferenci a de i nformaci n de un l ugar a otro, el bi t de pari
dad se trata de l a si gui ente manera: en el extemo de envo, el mensaj e
(en
el caso de l os pri meros cuatro bi ts) se apl i ca a un ci rcui to "generador
de pari dad" en el cual se genera
el bi t P requeri do. EI mensaj e
j unto
con
su bi t de pari dad se tasfi ere a su desti no. En el extremo de recepci n
todos l os bi ts entrantes (en este caso ci nco) se apl i can al ci cui to de "ve-
i fi caci n de pari dad' para constatar l a pari dad adoptada. Se detecta
un eror si l a pari dad vei fi cada no corresponde a l a adoptada. El mtodo
de Ia pari dad
detecta l a presenci a de uno, tres o cual qui er combi naci n
de eroes i mpar. Una combi naci n par de errores no se puede detecta.
Una ul tei or di scusi n de l a generaci n de pari dad y su veri fi caci n pue-
de ser encontada en Ia Secci n 4-9.
!
http://libreria-universitaria.blogspot.com
r
Tabl a l -3 Generaci n del bi t de pari dad
(a) Mensaje P
(i mpar) (b)
Mensaje P ( par i
0000
0001
00r 0
001 I
0r 00
010 |
0l l 0
0l
1000
l 00l
l 0l 0
l 0l I
I 100
l 0l
I l 0
l
0000
0001
0010
001 I
0100
0l 0l
0l l 0
0l l l
1000
t 00l
l 0l 0
l 0l I
| 100
I l 0l
nl 0
l l
1
0
0
I
0
I
I
0
0
I
I
0
I
0
0
I
0
1
I
0
I
0
0
I
I
0
0
I
0
I
I
0
El cdi go r ef l ej ado
Los si stemas di gi tal es pueden
ser di seados para procesa
datos sol amen-
te en forma di sceta. Muchos si stemas fi si cos sumi ni stran sal i da conti nua
de datos. Estos datos pueden
converti rse en forma di screta o di ei tal antes
de ser apl i cados a un si stema di gi tal . La i nformaci n anl oga o conti nua
s_e. convi erte a forma di gi tal por medi o del converti do anl go a di gi tal .
Al gunas veces es conveni ente usar el cdi go refl ej ado mostrado en l a Tabl a
1-4 para
representar l os datos di gi tal es converti dos en datos anl osos.
La ventaj a del cdi go refl ej ado sobre l os nmeros bi nai o,
pu.o"
".
-ou.
el nmero en el cdi go refl ej ado cambi a en sl o un bi t cuando cambi a' de
un nmero al si gui ente. Una apl i caci n tpi ca del cdi go refl ej ado ocurre
cuando l os datos anl ogos se epresentan por
un cambi o conti nuo de l a
posi ci n
de un ej e. El ej e se di vi de en segmentos y a cada segmento se l e
asi gna un nmero. Si se hace corresponder segmentos adyacentes con
nmeos de cdi go refl ej ados adyacentes, se reduce l a ambi gedad cuan
do se sensa l a detecci n en l a l nea que separa cual qui er par de segmen,
tos. El cdi go refl ej ado que se muestra en l a Tabl a l -4 es sol amente uno
de l os muchos cdi gos posi bl es. Para obtener un cdi go refl ej ado di ferente
se puede
comenzar con cual qui er combi naci n de bi ts y proceder
a obtener
l a si gui ente combi naci n, cambi ando sol amente un bi t de 0 a I de 1 a 0
de cual qui er modo deseado, al azar, si empre y
cuando dos nmeos no
tengan cdi gos asi gnadtx i dnti cos. El cdi go refl ej ado se conoce como el
cdi go Groy.
Cdi gos a l f a n u m ri cos
Muchas apl i caci ones de computadores di gi tal es, requi eren manej ar datos
que
consi sten no sol amente de nmeros si no tambi n de l etras.
po
ei em_
20
http://libreria-universitaria.blogspot.com
\
T6bl s 1- 4 Cdi go r ef l ej ado de cuat r o bi t s
Cdi gorefl ej ado Equi val entedeci mal
0000
0001
001I
0010
0l l 0
0l l l
0l 0l
0100
l 100
I l 0l
l l l l
l 0
l 0l 0
l 0l I
l ml
1000
0
I
2
3
4
5
6
'l
8
9
l 0
l l
t 2
t 4
t 5
pl o una compaa de seguros con mi l l ones de cl i entes pueden usar un
computador di gi tal para procesar sus hi stori as. Para representar el nom-
bre del dueo de una pl i za en forma bi nai a, es necesai o tener un cdi go
bi nari o para el al fabeto. Adems, el mi smo cdi go bi nari o puede represen
tar nmeros deci mal es y al gunos otros caracteres especi al es. Un cdi go
al fanumri co (al gunas veces abrevi ado aLphameri c) es un cdi go bi nari cr
de un grupo de el ementos consi stente de l os di ez nmeros deci mal es, l os
26 caracteres del al fabeto y de ci erto nmero de smbol os especi al es tal es
como
$. EI nmero total de el ementos de un grupo
al fanumerco es mayor
que 26. Por consi gui ente debe se codi fi cado con un mni mo de sei s bi ts
( 2' j : 64, ya que
25
: 32
es i nsuf i ci ent e) .
Un arregl o posi bl e
de un cdi go al fanumri co de sei s bi ts se muestra
en l a Tabl a 1-5 baj o el nombe de "cdi go i nterno". Con al gunas vari aci o-
nes se usa en muchas computadoras, para epresentar i nternamente ca,
acteres al fanumi cos. La necesi dad de representar ms de 64 caracteres
(l as
l etras mi nscul as y l os caracteres de control especi al es para l a tras-
mi si n de i nfomaci n di gi tal ) di o l ugar a cdi gos al fanumi cos de si ete y
ocho bi ts. Uno de estos cdi gos es conoci do como ASCII
(Ameri can
Stan-
dard Code fo Informati on l nterchange: Cdi go normal i zado ameri cano pa-
ra el i ntercambi o de i nformaci n)i oto es conoci do como EBCDIC
(Extended
BCD Interchange Code: Cdi go de i ntercmbi o BDC aumentado). El cdi -
go
ASCII l i stado en l a Tabl a 1-5, consi ste de si ete bi ts, pero es para prop-
si tos prcti cos
un cdi go de ocho bi ts ya que
el octavo bi t se agrega de todos
modos para efectos de pari dad. Cuando se trasfi ee i nformaci n di recta me-
di ante tarj etas perforadas, l os caacteres a-l fanumri cos usan un cdi go bi -
nai o de 12 bi ts. Una tarj eta perforada
consi ste en 80 col umnas
y 12 fi l as.
En cada col umna se representa un cacter al fanumri co medi ante huecos
_- .- .1
http://libreria-universitaria.blogspot.com
Tsbl a l - 5
(
odr gos de car act e s al f anumr i cos
-
uool go L odl go
Cdi go i ntemo AS CII EBCDIC Cdi so de tal j eta
C a r act e 6. bi t s ?- bi t s 8- bi r s 12- bi t s
B
C
D
E
F
G
H
I
J
K
L
M
N
o
P
a
R
s
T
U
X
Y
z
0
I
2
3
4
5
0
'l
8
9
espaci o
0t 0 001
010 010
010 0
010 r 00
0 t 0 r 0l
010 I l 0
010 l
0l t 000
0l l 001
100 001
100 010
t 00 0t I
100 100
100 l 0l
100 I l 0
100 I l I
l 0l m0
t 0 | 001
0 0r 0
I l 0 0l l
I l 0 100
I l 0 r 0l
I l 0 l l 0
l l 0 l l l
l l t 000
l l I 001
000 000
000 001
000 0r0
000 0l I
000 100
000 l 0r
000 l r0
000 I Il
001 m0
00r 001
l r0 000
0l l 011
I l t I 00
010 000
I ot 0l I
l 0 t 100
0l I 100
100 000
I l 0 001
l l I 0
001 0r l
l m 0001
100 0010
100 001I
100 0100
100 0r 0l
100 0l l 0
100 0l l l
100 1000
r00 l 00l
100 l 0r 0
100 101 |
t 00 l l m
100 I t ol
100 I l t 0
100 l l
r0r 0000
l 0l 0001
l 0l 0010
l 0l 001 I
l 0l 0100
r 0l 0l 0l
I 0l 0l l 0
l 0l 0l l l
l 0l l 0)
t 0l l 00l
l 0l l 0l 0
0l I 0000
0l t 0001
0l l 0010
0 001I
0l I 0100
0l I 0l 0l
0l I 0l l 0
0l l 0l l t
0l I 1000
0l I t 00l
010 0000
0t 0 I n0
010 1000
010 l ot I
010 0100
010 l 0l 0
010 r 00l
010 l l 0l
0t 0 l l l l
010 l r 00
0l r I l 0l
l I 0
I l 0
l r 0
l l 0
l l l 0
l l l 0
l l l 0
I I Il 0000
l l 0001
l l l l 0010
I l l l 00l l
l l l t ol m
l l 0l 0l
l l 0l l 0
l l l l 0l l t
r l l l 1000
l l l 00l
0100 m00
0100 l 0l I
0100 I l 0 r
0100 | I l 0
0l 0t l ot I
0r 0| I 100
0t 0l I l 0l
0l l0 0000
0l r0 0001
0l l 0 l 0
0l l l l 0
t2,1
1 ) )
1 ) 1
12,4
12.6
t2,7
12, 8
t 2, 9
l t , t
11,2
I 1, 3
I t , 4
I 1, 5
I 1, 6
11, 7
I 1, 8
I 1, 9
o,2
0,3
0,4
0,5
0,6
0,1
0,8
0,9
0
I
2
3
4
5
6
7
8
9
no perforado
t2.8,3
12, 8, 5
12, 8, 6
I 1, 8, 3
I 1, 8, 4
I 1, 8. 5
l l
0, 1
0,8,3
8, 6
I 100
l 100
I 100
I 100
r 100
I 100
I 100
| 100
l l m
I l 0l
I l 0l
I l 0l
I l 0l
I l 0l
l 10l
I l 0l
I l 0l
I l 0l
l l l 0
0001
0010
001 I
0100
010 |
0l t 0
0l
1000
1001
0001
0010
00
0100
0l 0l
0l l 0
0l l l
t000
t 00l
ml 0
001 I
0100
0l 0l
0l l 0
0 r
r 000
t 00l
(
+
$
)_
http://libreria-universitaria.blogspot.com
SEC ] 7
perforados en l as col umnas adecuadas. Un hueco se sensa como 1 su au-
senci a como 0. Las 12 fi l as estn marcadas, comenzando desde el extremo
superi or como l as fi l as de
rerforaci n
12, 11,0, 1,2,
,
9. Las tres pri meras
consti tuyen el rea de perforaci n de zona
y l as l ti mas nueve, de perfora-
ci r' numri ca. El cdi go de tarj eta de 12 bi ts mostado en l a Tabl a 1-5 da
un l i stado de l as fi l as en l as cual es se perfora un hueco
(dando
l os unos).
Las fi l as restantes se asumen como ceros. El cdi go de tarj eta de 12 bi ts es
i nefi ci ente con respecto al nmero de bi ts con que se usa. La mayora de
l os computadores traducen el cdi go de entrada a un cdi go i nterno de sei s
bi ts. Como ej empl o se usa l a representaci n del nombe "John Doe" a con-
t i nuaci n:
r00l 0l l 10000 010100
N espacio D
1. 7 ALMACENAMI ENTO DE BI NARI OS Y REGI STROS
Los el ementos di scretos de i nfomaci n en un computador di gi tal deben
tener una exi stenci a fi si ca en al gn medi o de al macenami ento de i nfor-
maci n. Adems, cuando l os el ementos di scretos de i nfomaci on se re'
presentan en forma bi nari a, el medi o de al macenami ento de i nformaci n
debe contener el ementos de al macenami ento bi nai o para Ia acumul aci n
de l os bi ts i ndi vi dual es. Una cel d.a bi nara es un el emento
que posee dos
estados establ es
y
es capaz de al macenar un bi t de i nfomaci n. La entra-
da a l a cel da eci be l as seal es de exi taci n
que l a col oca en uno de l os
dos estados. La sal i da de l a cel da es una canti dad si ca que di sti ngue
entre l os dos estados. La i nformaci n al macenada en l a cel da es un I
cuando est en su estado establ e
y un 0 cuando est en el otro estado
establ e. Al gunos ej empl os de cel das bi nai as son l os ci rcui tos fl i p-fl ops, l os
ncl eos de ferri ta usados en l a memori a
y l as posi ci ones perforadas o no de
una tarJeta.
R eg ist ros
Un regstro es un grupo de cel das bi nari as. Como una cel da al macena un
bi t de i nformaci n, se desprende que un regi stro de r cel das puede al ma-
.:enar
cual qui er canti dad di sceta de i nformaci n que contenga n bi ts.
El estado del re$stro es un nmero ensi mo de unos o ceros con cada brt
.ndi cando el estado de una cel da en el regi stro. El cc,nteni do de un regi stro
es una funci n de l a i nterpretaci n dada a Ia i nfomaci n al macenada en
el l a. Consi dese como ej empl o un regi stro de 16 cel das:
I I 0 0 0 0 I I I 0 0 0 0 I
| 2 3 4 5 6 7 8 9 l 0 1l 12 13 14 15 16
Fsi camente se
podra pnsar que el regi stro est compuesto de 16 cel das
bi na i as, con cada cel da al macenando un 1 un 0. Supongamos que l a con-
fi zuraci n de bi ts al macenados es como se muestra en l a fi gua. El estado
ALMACENAMI ENTO DE EI NARI OS Y REGI Sf ROS 23
l 00l l 0 0l 0l 0l
OE
T
100001 rml l0 0l l0@
JOH
*t
http://libreria-universitaria.blogspot.com
CAP, 1
del regi stro es el nmero 16-avo 1100001111001001. Ms cl aramente, un
egi sto de n cel das puede estar en uno de l os 2n estados posi bl es. Ahora
bi en, si se asume qu el conteni do del regi stro epresenta un entero bi na-
ri o, obvi amente el regi stro puede al macenar cual qui er nmero bi nari o de
0 a 26
-1.
Para el caso
parti cul ar
mostrado, el conteni do del regi stro es
el equi val ente bi nari o al nmero deci mal 50121. Si se asume que el regi stro
al macena caracteres al fanumri cos de un cdi go de 8 bi ts, el conteni do
del regi stro es cual qui era de l os caracteres si gni fi cati vos.
(Las
combi na-
ci ones de bi ts no asi gnadas no representan i nformaci n si gni fi cati va).
En el cdi go EBCDIC, el ej empl o anteri or representa l os 2 caracteres C
(ocho
bi ts i zqui erdos) e 1
(ocho
bi ts derechos). Por otra
parte,
si se i nter-
peta el conteni do del regi stro como cuato dgi tos deci mal es reprsenta-
dos por
un cdi go de cuatro bi ts, el pri mero se un nmero deci mal de
cuatro dgi tos. En el cdi go de exceso a 3 del ej empl o antei or se represen-
ta el nmeo deci mal 9096. En el cdi go BDC el conteni do del regi stro no
ti ene ni ngn si gni fi cado ya que l a combi naci n de bi ts 1100 no se asi gna
a ni ngn dgi to deci mal . De acuerdo al ej empl o, se nota que un regi stro
puede
al macenar uno o ms el ementos di scretos de i nformaci n v
que
l a
24 SI STE4AS BI NARI OS
mi sma confi guraci n de bi ts puede
para
di feentes ti Dos de el ementos
i nterpretada, de manera di feente
i nformaci n. Es muv i mportante
ser
de
que el usuari o al macene i nformaci n si gni fi cati va en egi stros y que el
computador sea programado para procesar
esta i nformaci n de acuerdo
al i po de l a mi sma.
Tr asf er enci a ent r e r eg i st r os
Un computador di gi tal se caracteri za por
sus egi stros. La uni dad de me-
mori a
(Fi gura
1-1) es pri nci pal mente
una col ecci n de ci entos de regi stros
para al macenar i nformaci n di gi tal . La uni dad procesadora se compone
de vai os regi stros que al macenan operandos con base en l os cual es se
real i zan operaci ones, La uni dad de control usa regi stros
para
control ar
vai as secuenci as del computador y
cada di snsi ti vo de entada
y sal i da
debe tener al menos un regi stro para
al macenar l a i nformaci n trasferi da
de o al di sposi ti vo. Una operaci n de trasferenci a enrre regi stros es una
operaci n bsi ca en si stemas di gi tal es y
consi ste en l a tasferenci a de
l a i nformaci n al macenada de un regi stro a otro. La Fi gura 1-2 i l ustra l a
trasferenci a de i nformaci n entre regi stros y demuestra pi ctri camente
i a trasferenci a de i nformaci n bi nari a de un tecl ado de tel eti po a un re-
gi stro en l a uni dad de memoi a. Se asume que l a uni dad de entrada del
tel eti po ti ene un tecl ado, un ci rcui to de control
y
un regi stro de entrada.
Cada vez que se di gi ta una tecl a, el control i ntroduce al regi stro de en-
tada un cdi go de carcter al fanumri co equi val ente de 8 bi ts. Se supone
que el cdi go usado es el cdi go ASCII con un octavo bi t de pari dad i mpar.
La i nfomaci n del regi stro de entrada se tasfi ee a l as ocho cel das me-
nos si gni fi cati vas del regi stro procesador. Despus de cada trasfeenci a
se borra el regi stro de entrada para permi ti r que el control pueda envi ar
un nuevo cdi go de ocho bi ts cada vez que se di gi te el tecl ado. Cada ca-
racter de ocho bi ts tasferi do al regi stro procesador vi ene segui do
por
un
corri mi ento del anteri or carcter en l as si zui entes ocbo cel das a su i z-
http://libreria-universitaria.blogspot.com
UNIDAD DE MEMORIA
r oH
0 1 0 0 1 0 1 0 0 1 0 0 1 1 I
I I 1 0 0 1 0 0 0 1 I 0 0 1 1 I
PROCESADOR
UNIDAD TELETTPO DE ENTRADAI Resi stro
CONTROL
\
1
..-:-.--.--.!
Fi gura l _2 Trasl erenci a de i nformaci n con regi stros
qui erda. Cuando se compl ete l a tasferenci a de cuato caracteres, el re-
j i .i .o
p.o"".udor estar l l eno
y su conteni do se trasferi r. al regi stro de
i l "-o.i u. El conteni do al macenado en el regi stro de memori a de l a Fi gura
1-2 nrovi no de l a tasferenci a de l os caracteres JOHN despus de di gi tar
l as uato tecl as adecuadas.
P"." pro"""u. l as canti dades di scretas de i nformaci n
en forma bi -
nari a, el omputador debe estar dotado de
(l ) el ementos
que sostengan
l os datos
qus vayan a ser
procesados y (2) el ementos de ci rcui to
que
manej en l os bi ts i ndi vi dual es de i nfomaci n. El el emento ms conveni en-
temente usado
para retener i nformaci n es un regi stro. El manej o de va-
i abl es bi nai as se hace por medi o de ci rcui tos l gi cos di gi tal es' La Fi gura
1-3 i l ustra el proceso de suma de dos nmeros bi nari os de 10 bi ts' La uni -
dad de memori a,
que consi ste usual mente en ci entos de regl stros se
muestra en el di agrma con sl o tres de sus regi stros. La pat de l a uni -
dad de
proceso mstrada, consi ste en tres regi stros, R1, R2
y R3 conj un-
tamente con ci rcui tos l gi cos di gi tal es
que manej an l os bi ts de Rl
y R2
y
tasfi een a R3 un nmei o bi nari o i gual a su suma ari tmti ca Los regi s-
tos de memori a al macenan
i nformaci n
y estn i ncapaci tados
para pro-
cesar l os dos operandos. Si n ernbargo, l a i nformaci n al macenada en l a
memori a
puede ser trasferi da a l os regi stos de
proceso Los resul tados
obteni dos
por el regi stro del
procesador pueden ser trasferi dos al regi stro
25
http://libreria-universitaria.blogspot.com
I
Fi gura l -3 Ej empl o de procesami ento de i nformaci n bi nari a
de l a memori a para al macenami ento hasta que vuel van a ser necesari os.
El di agrama muestra el conteni do de l os dos operandos trasferi dos de l os
dos regi stros de memori a Rl y
R2. Los ci rcui tos l gi cos di gi tal es producen
l a suma que a su vez ser trasferi da al regi stro R3. El conteni do del regi s-
tro R3 puede ser trasl adado a l os regi stros de memori a.
Los l ti mos dos ej empl os demuestran l a capaci dad del fl uj o de i nfor-
maci n del si stema di gi tal de una manera muy senci l l a. Los regi stros del
si stema son l os el ementos bsi cos para al macenami ento y retenci n de l a
i nformaci n bi nari a. Los ci rcui tos di gi tal es procesan l a i nformaci n. En
l a si gui ente secci n se i ntroducen l os ci rcui tos di gi tal es y su correspon-
di ente capaci dad de mani pul aci n. El tema de l os regi stros y l as opera-
ci ones de trasferenci a de regi stros se ver de nuevo en el Captul o 8.
1- 8 LOGI CA BI NARI A
La l gi ca bi nari a trata con vari abl es que toman dos val ores di scretos y con
operaci ones que asumen si gni fi cado l gi co. Los dos val ores que l as vari a-
bl es asumen pueden l l amarse de di ferentes maneras
(por
ej empl o, uerda-
dero y
falso,
si y no, etc.) pero para este propsito es conveniente pensar
26
NIDAD DE MEMORIA
0000000000
0 0 1 1 1 0 0 0 0 1
0 0 0 1 0 0 0 0 1 0
UNI DAD DE PROCESADOR
0 0 0 1 0 0 0 0 r 0
Ci r cui t os de
l gi ca di gi t al
para l a
suma bi nar i a
0 1 0 0 1 0 0 0 r l
0 0 1 l 1 0 0 0 0 1
http://libreria-universitaria.blogspot.com
SEC. 1- 8
LOGI CA BI NARI A 27
en trmi nos de bi ts y asi gnar l os val ores de 1 y 0. La l gi ca bi nari a se usa
para descri bi r, de una manera matemti ca el procesami ento
y mani pul eo
de l a i nformaci n bi nari a. Se acomoda muy bi en para el anl i si s
y di seo
de l os si stemas di gi tal es. Los ci rcui tos l gi cos di gi tal es de l a Fi gura 1-3,
que real i zan l a ari tmti ca bi nari a, son ci rcui tos cuyo comportami ento
se
".*p.e.u
ms conveni entemente
en trmi nos de vari abl es bi nari as
y ope-
tui on". l gi cas. La l gi ca bi nari a
que se i ntroduce en esta secci n es
equi val ente a un ti po de l gebra l l amada l gebra de Bool e..La
presentaci n
formal del l gebra-de Bool e de dos val ores se ver en ms detal l es en el
Captul o 2. E1 proposi to de esta secci n es el de i ntroduci r el l gebra de
Bo1", de una -a.tra heursti ca
y de rel aci onarl a con l os ci rcui tos l gi cos
di gi tal es
y seal es bi nari as.
Def i ni ci n de l gi ca bi nar i a
La l gi ca bi nari a consi ste en vari abl es bi nari as
y operaci ones l gi cas. Las
vari abl l es se i ndenti fi can medi ante l as l etras del al fabeto tal es como A,
B, C, x, y, z, etc. y cada vari abl e tendr dos
y sl o dos val ores
posi bl es:
1 y 0. Hay tres operaci ones l gi cas bsi cas: AND, OR y NOT.
1. AND: Esta operacin se representa por un punto o por la ausencia
de un operador. Por ej empl o, ' ! : z xy: z l e do "x y y es i gual
a z" i mpl i can
que e: 1 si y sl o si : 1 y y : 1;
de ot r a f or ma e: 0'
(Recurdese que f, y y z son vari abl es
y pueden ser sol amente 1
0ynadams. )
2. OR: Esta operacin se representa
por un signo ms. Por ejemplo r
f
y: z se l e " r OR
y es i gual a 2" , quer i endo deci r
que z: 1! i
: f o s i y: 1 o s i s e t i ene x : l y y : 1' . Si ambos : 0
y
! : 0,
ent onces e: 0.
3. NOT: Esta operacin se representa por un apstrofe
(algunas veces
por una barra). Por ej empl oi x' : z
(6
7: e) se l ee "r no es i gual a
z" i mpl i cando que z es l o que r no. En ot ras pal abras, si : 1 en-
t onces e: 0,
per o si
: 0
ent onces e: 1'
La l gi ca ari tmti ca se parece a l a ari tmti ca bi nari a
y l as operaci ones
AND
y OR ti enen su si mi l i tud con l a mul ti pl i caci n
y l a_suma respecti va-
mente. De hecho los smbolos usados
para AND y OR son los mismos
que se
usan
para l a suma y l a mul ti pl i caci n. La l gi ca bi nari a, empero' no se debe
confundi r con l a ari tmti ca bi nari a. Se debe tener en cuenta
que una va-
ri abl e ari tmti ca desi gna un nmero
que puede consi sti r en muchos dgi -
tos mi entras
que una vari abl e l gi ca es si empre 1 0. En l a ari tmti ca
bi nari a,
por ej -empl o, se ti ene
que 1+ 1: 10
(l edo "uno ms uno es i gual
a dos") mi entral
que en l a l gi ca bi nari a se ti ene
que 1+ 1
:
1
(l edo:
"uno OR uno es i gual a uno").
Existe ,r.r uulo. de z especificado
por la definicin de la operacin l-
gica, por cada combinacin de valores x y y. Estas definiciones
pueden
Ii.t"r." en una forma compacta usando tablas de uerdad. Una tabla de
verdad es una tabl a de todas l as combi naci ones
posi bl es de l as vari abl es
_*
http://libreria-universitaria.blogspot.com
Tabl a l -6 Tabl as de verdad
de l as operaci ones
l si cas
AND
OR
0
0
I
l
que
muestra l a rel aci n
entre l os val ores que
l as vari abl es pueden
tomar
y
el resul tado
de l a^operaci n.
por
ej empl o, l as tabl as-e
verdad para
l as
operai ones
AND y
OR con vari abl es
r y y
se obti enen
al l i star todos l os
val ores_posi bl es
que
l as vari abl es puede'
t"rr".
"rrl o
-r"
"o*bi nan
en
pares.
El resul tado
de l a operaci n
de cada
"o-i "ci n
se l i sta en una co-
l l rl u
separada.
Las tabrai
de verdad
d" ,
oi i ;"T
se l i stan en l a
::?j l j :t
Estas tabras demuestran
cl aramente
l as defi ni ci ones
de l ps ope-
Seal es bi nar i as y
ci r cui t os de conmut aci n
El uso de vari abl es
bi nari as y
l a apl i caci n
a ra l gi ca bi nari a se demues-
tra por
l os ci rcui tos
senci l l os
de c-onmutaci n
de ri g"." r_4. suponga_
mos que
l os i nterruptores
A. y
B representen
dos vari abl es bi nari as
con
val ores
i gual es a 0 cuado el
-i n-terruptor
est abi erto e-i gual 1 cuando el
i nterruptor
est cerrado.
Si mul tnemente
asmase que
l a l mpara
l
representa
una tercera vari abl e pri mari a
i gual a t cuando l a l uz est pi en-_
di da e i gual a 0 cuando
est apagJu.
pu
"t "uro
;;-., i ' t"r.upto.u,
.r,
seri es, l a l uz se prende
sol ament
si A y B estn
";;.;;..
para
l os i nte_
rruptores
en paral el o,.ra
ruz se prender
si A o B ;;;";;rrados.
obvi a_
mente estos dos ci rcui tos pueden
expresarse por
medi o de l a l gi ca bi nari a
con l as operaci ones
AND
t
OR repecti vamente:
L
=
n
.
B para
el ci rcui to
de l a Fi gur a I_4(a)
L
:
A + B para
el ci rcui to
de l a Fi gura 1-4(b)
Los ci cui tos di gi tal es
el ectrni cos
se l l aman
al gunas veces ci rcui tos
de conmutaci n,
ya que
se comportan
como u i nterruptor
con qR el emen-
to acti vo
tal como un transi stor
conduci endo (i nterri pto,
"...uao)
o en
Fuent e
de vol taj e
Fuent e
de vol taj e
(a)
Interuptores
en seie
-
AND leica
(b)
Interruptores
en paralelo -
OR lsico
L
l ' _
x ' Y
x y
0
0
0
I
00
0l
l 0
l l
0
I
I
I
28
Figura
l-4 cicuitos
de interrupcin que
demuestran la lgica binaria
http://libreria-universitaria.blogspot.com
Voltios
La t ransi ci n ocure
entre estos Imites
f
Lgi ca l nomi nal
Tol eranci a
permitida para
la lgica 1
Lgica 0 nominal
Tolerancia
permitida para
l a l gi ca 0
- 0, 5
Figura l-5 Ejemplo de seales binaias
corte
(i nterruptor abi erto). En vez de cambi ar manual mente el i nterrup-
tor el ci rcui to de i nterrupci n el ectrni co usa seal es bi nari as para con-
trol ar el estado de conducci n o no conducci n del el emento acti vo. Las
seal es el ctri cas tal es como vol taj es o corri entes exi sten por todo el si s-
tema di gi tal en cual qui era de l os dos val ores reconoci bl es
(excepto durante
l a transi ci n). Los ci rcui tos operados por vol taj e responden a dos ni vel es
separados l os cual es representan una vari abl e bi nari a i gual a l gi ca 1 o
l gi ca 0. Un si stema di gi tal en parti cul ar podra defi ni r l a l gi ca 1 como
una seal de val or nomi nal de 3 vol ti os y l a l gi ca 0 como una seal de
val or nomi nal de 0 vol ti os. Como se muestra en l a Fi gura 1-5 cada ni vel de
voltaje tiene una desviacin aceptable de la nominal. La regin interinedia
entre las regiones permitidas se cruza solamente durante las transiciones
de estado. Los terminales de entrada de los circuitos digitales aceptan se-
ales binarias dentro de las tolerancias
permisibles y responden en el termi-'
nal de salida con seales binarias
que caen dentro de las tolerancias espe-
cficas.
Compuer t as l gi cas
Los ci rcui tos di gi tal es el ectrni cos se l l aman ci rcui tos l gi cos
ya que con
l as entradas adecuadas establ ecen cami nos de mani pul eo l gi co. Cual -
qui er i nformaci n deseada
para cal cul ar o control ar,
puede ser operada
pasando seal es bi nari as a travs de vari as combi naci ones de ci rcui tos
igico* con cada seal
que representa una variable
y trasporta un bit de
inlormacin. Los circuitos lgicos que ejecutan las operaciones lgicas de
AND, OR y NOT se muestran con sus respectivos smbolos en la Figura 1-6.
29
._
-J
http://libreria-universitaria.blogspot.com
I
x
( a ) Compuerta AND de
dos entradas
(b)
Compuerta OR de
dos entradas
(c)
Compuert a NOT
o inversor
a- - - . f A
F
-
ABC
, $
G: A* B
-
c
+
D
BcL)-
Bjf
Figura l-6 Smbolos para los circuitos lgicos
Estos ci rcui tos, l l amados conl puertas son bl oques de ci rcui tera que
producen
seal es de sal i da de l gi ca 1 o l gi ca 0, si se sati sfacen l as cn-
di ci ones de l as entradas l gi cas. Ntese que se han usado cuatro nom-
bres diferentes para el mismo tipo de circuito: circuitos digitales, circuitos
de conmutacin, circuitos lgicos y compuertas.
'.fodos
los cuatro nombres
se usan a menudo pero se har referencia a los circuitos como compuertas
AND, OR y NOT. La compuerta NOT se denomi na al gunas veces como cj r-
cui to i nuersor ya que i nvi erte l a seal bi nari a.
Las seales de entrada r y y en las compuertas de dos entradas de la
Fi gurl 1-6 pueden exi sti r en uno de l os cuatro estados posi bl es:00,
10, 11
01. Estas seal es de entrada se muestran en l a Fi gur 1-? conj untamen-
te con las seales de salida de las compuertas AND y
oR. Los diagramas de
tiempo de la Figura 1-7 ilustran la respuesta de cada circuito a cada
una de l as posi bl es
combi naci ones bi nari as de entrada. La razn para el
nombre "inversor" dado a la compuerta NOT es aparente al comparar la
seal (entrada
del inversor) y la seal r' (salida
del inversor).
Las compuertas AND y OR, pueden
tener ms de dos entradas como la
compuerta AND con tres entradas y la compuerta OR con cuatro entradas de
la Figura 1-6. La compuerta AND de tres entradas responde con la salida de
l gi ca 1 si todas l as tres seal es de entrada son de l gi ca 1. La sal i da pro-
duce una seal de l gi ca 0 si cual qui er entrada es de l gi ca 0. La comper-
ta 0 de cuatro entradas responde con l gi ca 1 cuando cual qui er eni rada
es de l gi ca 1. Su sal i da ser de l gi ca 0 si todas l as seal es de entrada
son de l gi ca 0.
'
ol - T- - Tl o
o
_v
AND: ;r
.
y
OR: * y
NOT: '
o, of Tl o
Figura l-7 seales de entrada-salida para las compuertas (a), (b) y (c)
de la Figura l-6
30
(d)
Compuerta AND de
tres entadas
(e)
Compuert a OR de
cuatro entradas
o o.f--Tl o o
fr
W
http://libreria-universitaria.blogspot.com
1 - 9
CI RCUI TOS I NTEGRADOS 3I
Pastilla plana
Pastilla de hilera doble de patillas
Figura l-8 Circuitos integrados
*
En ingls se usa
(DIP) Dual-in-line
package.
El si stema matemti co de l gi ca bi nari a es mej or conoci do como de
Bol e o l gebra de conmutaci n. Esta l gebra se usa conveni entemente
:,ara describir la operacin de conjuntos complejos de circuitos digitales.
' ,,s
di seadores de l os si stemas di gi tal es usan el l gebra de Bool e
para
::asformar l os di agramas de ci rcui to a expresi ones al gebrai cas o vi cever-
-a.
Los captul os 2 y 3 se dedi can al estudi o del l gebra de Bool e, sus
:ropi edades
y su capaci dad
de mani pul eo. El Captul o 4 muestra cmo
.. atgebra de Bool e puede usarse
para expresar matemti camente
l as
.:l rerconexi ones entre l os enl aces de compuertas.
. - 9
CI RCUI TOS
I NTEGRADOS
Los ci rcui tos di gi tal es estn construi dos
i nvari abl emente con ci rcui tos
.ntegrados. Un cl rcui to i ntegrado
(abrevi ado CI) es un cri stal semi con-
j uct' or
de si l i cn, l l amado
pasti l l a, que conti ene componentes el ctri cos
:al es como transi stores, di odos, resi stenci as
y condensadores. Los di ver-
:os componentes estn interconectados dentro de la pastilla para formar
un ci rcui to el ectrni co. La pasti l l a est montada en un empaque
pl sti co
con sus conexi ones sol dadas a l as pati l l as externas
para conformar el ci r-
cuito integrado. Los circuitos integrados difieren de otros circuitos elec-
tni cos compuestos de el ementos di scretos en que l os componentes i ndi -
viduales del
-CI
no pueden ser separados o desconectados
y que el circuito
dentro del
paquete ie hace accesible solamente
por medio de las patillas
externas.
Los circuitos integrados vienen en dos clases de pastillas, la pastilla
plana y la pastilla de hilera doble de
patillas* tal como se ve en la Figura
i-s. L pu.lia de hilera doble es la ms comnmente usada debido a su
bajo costo
y fcil instalacin en los circuitos impresos. La proteccin del
ciicuito iniegrado se hace de pl:stico o cermica. La mayora de las pas-
tillas tienen tamaos normalizados
y el nmero de patillas varan entre
g
y &. cada circuito integrado tiene su designacin numrica impresa
.ot" su superficie,
para poder identificarlo. Cada fabricante
publica
un libro de caractersticas o catlogo
para suministrar la informacin
correspondiente a los diversos
productos.

http://libreria-universitaria.blogspot.com
32 SI STEMAS EI NARI OS
CAP, 1
El tamao del c,ircuito integrado
es bastante pequeo.
por
ejemplo,
cuatro compuertas AND estn escapsuladas dentro de una pastilla
de 14
pati l l as
en hi l era dobl e con di mensi ones de 20x 8x B mi l meti os. un mi cro-
procesador
completo est encapsulado de una pastilla
de 40 patillas
en
hi l era dobl e con di mensi ones de 50 X 15 X 4 mi l metros.
Adems de l a reducci n sustanci al de tamao el cI ofrece otras ven-
tajas y beneficios comparados con los circuitos electrnicos con compo-
nentes di scretos. El costo de l os CI es bastante baj o, l o cual l os hce
econmi cos de usarl os.- Su baj o consumo de poder hae l os si stemas di gi -
tal es ms econmi cos de operar. Ti enen una gran
confi abi l i dad de no fal i r
y por tanto menos reparaci ones. La vel oci dad de operaci n es al ta haci n-
-
dol os ms adecuados para operaci ones de al ta vel oci dad. El uso de l os cI
reduce el nmero de conexiones externas ya que la mayora estn inter-
namente dentro de l a pasti l l a.
Debi do a todas estas ventaj as, Ios si stemas
di gi tal es se construyen con ci rcui tos i ntegrados.
Los circuitos integrados se clasifican en dos categoras generales:
l i neal es y
di gi tal es. Los cI l i neal es operan con seal es' conti uas para
produci r
funci ones el ectrni cas tal es como ampl i fi cadbres y
"o-prt"do- res de voltaje. Los circuitos integrados digitale!, operan con seles bi-
nar'ias y se hacen de compuertas digitales interconictadas. Aqu se tra-
tar sol amente con l os ci rcui tos i ntegrados di gi tal es.
A medida que mejora la tecnologa de los cI, el nmero de compuertas
que pueden
encapsul arse en una pasti l l a
de si l i cn, ha aumentado consi -
derabl emente. La forma de di ferenci ar aquel l os cI que tengan unas pocas
compuertas, con las que tienen cientos de compuertas, eJ referirse a la
pasti l l a
como un el emento de i ntegraci n pequea-, medi ana o grande.
unas
pocas
compuertas en una sol a pasti l l a
consti tuyen un el emento de i nte-
graci n pequea (ssD.*
Para poder
cal i fi car como un el emento de i nte-
graci n
medi ana (MSI)*
el ci rcui to i ntegrado debe cumpl i r una funci n
lgica c-ompleta y tener una complejidad de 10 a 100 compuertas. un ele-
mento- de i ntegraci n a gran escal a (LSD*
real i za una funci n l gi ca con
ms-de_1_00_ compuertas. Exi ste tambi n una i ntegraci n de muy- grande
escal a (vLSI).
para aquel l os el ementos que conti enen mi l es de orp,r"r-
tas en una sol a pasti l l a.
Muchos di agramas de ci rcui tos di gi tal es consi derados en este l i bro,
se muestran en detal l e hasta descri bi r l as compuertas i ndi vi dual es y sus
i nterconexi ones.
Tal es di agramas son ti l es para
demostrar l a conj truc-
cin Igica de una funcin particular.
sin embargo, dcbemos tener en cuenta
en Ia prctica que
una funcin dada se obtiene de u.t elemento de mediana
o gran i ntegraci n (MSI y LSI), al cual el usuari o sl o ti ene acceso a l as en-
tadas externas o salidas pero nunca a las entradas o salidas de las com-
puertas
intermedias. Por ejemplo, un diseador que desee incorporar un
registro en,su sistema debe preferiblemente
escoger tal funcin de un circui-
!o -9".
mediana integracin (MsI),
en vez de disear los circuitos digitales
individuales como se muestra en el diagrama.
' En
ingls se usa: SSI (Small
scale integration) Integracin de pequea
escala; MSI
(Medium
scale integration) lntegracin de mediana escala; LSI (Lar' ge' scale integration)
Integracin a gran escala; VLSI (Very
large scale integration) Iniegricin a muy-grande
escal a.
l
http://libreria-universitaria.blogspot.com
\
PROBLEMAS 33
REFERENCI AS
1. Richard, R. K., Arithmetc Operations in Digtat Computers. Nueva York: Van
Nostrand Co., 1955.
2. Fl ores, 1., The Logi c of computer Ari thmeti c. Engl ewood cl i ffs, N. J.: Prenti ce-
Hal l , Inc., 1963.
3. Chu, Y., Dgi tat Cornputer Desi gn Fundamental s. Nueva York: McGraw-Hi l l
Book Co., 1962, CaPtulos 1
Y
2.
4. Kostopoulos, G. K., Digital Engineering. Nueva York: John wiley & sons, Inc.,
1975, Captulo 1.
5. Rhyne, Y. T., Fundamentals of Digitat sysems Design. Englewood cliffs, N. J.:
Prenti ce-Hal l . Inc., 19?3, Captul o 1.
PROBLEMAS
1-1. Escriba los primeros 20 dgitos decimales en base 3'
L-2. sume
y multiplique los siguientes nmeros en la base dada sin convertirlos
a deci mal .
( a) ( 1230) +
Y
( 23)
( c) ( 367) '
v
( 715) a
( b) ( 135, 4) 6
v
( 43, 2) o
( d) ( 296) t z
Y
( 57) t z
1-3. convi erta el nmero deci mal 250,5 a base 3, 4,7,8 y 16 respecti vamente.
t-4. Convierta los siguientes nmeros decimales a binarios: 12,0625, 104, 673,23
y 1.998.
1-5. Convierta los siguientes binarios a decimales:
10, 10001, 101110, 0101, 1110101, 110, 1101101' 111.
1-6. convierta los siguientes nmeros en base a las bases
que se indican:
(a)
El deci mal 225,225 a bi nari o, octal
y hexadeci mal '
(b) El bi nari o 11010111,110 a deci mal , octal y hexadeci mal '
(c)
El octal 623,77 a deci mal , bi nari o
y hexadeci mal '
(d) El hexadeci mal zAC5,D a deci mal , octal
y bi nari o'
l-7. Convierta los siguientesimeros
a decimal:
( a) ( 1001001, 011) ,
( b) ( 12121) 3
( c) ( 1032, 2) o
( d) ( 4310) 5
( e) ( 0, 342) u
( f ) ( 50) ?
( g) ( 8, 3) g
( h) ( 1e8) , ,
1-8. Obtenga el complemento de 1 y de 2 de los siguientes nmeros binarios:
1010101, 0111000, 0000001, 10000, 00000
1-9. obtenga el complemento de 9 y de 10 de los siguientes nmeros decimales:
13579, 09900, 90090. 10000, 00000.
_^-*-----J
http://libreria-universitaria.blogspot.com
34 s r sr EMAs Bl NARt os
1-10. Encuentre el compl emento de 10 de (935)
,,.
1-11. Haga l a sustracci n de l os nmeros deci mal es
el complemento de 10 (2)
el complemento de 9.
CAP. 1
a conti nuaci n, usando (1)
Compruebe la respuesta por
medio de la resta directa.
( al
52- 32I
(b)
3570- 2100
( c)
753- 864
(d)
20- 1000
l-L2. Realice la sustraccin, de los siguientes
complemento de 2 (2)
el complemento de 1.
traccin directa.
( a) 11010- 1101
(b)
11010- 100m
( c)
10010- 10011
(d)
100- 110000
nmeros binarios usando
(1)
el
Compruebe la respuesta por sus-
1-13. Pruebe el procedimiento
expuesto en la Seccin 1-5 para la sustraccin de
dos nmeros con compl emento de (r-
i ).
1-14. Para l os- cdi gos cargados (a)
B, B, 2, 1
V
(b)
4,4,9,
_2para
nmeros deci -
males, determine_todas las tablas posibles
de tal manera que el complemen-
to de 9 de cada dgito decimal se obtenga mediante el cambio de unos a ceros
y
de ceros a unos.
1-15. Represente el nmero decimal 8620 (a)
en BDC, (b)
en cdigo de exceso 3,
(c)
el cdigo 2, 4, 2, 1
v
(d)
como nmero binario.
1-16' Un cdigo binario usa diez bits para
representar cada uno de los diez dgi-
tos decimales. A cada dgito se le asigna un cdigo de nueve ceros y un r.
El cdigo binario.para-6,.por_ejemplo,
es 0001000000. Determine el cigo bi-
nario para los dgitos decimales restantes.
L-r7. obtenga el cdigo binario cargado para los dgitos de base 12 usando las
cargas de 542L.
1-18' Determine el bit d9 paridad
impar generado
cuando el mensaje consiste en
dr ez di gi t os deci mal es en el cdi go 9, 4,
_2, _1.
1-19. Determine otras dos combinaciones
distintas al cdigo reflejado mostrado
en Ia Tabl a 1-4.
l-20. obtenga un cdigo binario para representar
todos los dgitos en base 6 de
tal manera que el complemento
de 5 se cbtenga re-plar"rro I por 0 y 0 por 1
en cada uno de los bits del cdigo.
1-21' Asigne un cdigo binario de alguna manera ordenada a las b2 cartas de la
baraja. Se debe usar el menor nmero de bits.
L-22. Escriba su norrbre y apellidos
en un cdigo de ocho bits compuesto de los
siete bits ASCII.de la Tabla 1-5
v
un brt d"e parida p"i L""rao un t" po-
sicin ms significativa.
Incluya los espacis entre las partes
del nombre
y el punto
despus de la inicial del segundo apellido.
L-23' Muestre la configuracin
de un registro
de 24 celdas cuando su contenido
representa (a)
el nmero (295),s
en bi nari o, (b)
el nmero deci mal 2g5;;
BDC y (c) l os caracteres Xyb en ngCOtC
http://libreria-universitaria.blogspot.com
t
PROBLEMAS 35
l -24. El estado de un regi stro de 12 cel das es 010110010111.Qu si gni fi ca su con-
tenido si este representa
(a) tres dgitos decimales en BDC,
(b) tres dgitos
decimales en cdigo de exceso 3,
(c)
tres dgitos decimales en cdigo 2, 4, 2,
1
V
(d)
dos caracteres en el cdigo interno de la Tabla 1-5?
I-25. Muestre el contenido de todos los registros en Ia Figura 1-3 si los dos n-
meros binarios agregados tienen el equivalente decimal de 257 y 1050. Asuma
un registroc{on 8 celdas.
L-26. Exprese el siguiente circuito de conmutacin en notacin lgica binaria.
AL
I' Lrente de
vol taj e
1-27. Muestre l as seal es
s al i das Fy Gdel a
B, Cy D.
(usando un diagrama similar al de la Figura 1-7) de las
Figura 1-6. Use seales arbitrarias en Ias entradas A,
"-*-**---.
http://libreria-universitaria.blogspot.com
Al gebra
d e Bool e
l y
compuertas l gi cas
2- 1 DEFI NI CI ONES LOGI CAS
EI l gebra de Bool e, como cual qui er otro si stema matemti co deducti vo
puede ser defi ni da por un conj unto de e.l ementos, un conj unto de opera-
dores, un nmero de axi omas o postul ados.
Un conj unto de el ementos es
una col ecci n de obj etos que
ti enen una propi edad
comn. Si S es un
conj unto y x y y son obj etos ci ertos, entonces S denota que r es un
mi embro del conj unto S y y
G
S denota que y no es un el emento de S. Un
conj unto con un nmero fi ni to de el ementos se representa por medi o de
l l aves: A: 11, 2, 3, 4f
,
es deci r I os el ement os del conj unt o A son l os n-
meros l , 2, 3 y 4. Un operador bi nari o defi ni do en un conj unto S de el e-
mentos, es una regl a que asi gna a cada par
de el ementos de S un el emento
ni co de S. Por ej empl o, consi drese l a rel aci n a*b: c. Se di ce que *
es
un operador bi nari o si ste especi fi ca una regl a para encontrar c de un
par (o,
b) y tambi n si a, b, ceS. Por otra parte, *
no es un operador bi -
nari o si a, beS mi ent ras que l a regl a encuent re que
cG S.
Los postul ados de un si stema matemti co forman l as suposi ci ones de
l as cual es se deducen l as regl as, teoras y propi edades del mi smo. Los
postul ados ms comnmente usados para formul ar vari as extructuras
al gebrai cas son:
1. Conj unto cerrado. Un conj unto S es cerrado con respecto a un
operador bi nari o, si para cada par de el ementos de S, el operador
bi nari o especi fi ca una regl a para obtener un el emento ni co de S.
El conj unt o de l os nmeros nat ural es N:
I
1, 2, B, 4,
l ,
po.
ej empl o, es cerrado con respecto al operador bi nari o
(
+ )
por l as
regl as de l a suma ari tmti ca ya que por cada a, b e N se obti ene
una ce N ni ca por l a operaci n a+b: c. El conj unt o de l os n-
meros natural es no es cerrado con respecto al operador bi nari o
menos
(
-
)
por l as regl as de l a sustracci n ari tmti ca ya que
2- 3:
- t
y 2, 8 N mi ent r as que ( -
l )

N.
2. Ley asoci ati ua. Se di ce que un operador bi nari o
*
en un conj unto
S es asoci ati vo si :
36
http://libreria-universitaria.blogspot.com
sEc. 2- 1
DEFI NI CI ONES LOGI CAS 37
( x* Y) +z
:
* ( Y* z)
Par a
t oda x, Y, z S
3. Ley conmutati Do. Se di ce
que un operador bi nari o
*
en un con-
j unto
S es conmutati vo si :
x*y
:
y*x par a t oda x, y S
4. El emento de i denti dod. Se di ce
que un conj unto S ti ene un el e-
mento de i denti dad con respecto a l a operaci n bi nari a
*
en S
si exi ste un el emento e S con l a propi edad:
e* x : x * e: x
par at odax S
Ej empl o: El el emento 0 es un el emento de i denti dad con respecto
a l a oper aci n *
en el conj unt o de ent er os I : l
, - 3,
- 2, - 7,
0, 1, 2, 3, . . . 1
Ya
que:
x* 0: 0+x : xPar at oda
x I
El conj unto de nmeros natural es N no ti ene el emento de i denti -
dad
ya que el 0 es excl ui do del mi smo.
5. Inuerso. Se di ce
que un conj unto S,
que ti ene un el emento de
i denti dad e con respecto a un operador bi nari o
*,
ti ene un i nverso
si para cada S exi ste un el emento
y
C S tal
que:
x* ! :
ffi mpl o:
En el conj unto de enteros I con e: 0, el i nverso del el e-
ment o o es
( - o)
Ya
que o+
( - o) : 0.
6. Ley di stri buti ua. Si
* y
.
son dos operadores bi nari os en un con-
unto
S, se di ce
Que
*
es di stri buti vo con respecto a
'
si :
x* ( " y' z)
:
( x* , ' ) ' ( x* z)
Un ej empl o de una extructura al gebrai ca es un compo. Un campo es
un conj unto de el ementos agrupados con dos operadores bi nari os, cada
uno de l os cual es ti ene l as propi edades 1 a 5 que se combi nan
para dar Ia
propi edad 6. El conj unto de nmeros real es conj untamente con l os ope-
i adres bi nari os
+
y
.
forman el campo de l os nmeros real es. El campo
de l os nmeros real es es l a base de l a ari tmti ca
y el l gebra ordi nari a.
Los operadores
y postul ados ti enen l os si gui entes si gni fi cados:
El operador bi nari o
*
defi ne l a suma.
La i denti dad adi ti va es 0.
El i nverso adi ti vo defi ne l a sustracci n.
El operador bi nari o
.
defi ne l a mul ti pl i caci n.
La i dent i dad mul t i pl i cat i va es 1.
El i nverso mul t i pl i cat i vo de a: l / a def i ne l a di vi si n, es deci r, a. l / a
:
1.
La ni ca l ey di stri buti va apl i cabl e es l a de
'
sobre
f
:
a- ( b + c ) : ( a' b) + ( a' c )
http://libreria-universitaria.blogspot.com
2. 2 DEFI NI CI ON AXI OMATI CA
DEL ALGEBRA BOOLEANA
En 1854 George Boole (1)
introdujo un tratamiento sistemtico de lgica
!'
para el l o desarrol l un si stema al gebrai co que hoy en da l l amamos rl -
gebra de Bool e. En 1938 C. E. Shannon
(2)
i ntroduj o una l gebra de
Bool e de dos val ores l l amada l gebra de conmutaci n en l a cual l demos-
tr que l as propi edades
de l os ci rcui tos de conmutaci n el ctri cas bi es-
tabl es pueden ser representadas por
esta l gebra. Se usarn l os postul ados
formul ados por E. v. Hunti ngton (3)
en 1g04 para l a defi ni ci n formal del
l gebra de Bool e. Estos postul ados y axi omas no son ni cos para defi ni r
el l gebra de Bool e ya que se ha usado otro conj unto de postul ados.
*El
l gebra de Bool e es una estructura al gebrai ca defi ni da para un conj unto
de el ementos B
j untamente
con dos operadores bi nari os
+
y .,
de tal
forma que se sati sfagan l os si gui entes postul ados (Hunti ngton):
1. ( a)
Conj unt o cer r ado con r espect o al oper ador
+.
(b)
Conj unto cerrado con respecto al operador
..
2.
(a)
Un el emento de i denti dad con respecto a
0: r f 0: 0+x : x .
(b)
Un el emento de i denti dad con respecto
r . 1: 1. r : .
3.
( a)
Conmut at i vo con r espect o a
+
: x+y
: !
*
x.
( b)
Conmut at i vo con r espect o a
.
i x, y: y. x.
( b)
*
es di s t r i but i v o s obr e. : r
+
( y . z ) : ( x
* y ) . ( x
- t
z ) .
5. Par a cada el ement o B, exi st e un el ement o r '
B ( l l amado
el com-
pl ement o de ) t al que: ( a)
x +x ' : 1
V f t )
x . x ' : 0.
6. Exi st en al menos dos el ement os r , ye B t al es que xt y.
Al comparar el l gebra de Bool e con l a ari tmti ca y el l gebra ordi na-
ri a (el
de l os nmeos real es) se notan l as si gui entes di ferenci as:
1. Los postul ados
de Hunti ngton no i ncl uyen l a l ey asoci ati va. Si n
embargo esta l ey es vl i da para el l gebra de Bool e y puede dedu-
ci rse
(para
muchos operadores) de otros postul ados.
2. La l ey di s t r i but i v a de
+
s obr e
. ,
es dec i r , r +( y . z ) : ( x * y )
. (x
-l
z
)
es vl i da para el l gebra de Bool e pero no para el l gebra
or di nar i a.
3. EI l gebra de Bool e no ti ene i nversos adi ti vos o mul ti pl i cati vos y
por tanto no hay operaci ones de sustracci n o di vi si n.
4. El postul ado
5 defi ne un operador Il amado compl emeno el cual no
est di sponi bl e en el l gebr a or di nar i a.
*Ver
por ej empl o Bi r kof f y' Bar t ee ( 4) , ' Cap t ul o
b.
? 9
f
desi gnado por el
a
.
desi gnado por 1:
http://libreria-universitaria.blogspot.com
-\
sEc. 2-2
DEFI NI CI ON AXI OMATI CA DEL ALGEBRA BOOLEANA 39
5. EI l gebra ordi nari a trata con l os nmeros real es, Ios cual es cons-
ti tuyen un conj unto i nfi ni to de el ementos. EI l gebra de Bool e
trata con l os el ementos B hasta ahora no defi ni dos
pero que se
defi nen a conti nuaci n
para el l gebra de Bool e de dos val ores
(de mucho i nters
para el uso ul teri or de esta l gebra), B est
defi ni do como un conj unto de sol amente dos el ementos, 0
y 1.
El l gebra Bool e se asemej a al l gebra ordi nari a en al gunos aspectos.
La escogenci a de l os smbol os
+
y .
es i ntenci onal con el fi n de faci l i tar
Ias mani pul aci ones con l gebra de Bool e
por parte de
personas fami l i ari -
zadas con el l gebra ordi nari a. Aunque no se puede usar al gunos conoci -
mi entos deri vads del l gebra ordi nari a
para tratar con l gebra de Bool e,
el pri nci pi ante debe ser muy cui dadoso de no susti tui r l as regl as del l -
gebra ordi nari a donde no sean apl i cabl es.
Es muy i mportante di sti ngui r entre l os el ementos del conj unto de
una estrucfura l gebrai ca
y l as vari abl es de un si stema al gebrai co. Por
ej empl o, l os el ementos del campo de l os nmeros real es son nmeros
-i .ni .ur
que l as vari abl es tal es como a, b, c, etc., usadas en el l gebra
ordi nari a son smbol os
que se establ ecen
para l os nmeros real es. Si mi -
l armente en el l gebra de Bool e se defi nen l os el ementos de un conj unto
B y l as vari abl es, tal es
que x,
!,
z sean si mpl emente smbol os
que repre-
senten l os el ementos. A estas al turas es i mportante darse cuenta
que
para tener una l gebra de Bool e se debe demostrar:
1. l os el ementos del conj unto B,
2. l as regl as de operaci n de l os dos operadores bi nari os,
y
3.
que el conj unto de el ementos B,
j untamente
con l os dos operado-
res, sati sfaga l os sei s postul ados de Hunti ngton.
Se pueden formul ar muchas l gebras de Bool e dependi endo de l a es-
cogenci a de l os el ementos de B y l as regl as de operaci ni En el trabaj o
susi gui ente, se tratar sol amente con una l gebra de Bool e bi val ente,
es dei r , una con dos el ement os. EI l gebr a' de Bool e bi val ent e t i ene apl i -
caci ones en Ia teora de conj untos
(el l gebra de enseanza)
y en l a l gi ca
de proposi ci ones. El i nters en este l i bro es en l a apl i caci n del l gebra
de Bool e a l os ci rcui tos con compuertas'
Al gebr a bool eana bi val ent e
Una l gebra de Bool e bi val ente se defi ne sobre un conj unto de dos el e-
mentos B:
I
0, 1f
,
con regl as para l os operadores bi nari os *
y de Ia
manera como se muestra en l as si gui entes tabl as de operador.
(La regl a
para el operador compl emento es para veri fi caci n del
postul ado 5):
Estas regl as son exactamente l as mi smas que l as operaci ones AND, OR
y
NOT respecti vamente
y que se han defi ni do en l a Tabl a 1-6. Se debe demos-
j

oVer
por ej empl o, Hohn
( 6) Whi t esi t t
( 7) , o Bi r khof f y Bar t ee ( 4)
http://libreria-universitaria.blogspot.com
r
40 ALGEERA DE BOOLE Y COMPUERTAS LOGTCAS
CAP. 2
trar que l os postul ados
Hunti ngton son vl i dos para el conj unto B:
|
0, 1l
y para los dos operadores binarios definidos anteriormente.
r. Et conj unto cercado es obvi o a parti r
de l as tabl as ya que
er resul -
t ado de cada oper aci n es 1 0 y 1, 0. B.
2. De l as tabl as se observa que:
( a) 0+0: 0
0+l : l * 0=l
( b) l . l : l
l ' 0: 0' l : 0
l o cual establ ece l os dos el ementos de i denti dad 0 para
f
y 1 para .
de l a manera como se defi nen en el postul ado
2.
3. Las l eyes conmutatuas son obvi as de l a si metra de l as tabl as de
l os operadores bi nari os.
4. (a)
La l ey di st ri but i ua x. (y
*
z)
: (x. y
) *
(.
z
),
puede dernos-
trarse que es verdadera de l as tabl as del operador, al formar
l a tabl a de verdad de todos l os val ores posi bl es
de x, y y z.
Para cada combi naci n se puede
dei var x. (y*e) y demos-
t r ar que ese val or es el mi smo que ( . y)
+
( x. z) .
La l ey dstri buti ua de
+
sobre
.
puede demostrarse que es
verdadera, medi ante una tabl a de verdad si mi l ar a l a descri ta
ant eri orment e.
Ia tabl a de compl ementos se puede
demostrar fci l mente que:
f
+f ' : 1,
y aque
0* 0' : 0+ 1: 1 y 1+ 1' : 1* 0: 1
. x' : 0, y a que 0. 0' : 0. 1: 0 y 1. 1, : 1. 0: 0 l o c ual ver i _
fi ca el postul ado
5.
0
0
0
I
0
0
I
I
( b)
5. De
( 4 ,
( b)
r Yz y + z
x ' ( y + z ) x ' y x ' z ( x . y ) + ( x . z )
000
001
010
0l I
100
l 0l
l l 0
l l l
0
I
I
I
0
I
I
I
0
0
0
0
0
I
I
l
0
0
0
0
0
0
I
I
0
0
U
0
0
I
0
I
0
0
0
0
0
l
I
I
http://libreria-universitaria.blogspot.com
\
i
sEc. 2- 3 TEOREMAS BASI COS Y PROPI EDADES DEL ALGEBRA BOOLEANA 41
6. El postul ado 6 se sati sface, ya que el l gebra bi val ente ti ene dos
el ement os di st i nt os 1y 0 con 110.
Se ha est abl eci do una l gebr a de Bool e bi val ent e que t i ene un conj un-
to de dos el ementos 1 y
0, dos operadores bi nari os con regl as de operaci n
equi val entes a l as operaci ones AND y OR y el operador compl emento equi va-
l ente al operador NOT. As, el l gebra de Bool e ha si do defi ni da de una ma-
nera matemtica formal y se ha demostrado que es equivalente a la lgica
bi nari a representada heursti camente en l a Secci n 1-8. La representaci n
heursti ca es una ayuda para entender l a apl i caci n del l gebra de Bool e a
l os ci rcui tos ti po compuertas. La representaci n formal es necesari a para
desarrol l ar l os teoremas y propi edades del si stema al gebrai co. El l gebra de
Bool e bi val ente defi ni da en esta secci n, es l l amada por l os i ngeni eros "l -
gebra de conmutaci n". Para darl e nfasi s a l a si mi l i tud que hay entre el
l gebra de Bool e bi val ente y otros si stemas bi nari os, se Ie ha l l amado "l gi -
ca bi nari a" en l a Secci n 1-8. De aqu en adel ante se omi ti r el adj eti vo bi -
val ente del l gebra de Bool e en l as di scusi ones subsi gui entes.
2- 3 TEOREMAS BASI COS Y PROPI EDADES
DEL ALGEBRA BOOLEANA
Dua l i dad
Los postulados de Huntington han sido listados en pares y repartidos en
parte (a) y parte (b).
Una parte puede obtenerse de otra si l os operadores
bi nari os y l os el ementos de i denti dad son i ntercambi abl es. Este pri nci -
pi o i mportante del l gebra de Bool e se l l ama el pri ncpi o de dual dad.
Este l ti mo establ ece que l as expresi ones al gebrai cas deduci das de l os
postul ados del l gebra de Bool e permanecen vl i dos si se i ntercambi an
l os operadores y el ementos de i denti dad. En el l gebra de Bool e bi val ente,
l os el ementos de i denti dad y l os el ementos del conj unto B son l os mi smos:
1y 0. EI pri nci pi o de dual i dad t i ene muchas apl i caci ones. Si se desea una
expresi n al gebrai ca dual , se i ntercambi a si mpl emente l os operadores OR y
AND y se rempl aza unos por ceros y ceros por unos.
Teor emas bsi cos
En l a Tabl a 2-1 se l i stan l os sei s teoremas del l gebra de Bool e y cuatro
de sus postul ados. La notaci n se si mpl i fi ca omi ti endo el toda vez que
no cause confusi n. Los teoremas y postul ados l i stados son l as rel aci ones
ms bsi cas en el l gebra de Bool e. Se advi erte al l ector que debe fami l i a-
ri zarse con el l as tan pronto como pueda. Tanto l os teoremas como l os pos-
tul ados se l i stan en pares y cada rel aci n es dual con l a que est apareada.
Los postul ados son axi omas bsi cos de l a extructura al gebrai ca y no ne-
cesi tan prueba. Los teoremas deben probarse a parti r de l os postul ados.
Las pruebas de l os teoremas con una vari abl e se presentan a conti nua-
ci n. En l a parte derecha se l i sta el nmero del postul ado que j usti fi ca
cada paso de l a prueba.
l
-l
http://libreria-universitaria.blogspot.com
Tabl a 2-l Postul ados y teoremas del l gebra de Bool e
Postul ado 2
Postul ado
5
Teorema I
Teorema 2
Teorema 3, i nvol uci n
( a) x * 0=x
( a) x +x ' : l
( a) x 4' x : x
( a) x +l : l
(x')'
:
x
( b) x ' l : x
( b) x' x'
=
0
( b) x . x
=
x
( b) x ' 0: 0
(b) xy
:
yx
(b) x(yz): (xy)z
( b) x +y z : ( x +y ) ( x +z )
O)
(rv)'
=
x' *
/'
( b) x( r + y) :
x
Postul ado
3, conmutati vo(a)
x * y
:
y * x
Teorema
4, asoci ati vo
(a) x + (y + z): (x + y)+ z
Postul ado
4, di stri buti vo
(a) x(y i z:' xy i xz
Teorema
5, DeMorgan (a) (x + y),
:
xiy,
,
Teorema
6, absorcin (a) x +
A
:
x
-Nt ese
que
el t eorema 1(b) es el dual del t eorema 1(a) y que
cada pa_
so de l a prueba
en parre (b)
es el dual de l a parte
a;J.-;"l q;i er teorei i a
dual puede
deri varse si mi l armente
de l a prueba
de u.r' pur-.r."rpondi ente.
TEOREMA
2( a\ : x
+ 1: 1.
TEOREMA
l ( a) : * x: x.
x +x: ( x* x ) . 1
:
(x + x)(-r * x,)
: x* x x ,
: x * 0
- x
TEOREMA
l ( b) : . r : . , r .
x - x: x x * 0
: xx +x x '
:
x(x * x' )
:
x . l
: x
x* l : l ' ( - r +l )
:
(x + x' )(x + l )
: x * x' . 1
:
x' * x'
: l
del postul ado:
2(a)
50)
4{a)
5(a)
20)
del post ul ado: 2(b)
5(a)
4(b)
5(b)
2(a)
del post ul ado: 2(b)
5(a)
(b)
2(b)
5(a)
TEOREMA
2( b) : . 0: 0 por
dual i dad.
TEOREMA
3. (
)'
:
x. . Del post ul ado
5, se t i ene
I
x,
:
I y
x. x,
: 0,
i o cual def i ne el compl ement
de r. Er c' ompl u-""t
u , , . , , y
".
t ambi n (' )"
As como el compl ement o
es ni co t *at "-"r que (r, ), :
x.
42
\
http://libreria-universitaria.blogspot.com
sEc. 2- 3 TEOREMAS BASI COS Y PROPI EDADES DEL ALGEBRA BOOLEANA
43
Los teoremas
que comprenden dos o tres vari abl es
pueden ser probados
al gebrai cament
de l os
postul ados y de l os teoremas
ya probados. Tmese
por ej empl o el teorema de absorci n.
TEOREMA 6( a) :
i
xY: x.
x * xy
:
x' I I xY del
Postul ado
2(b)
:
x(l * y) del
Postul ado
4(a)
:
x(Y + l ) del Post ul ado
3(a)
:
x. I del teorema 2(a)
-
x del postul ado 2(b)
TEOREMA 6( b) : (
* l ' )
: : r por dual i dad'
Los teoremas del l gebra de Bool e
pueden demostrarse
por medi o de
l as tabl as de verdad. En estas tabl as, ambos l ados de l a rel aci n se com-
prueban para arroj ar resul tados i dnti cos
para todas l as combi naci ones
posi bl es e l os vari abl es i ntegrantes. La si gui ente tabl a de verdad veri fi -
ca el
pri mer
teorema de absorci n.
xy x + x y
0
0
I
I
Las pruebas al gebrai cas
son l argas
y no se dar
fci l mente demostrabl e
tabl a de verdad
para el
muest r a a cont i nuaci n:
0
I
0
I
de l a l ey asoci ati va
y del teorema de De Morgan
una prueba de el l as. Si n embargo, su val i dez es
medi nte l as tabl as de verdad. Por ej empl o,
l a
pr i mer t eor ema de De Mor gan
( r * J ) ' : ' y ' s e
0
0
0
I
x+y
(x +
v)'
I
0
0
0
x'y
I
0
0
0
Pr i or i dad del oPer ador
La pri ori dad del operador para l a eval uaci n de l as expresi ones de Bool e es
(1)
l parntesi s,
(l ) NoT,
(3) AND y (4)
OR. En otras pal abras l as expresi o-
nes dntro de un
parntesi s deben ser eval Uadas antes de otras operaci o-
nes. La si gui ente peraci n en orden
pri ori tari o es el compl emento,
l uego
si gue l a An
y fi nl mente l a OR. Como ej empl o, consi drese l a tabl a de
u".dud del teorema de De Morgan. El l ado i zqui erdo de l a expresi n es
http://libreria-universitaria.blogspot.com
44 ALGEBRA DE BOOLE Y COMPUERTAS LOGI CAS
CAP. 2
(r-1--r
)' . As, l a expresi n dentro del parntesi s es eval uada
pri mero y
l uego se compl ementa el resul tado. El l ado derecho de Ia expresi n es
' - r ' ' . Por t ant o. el compl ement o de r y el compl ement o de

se eval an
pri mero y el resul tado se somete a una operaci n AND. Ntese
que en l a
ari tmti ca se ti ene en cuenta l a mi sma pri ori dad (excepto para ei compl e-
mento) cuando l a mul ti pl i caci n
y l a suma se rempl azan
por AND y OR
respecti vamente.
Di agr ama de Venn
Una fi gura ti l
que puede ser usada
para vi sual i zar l as rel aci ones entre
l as vari abl es del l gebra de Bool e es el di agrama de Venn. Este di agrama
consi st e en un r ect ngul o t al como el que se muest r a en l a Fi gur a 2- 1, en
el cual se di buj an crcul os trasl apados
para cada una de Ias vari abl es.
Cada crcul o es desi gnado
por una vari abl e. Se asi gnan todos l os puntos
dentro del crcul o como
perteneci entes a di chas vari abl es
y todos i os
puntos por fuera del crcul o como no perteneci entes a Ia vari abl e.
.Tme-
se por ej empl o el crcul o desi gnado r. Si estamos dentro del crcul o, se
di ce que : 1 y cuando est amos f uer a de l se di ce que r : 0. Ahor a bi en,
con dos crcul os trasl apados se forman cuatro reas di sti ntas dentro del
r ect ngul o: el r ea que no per t enece ni a ni ay ( x' y' ) , el r ea dent r o del
crcul o y pero por fuera de r (r' ,r' ),
el rea dentro del crcul o
y pero por
f uer a de
- v
( r J' ) y el r ea dent r o de ambos c r cul os
( r y) .
Los di agramas de Venn se usan para demostrar l os postul ados del
l gebra de Bool e y para demostrar l a val i dez de l os teoremas. La Fi gura
2-2, por ej empl o, muestra que el rea que pertenece a :r1' est dentro del
c r cul o r y por t ant o * - r ' : . r . La Fi gur a 2- 3 i l ust r a l a l ey di st r i but i va
r
( y
+
zl : xy
f
r z. En est e di agr ama se t i enen t r es c r cul os t r asl apados
par a cada una de l as var i abl es- r ,
J' y
z. Es posi bl e di st i ngui r ocho r eas
di ferentes en el di agrama de Venn de tres vari abl es. Para este ej empl o
en parti cul ar, se demuestra l a l e' di stri buti va al notar que el rea de
Fi gur a 2- 1 Di agr ama de Venn de dos var i abl es
Fi gur a 2- 2 l i ust r aci n del di agr ama de Venn x: r y
+
r
http://libreria-universitaria.blogspot.com
.r
r--->l'--\
.\
f f a\
I t + \ 1
l:,
:li;tl
I
\ #\ . /
\FZ\{
\ l
\ _/ -
.
(. r' )
Fi g u r a 2 - 3 I l u s t r a c i n d e l d i a g r a ma d e Ve n n p a r a l a l e y d i s t r i b u t i v a
i nt ersecci n
ent re el c rcul o f con el rea
que cont i ene
y 2 es l a mi sma
r ea
que per t enece a x) ' o r z'
2- 4 FUNCI ONES
BOOLEANAS
una vari abl e bi nari a
puede tomar el val or 0 1. una funci n
de Bool e es una
".p."
formada cn vari abl es bi nari as, dos operadores
bi nari os OR
y
AD, el operador NOT, el
parntesi s y- el si gno i gual ' Para un val or dado de
.ruri "t"r,' l a
funci n
p-t""' t"t 0 1.
-Consi dres
por ej empl o
l a funci n de
Bool e:
Ft : x v z '
La f unci n F, es i gual a 1si r : 1y
y : 1y z ' : l ; de ot r a maner a
Ft : 0'
Et e;empl o anteri o' r es una funci n de Bool e representada
como una ex-
p.u.i r, al gebrai ca. Una funci n de Bool e
puede ser representada
por me-
dl o d" .rn t"bl u de verdad. Para hacerl o se ttecesi ta una l i sta de 2"
combi naci ones
de r.ro,
y ceros de l as n vari abl es
bi nari as
y una- col umn^a
-rtr"' o
l as combi n""i on",
para l as cual es l a funci n es i gual a 1 0'
Como se muestra en l a Tabi a 2-2 exi sten ocho
posi bl es combi naci ones
di ferente,
para asi gnar bi ts en l as tres vari abl es. La col umna demarcada
F1 conti ene un 0 -u.r l para cada uxa de estas combi naci ones.
La Tabl a
ml estra
que l a funci n i , es i gual a 1 sol amente cuando x: !, y
--
I
i
): 0. Para cual qui er
ot ra' combi l naci n
F'
: 0' (Nt ese que l a af i rmaci n
z'
:1
es equi val eni e
a deci r
que z
:
0.) Consi drese
l a si gui ente funci n:
Fz: x *
) " 2
Fz: l si : 1 si
! : 0,
mi ent as - e: 1' 8"
l a Tabl a 2- 2, x: 1 en l as l t i -
mas cuatro fi l as
y
t:Ot
en l as fi l as 001
y 191' La l ti ma
combi naci n
se
ui "u tambi n
pu-r: i . A"i , hay ci nco ombi naci ones
para hacer Fr:1.
i o-o tercer ej empl o, consi drese
l a funci n:
Ft : x' Y' z + x' Yz + xY'
Esto se muestra
en la Tabl a 2-2 con cuatro unos
y cuatro ceros. Fn es lo
mi smo
que F3 y se consi dera
a conti nuaci n:
45
http://libreria-universitaria.blogspot.com
Tabl a 2-2 Tabl as de verdad para
F,
:
ry2,,
Fz: x * y,z,
Ft : x' y, z * x, yz *
A, , !
Fa:
r y, +
x, z
Fl
000
001
010
0l l
100
r 0l
l l 0
l l l
00
0l
00
00
0l
0l
l l
0l
F4 F3 F2
00
00
00
l l
00
cual qui er
funci n
^de
Bool e puede
ser representada por
una tabl a de
verdad.
El nmero
de fi l as en l a tabl a es de 2" donde n es el nmero
de
vari abl es
bi nari as de Ia funci n.
Las combi naci o.res
de unos y
ceros se
pueden
obtener fci l mente para
cada fi l a de l os n,i meros
bi .rari o. contan-
do desde 0 a2"
-
1.
para
cada fi ra de l a tabra, hay un val or para
l a funci n
i gual a 1 0' se formul a
ahora l a pregun_ta:
Huv
""u
e"f.esi o' al gebrai ca
ni ca para
una funci n
de Bool e^
da? n"
"t.",
pul utrur,
Es
posi bre
encontrar
dos expresi ones
al gebrai cas para
especi fi car l a mi sma funci n?
L.a respuesta para
estas preguntas
es s. De hecho, l a mani pul aci n
del
l gebra
de Bool e se apri ca ri rayormente
al probl e.n"
J" ncontrar
expre_
si ones
ms si mpl es para
ra ml sma funci n.
consi drese por
ej ernpl o l a
f unci n:
Fq: xY' * x' z
De l a Tabl a
2-2 se. encuent ra
que
"n
es i dnt i ca
a Fr, ya que
ambas
t i e-
nen unos y
ceros i dnti cos para
cada combi naci .t
d' uJor"s
de l as tres
vari abl es
bi nari as.
En general ,
dos funci ones
de n vari abl es
bi nari as
son
i gual es
si el l as ti enen
el mi .mo uul o. puru
todas ras 2^ combi naci ones
posi bl es
de l as n vari abl es.
una funci n de Bool e puede
ser trasformada
de una expresi n
al ge-
braica a. un diagrama lgico ompuesto
a"
"o*p""rt";li;,
oR y NoT. La
real i zaci n
de l as cuatro funci nes
i ntroduci das
en l a anteri or
di scusi n
se muestra
en l a Fi gurT,2.-4.
Los di agramas
l gi cos i ""I,tv..,
un ci rcui to
l l -I:::"r
para
cada va.i abl e presente
""n
,u forma de compl emento. (El
rnversor
no es necesari o
si se cuenta con el compl emento
d l a uuri "bi *)
Hay una compuerta
AND para
cada tmino
de la
"*pr".io.,
y
una compuerta
oR para
combina
dos o ms trminos.-be
l;; ;i"";;;;
"i
ouuio que para
compl etar
Fo se requi eren
menos
compuertas y
entradas que
F3. como
$
v
Fr son funci ones
de Bool g i goui ;.,
es ms econmi co
l l evar a cabo
l a.forma
F, que l a foma Ir. Pa encontrar
ci rcui tos
ms senci l l os,
se
debe conocer
cmo mani pul a"r
l as funl i ones
de Bool e para-obtener
funci o-
nes i gual es pero
si mpl i fi cadas_ I,o
que
consti tuye
l a i i ej o, fbrma de una
expresi n
de Bool e,
depende
de l a pl i caci n prti "rrr.'
., esta secci n
se consi dera
el cri teri o
de mi ni mi zaci bn
de
"q.ri po.
46
http://libreria-universitaria.blogspot.com
f
( a) Fr
-
, xr -
( c) F3
: x' Y' 2. +. r ' - ) :
.
i r ) '
( b) F2
( d )
F4
-
x r ' * ' r ' z
Fi gura 2-4 Ej ecuci n
de l as funci ones de Bool e con compuertas
Mani
pul aci n al gebr ai ca
:l Jn l i teral es una vari abl e
ti l dada o no ti l dada.
cuando
una funci n
de
Bool es eej ecut ac onc ompuer t as l gi cas , cadal i t er al ol et r adel af unc i n
i l ."";
";
entrada
u
""du
compuerta
y cada trmi no
se- real i za
con una
compuerta.
La mi ni mi
zaci n def ,rme de l i teral es
y el nmero
de tr-
mi nos dar como ," ,rl tuo un ci rcui to
con menos componentes'
No es
si empre
posi bl e *i ";;i ;;;
unl o, si mul tneamente.
Por l o regul ar
se ti e-
nen di sponi bl es
otros.' i t"' i o' '
Por el momento
se l i mi tar
el cri teri o
de
mi ni mi zaci n
a l a -l "i i r". i n
de l i t eral es.
Post eri orment e
se di scut i -
rn otros cri teri os
""' .i -"pi t"l o
5. EI nmero de l i teral es
en una funci n
de Bool e
puede ser mi ni mi zado
por medi o de mani pul aci ones
al gebrai cas'
47
A
http://libreria-universitaria.blogspot.com
I
48 AI - GEBRA DE BOOLE Y COMPUERTAS
LOGI CAS
CAP, 2
Desafortunadamente
' o hay regras
especfi cas
a segui r que garanti cen
una respuesta
fi nal . El ni co mtodo di sponi bl e
es el "p.ocedi mi ento
,,tra_
tar y
acortar"
usando.l os. posturados,
l oi teoremas
bsi cos y
cual esqui er
otros mtodos de mani pul aci n que
se hagan fami l i ai es-
con er uso. Los
si gui entes
ej empl os i l ustran
este
i rocedi mi nto.
EJEMPI
O 2-_t; Si mpl i fi quese
l a si gui ente
funci n de Bool e
al mni mo nmero de l i terl es.
l . x * x' y
:
(x + x,)(x * y)
:
I
.
(x + y)
:
x * y
2. x( x' * y) :
xx' *
r y: 0
' - xy
:
xy
3. x' y' z + x' yz * xy'
:
x,z(y,+ y) +
ry'
:
x,z *
ry,
4. xy * x' z * yz=
xy * x,z * yz(x
I x,)
:
xy + x'z * xyz * x,yz
:
xy(l * z) + x,z(l + y)
-
xy * x'z
5. (x + y)(x,
+ z)(y + z): (x + y)(x, *
z) por
dual i dad de
l a funci n 4.
Las funci ones
I y
2 son dual es entre s y
usan expresi ones
dual es en Ios
pasos
coi respondi entes.
La funci n
B muestra l a i gual dad
de l as funci ones
Fe y
Fn tratadas anteri ormente.
La cuarta dem"uesti a qu.
un aumento
en el nmero
de l i teal es, al gunas
veces, produce
,rr"
""p=r"ri n
fi nal ms
si mpl e.
La funci n b no se hi tti mi za
i i i ""t"-*i "
o".Jo""de deduci rse
de l a dual de l os pasos
usados para
deduci r l a funci n 4.
Compl ement o de una f unci n
El compl emento
de l a funci n F es .t" y se obti ene del i ntercambi o
de ceros
a unos y
un.s a ceros en el val or de F. El compl emento
de una funci n
puede
deri varse al gehrai camente
del teorema
de be Morgan. Este par
de
teoremas
estn l i stados
en l a Tabl a 2-1 para
dos vari abl s. Los teremas
de De-Morgan pueden
extenderse
a tres o ms vari abl es.
La forma de tres
vari abl bs
del pri mer
teorema
de De Morgan se deri va a conti nuaci n.
Los
postul ados
y l os teoremas son aquel l os l i tados
en ta fab z_f .
( A+B+C) ' : ( A+X) '
:
A, X,
=
A'
. ( B
+ C) '
:
A,
.
( 8, C, )
=
A' B' C'
hgase B+ C: X
del teorema 5(a) (De
Morgan)
sust i t yase B+ C: X
del teorema 5(a) (De
Morgan)
del teorema 4(b) (asociativo)
Los teoremas
de De- Morgan para
cualquier nmero
de variables
se pare-
cen al caso de l as dos.vari abi es y pu"d"rr,a.ri *i ."
por
Justi tuci ones
su-
cesi vas si mi l ares al mtodo
usad n l a dri vaci n
ti echa anteri ormente.
Estos teoemas pueden generalizarse
de la siguiente
;;;;",
L
http://libreria-universitaria.blogspot.com
\
s Ec . 2- 5
FOEMAS CANONI CA Y NORMALI ZADA 49
( A+B +C+ D+' ' ' +F) ' : A' B' C' D' - " . F'
( ABCD' ' ' F) '
:
A' + B' + C' + D' +
" '
+F'
La forma
generalizada del teorema de De Morgan expresa
que el comp_le-
mento de una funci n se obti ene i ntercambi ando l os operadores AND
y OR
y compl ementando
cada l i teral .
EJDMPLO
2-2: Encuntrese el complemento
de las funcio-
nes F1
:
x' yz'
+
x' y' z
Y
Fz: x(y' z'
*yz\ '
Apl i cando
el t eorema de
De Morgan tantas veces como sea necesari o se obti enen l os com-
pl ementos de l a si gui ente manera:
Fi
:
(x' yz' * x' y' z)'
:
(x' yz' )' (x' y' r)'
:
(x + y' + z)(x + y + z' )
Fi : l x( y' z' +
yz) ) ' = x' + ( y' t ' + yz) '
:
x' + ( y' z' ) '
' ( yz) '
=
x' + (y + z)(Y' + z' )
Un
procedi mi ento ms senci l l o
para deri var el compl emento de una
funci n es tomando el dual , de una funci n
y compl ementando cada l i te-
ral . Este mtodo se deduce del teorema de De Morgan
general i zado. Se
debe recordar
que el dual de cada funci n se obti ene i ntercambi ando
l os
operadores AND y OR
y los unos
y ceros.
EJEMPL, 2. S. . Encont r ar el compl ement odel af unci nF1
y Fz del Ejemplo 2-2 tomando los d*ales
y complementando
cada
l i teral .
I
Ft : x' Yz' + x' Y' 2.
El dual de F, es
(x'
* Y *
z' )(x'
* Y' I
z).
Compl emeni ando
cada l i t eral :
(
*y' *
z)(x
*y *
z' ): FI '
Fz: x ( Y' z' +Yz) .
El dual deF2 es x
+
( Y'
*
z'
) ( Y *
z) .
Compl emenl ando
cada l i t eral : r'
+
(y

z)(l '
t
z' )
:
F '
2. 5 FORMAS CANONl CA
Y NORMALI ZADA
Tr mi nos m ni mos
y t r mi nos mxi mos
una variable binaria
puede aparecer en su forma normal
() o en Ia forma
de compl emento
(r' ). consi drese ahora dos vari abl es bi nari as f y y com-
binadas con la operacin AND; como cada variable
puede aparecer de cual-
qui er forma, habi cuatro combi naci ones
posi bl est x' y-' ,
!' 1,
xl ' y ry' Cada
no de estos cuatro trminos AND representan una de las diferentes reas
ui i "gt"-a de Venn de l a Fi gura 2-{ y se l l aman trmi nos mni mos
(mi n'
term) e un
producto normalizado. De igual manera, se
_pueden
cambiar
n l,"riubl".
para formar 2" trminos mnimos. Los 2" diferentes trrni-
nos mnimos
pueden determinarse
por un mtodo similar al mostrado en
2.
http://libreria-universitaria.blogspot.com
Tabl a 2- 3 Tr mi nos m ni mos y
mxi mos par a t r es var i abl es bi nar i as
Trmi nos mni mos
x
Y
z
Trmi no Desi gnaci n
Trmi nos mxi mos
Trmi no Desi gnaci n
00
00
0l
0l
l 0
l 0
l l
l l
0
I
0
I
0
I
0
I
x' y' z'
x'yz'
xy' z'
xyz
mo
ml
m2
l7l3
m,
m5
m6
tlt7
x +y +z
x +y +z '
x +y ' +z
x +y ' +z'
x ' +y +z
x ' +y+z '
x ' +y' +z
x ' +y ' +z
Mo
Ml
M2
M3
M4
Ms
M6
M1
l a Tabl a 2-3 para
t res vari abl es.
Los nmeros
bi nari os de 0 a z^
-r
se l i s-
tan baj o l as n vari abl es. cada trmi no mni mo se oi i ene L un termi no
AND
de n vari abl es con cada vari abl e t i l dada, si el bi t correspondi ent e al n_
mero bi nari o es 0 y si no est t i rdada a l . un s mbol o pra
cada t rmi no
mni mo se i l ustra en l a tabl a en l a fbrma de m, donde
j
denota, el equi va_
Iente deci mal del nmero bi nari o der trmi no i .ri .rro correspondi ente.
De manera si mi l ar, l as n vai abl es formando
un trmi no
oR, con
cada
vari abl e
ti l dada o no ti l dada,
darn 2" combi naci ones posi bl es
l l amadas
trmi nos
mxi mos (maxterms)
de l as sumas normal i zados.
Los ocho tr_
mi nos mxi mos de l as tres_vari abl es,
conj untamente
con l a si mbol oga
asi gnada,
se l i stan en ra Tabl a
2-3. cul esqui e.
i "
-i .-i rros
para
n
vari abl es pueden
determi narse
de manera si mi l ar.
cada trmi no mxi mo
se obti ene de un trmi no
oR de n vari abres
"o., "uu
,r"i i al e
no ti rdada
si
.el .
correspondi ente
bi t es 0 y ti l dada
.i ;; i - Ii ".
;;"
cada trmi no
mxi mo
es el compl emento
de su corespondi ente
trmi n
mni mo y
vi ce-
versa.
una funci n de
-Bool e
puede
ser expresada
argebrai camente
a parti r
de una tabl a de verdad
dada, confoi -u"ao
un t.i i i .ro
mr,i mo por
cada
combi naci n
de l as vari abl es qu. pro.r"en
un 1 en l a funci n para
l uego
obtener
l a oR de todos ros trmi nb..
-Fo.
ej empl o,
l , rrrrr"l r,
en l a Tabl a
2-4 se determi na
expresando
l as combi naci ones
00r", 100, l rJ. como x,y,z,
xy' z' , y r y- z respect i vament e.
como cada uno "
". t *?rrni nos
m ni mos
resul t a
en
/ ,
:
1, se t i ene:
f t :
x' y' z * xy' z' *
r yz
:
m, * mo* m,
De manera si mi l ar, se puede
fci l mente
veri fi car que:
. f z:
x' yz * xy' z *
r y2, *
xyz: mr * m, i mui m,
' Algunos
textos definen un trmino mximo (maxterms)
como un trmino oR de n va-
riables con cada variable no tildada si el bit es I y tildada si es 0. La definicin adoptada
en
este libroes preferible ya que lleva a convesiones
ms nomal". u.ri." iu.
-run"lon.,
tipo tr-
mi no mxi mo y t rmi no mi ni mo.
50
L
http://libreria-universitaria.blogspot.com
Tabl a 2-4 Funci ones de tres vari abl es
x y z Funci n
ft
Funci n
/2
Estos ej empl os demuestran una
propi edad i mportante del l gebra de
Bool e. uai qui e. funci n de Bool e
puede ser expresada como una suma
de trmi nos mni mos
(por "suma" se qui ere deci r l a suma oR de l os tr-
mi nos).
Cnsi drese ahora el compl emento de una funci n de Bool e. Este pue-
de Ieerse de una tabl a de uei dad formando un trmi no mni mo
por cada
combi naci n
que produce un cero y l uego haci endo l a funci n OR de esos
trmi nos. El compl emento de
/r
se l ee as:
.f:
*' Y' z' I x' Yz' * x' Yz * xY' z
' l
ryz'
Si se obti ene el compl emento
de
/i
se obti ene l a funci n
/t:
f t :
(x * y * z)(x + y' + z)(x + y' + z' )(x' * y * z' )(x' 1- y' * z)
:
Mo' Mr ' Mt ' Ms ' Mu
De i gual manera, es
posi bl e l eer Ia expresi n
/2
de l a tabl a:
f z: G
* y * z) ( x + y + z' ) ( x *
Y'
* z) \ x' +
Y
+ z)
:
MoMlM2Ma
Estos ej empl os demuestran una segunda
propi edad i mportante del l ge-
bra de Bool e: cual qui er funci n de Bool e
puede expresarse como un pro-
ducto de trmi nqs mxi mos
(por "producto" se i mpl i ca el producto AND de
l os trmi nos). El procedi mi ento para obtener el producto de trmi nos
mxi mos di rectamente de una tabl a de verdad se l ogra de l a si gui ente
manera: frmese un trmi no mxi mo
para cada combi naci n de vari abl es
que produzcan un 0 en l a funci n
y l uego forme l a funci n AND de todos l os
trmi nos mxi mos. A l as funci ones de Bool e expresadas como una suma
de trmi nos mni mos o producto de trmi nos mxi mos se l es di ce
que
estn en
forma
canni ca.
Suma de t r mi nos
mi ni mos
Se haba di cho antes
que para n vari abl es bi nari as, se pueden- obtener
2' trmi nos mni mos di ferentes
y que cual qui er funci n de Bool e puede
5l
0 0 0'
00 t
010.
0l I
100
101
I l 0
l l l
0
0
0
I
0
i
I
I
I
0
I
0
0
I
0
0
I
http://libreria-universitaria.blogspot.com
52 ALGEBRA DE BOOLE Y COMPUERTAS
LOGI CAS
CAP, 2
expresarse
como una suma de trmi nos
mni mos. Los trmi nos mni mos
cuya suma defi ne l a funci n de Bool e son aquel l os que
dan el 1 de l a fun-
ci n en una tabl a de verdad. como l a funci n prru"
ser 1 0 para
cada
trm^i no-mni mo y
-ya
que hay 2" trmi nos mni mos, se pueden
carcul ar
l as funci ones posi bl es que puden
formarse
con n vari abrs
""-o
i t. r_
gunas
veces es conveni ente expresar l a funci n de Bool e en Ia forma d.e
suma de trmi nos mni mos.
si no est en esta forma, se puede
Il egar a
el l a expandi endo pri mero.l a
expresi n a una suma de trmi nos AND. Luego
se i nspecci ona
cada trmi no pra
uer si conti ene t"d". i ; vari abl es. Si l e
hace fal ta una o ms vari abre, se apl i ca l a funci n
t;;" una expresi n
tal como x
I
x' , donde r sea una de l as vari abl es fartantes. El si gui ente
ej empl o acl ara este procedi mi ento.
EJEMPLO
.2-4:
Expresa la funcin de Boole F
:
A
+
B, C
como suma de trmi nos mni mos. La funci n ti ene tres vari abl es:
A, B y
c. como el pri mer
trmi no A no ti ene l as otras dos vai a-
bl es por
tanto:
A
:
A( B + B' ) : AB + AB,
Como l a expresi n carece de una vari abl e:
A: AB( C+C, ) +AB, ( C+C, )
=
ABC + ABC' + AB' C + AB, C,
El segundo trmi no B' c carece tambi n de una vari abl e:
B' C
:
B' C( A + A' ) : AB, C + A' B, C
Combi nando
todos l os trmi nos se obtendr:
F: A + B, C
:
ABC + ABC' + AB' C + AB' C' + AB' C + A' B' C
Pero como AB' c aparece
dos veces, y
de acuerdo al teorema 1
(*:
), es posi bl e qui t ar
uno de l l os. Rearregl ando l os t r-
mi nos en orden ascendente
se obtendr fi nal mentei
F: A, B, C + AB, C, + AB, C + ABC, + ABC
mt + m4+ ms + mu* m,
Es conveni ente al gunas veces, expresar l a funci n de Bool e cuando
est compuesta de una suma de trmi nos mni mos por
medi o de ra si gui en-
te forma si mpl i fi cada:
F( A, B, C)
:
) ( 1, 4, 5, 6, 7)
El smbol o de sumatori a
I
i mpl i ca l os trmi nos a l os cual es se l es
l pl i ca l a funci n
OR. Los trm-i i os
entre parntesi s
son l os trmi nos mni -
t
http://libreria-universitaria.blogspot.com
sEc. 2- 5
FORMAS CANONI CA Y NORMALI ZADA 53
mos de l a funci n. Las l etras entre
parntesi s a conti nuaci n de l a F for-
man l a l i sta de l as vari abl es en el orden tomado cuando el trmi no mni mo
se convi erte en un trmi no AND.
Pr oduct o de t r mi nos mx mos
Cada una de l as 22' funci ones de n vari abl es bi nari as
pueden expresarse
como un producto de trmi nos mxi mos. Para expresar l as funci ones de
Bool e comb un producto de trmi nos mxi mos se debe
pri mero l l evar a una
forma de trminos OR. Esto
puede lograrse usando la ley distributiva
*
yz-- (x*y)(
*z)
y si hay una vari abl e r f al t ant e en cada t rmi no OR se
l e apl i carr l a funci n OR conj untamente con ff' . Este procedi mi ento se
clarifica
por medio del siguiente ejemplo:
EJEMPLO 2-5: Expresar l a funci n de Bool e F:xy*x' z
como un
producto en l a forma de trmi nos mxi mos. Pri mero con-
virtase la funcin a trminos
oR usando la ley distributiva:
F: xl I x' z
:
(xy + x' )(xy + z)
:
(x * x' )(y + x' )(x + z)(y + z)
-
(x' t yXx + z)(Y + z)
La funci n ti ene tres vari abl es: x, y y z. A cada trmi no oR l e hace
falta una variable,
Por
tanto:
x' + y
:
x' + y * zz'
:
(x' * y * z)(x' I
Y
* z' )
x + z
:
x * z * yy'
:
(x I y
-l
z)(i + y' + z)
y + z
:
y + z * xx'
:
(x 4
Y
+ z)(x' +
Y
+ z)
Combi nando todos l os trmi nos
y qui tando aquel l os
que aparez-
can ms de una vez se obtendr fi nal mente:
F
: (x * y * z)(x + y' + zl (x'
-r
y * zl (x' * y + z' \
:
MoMzMqMs
una forma conveni ente
de expresar esta funci n es de l a si gui en-
te manera:
F( x , y, z ) : f I ( 0, 2, 4, 5)
El smbolo de producto II denota la aplicacin de la funcin AND a los
trmi nos mxi mos. Los nmeros teptesetttan l os trmi nos mxi mos de l a
funci n.
Conversi n ent re l as f ormas canni cas
El compl emento de una funci n expresada como l a suma de trmi nos m-
nimos es igual a la suma de los trminos mnimos faltantes de la funcin
orllinat. E"sto ltimo es debido a
que la funcin original es expresada
por
http://libreria-universitaria.blogspot.com
t
I
I
I
t
I
I
I
i
i
ALGEBRA DE EOOLE Y COMPUERTAS
LOGI CAS
CAP. 2
aquel l os
trmi nos
mni mos que
hacen l a funci n i gual a r mi entras que
un compl emento
es ul 1 para
aquel l os
trmi nos
mni mos en que
Ia funci n
es un 0. Como ej empl o consi drse
l a funci n:
F( A, B, C)
:
Xl ,
4, 5, 6, 7)
Esta funci n ti ene un compl ernento que puede
expresarse
as:
F' (A, B, C)
:
)(0, 2, 3)
:
mn * m, * m,
Ahora si se obti ene
el compl emento
de F' por
el teorema de De Morgan
obtendremos
una F de manra di ferente:
F
:
(mo I m, * mt )'
:
m[ . mL. m\ : MoMzM3:
f I (0, 2, 3)
La l ti ma defi ni ci n
se dei va de l a defi ni ci n
de l os trmi nos
mni mos
y
trmi nos
mxi mos que
fi g' ran en l a Tabra 2-3. De i " t"tu,
".
.;; qr;
es vl i da l a si gui ente
rel aci n:
^ j : M
Esto es, el trmi no
mxi mo con suscri to j
es un compl emento
de un tr-
mi no mni mo con el mi smo suscri to j
y vi eversa.
,
El l ti mo ej empl o demuestra
Ia onversi n
ente una funci n expre-
sada como una suma de trmi nos
mni mos a su equi val ente
como produc-
to de trmi nos mxi mos.
con- un. arg' umento
si mi l ar se mostrar que
l a
conversi n
entre el producto
de trmi nos
mxi mos y **" de l os tr_
mi nos mni mos es si mi l ar.
Se establ ece
ahora
""
pro""i mi ento
de con-
versi n general .
Para hacer l a conversi n
de
""u
ri r-" l anni ca a otra,
i ntercmbi ese
l os smboros
I
v
II y l stese
"qu"i i .-ntmeros
que
fal _
tan en l a forma ori gi nal .
Comotro
ej empl o, l a funci n:
F( * , y, 2) :
I I ( 0, 2, 4, 5)
se. expresa como producto
de l a forma de trmi nos
mxi mos.
su conver_
si n a l a suma de trmi nos mni mos
ser:
F( r , y , z ) :
) ( 1, 3, 6, 7)
Ntese que para poder
encontrar
l os trmi nos fal tantes,
se debe tener en
cuenta que el nmero
total de trmi nos
mni mos y
tr;;i ;o.
mxi mos es
2n en donde n es el nmero vari abl e
bi nari o en l a
-funci n.
For mas nor mal i zadas
Las dos formas del l gebra
de Bool e son formas bsi cas que
se obti enen
al l eer l a funci n de l a tabl a de verdad.
n.tu. i o.-u. ,,i uj ,uru-ente
son
l as que
ti enen el menor nmero
de l i teral es
d"t;-"-i ;
cada trmi no
mni mo
o trmi no
mxi mo, debe contener por
defi ni ci nl
Ldos l as vari a-
bl es compl ementadas
o no.
otra forma de expresar ras funciones
de Boole es la forma normariza-
do. En esta confi gurai i n,
l os trmi nos que forman l a funci n
deben con_
t
http://libreria-universitaria.blogspot.com
I
OTRAS OPERACI ONES
LOGI CAS
55
sEC. 2- 6
tener uno, dos o cualquier
nmero de literales'
Hay dos tipos de formas
,roi tnufi r"as:
l a suma de
productos
y el producto de sumas'
La suma de
prod,ucto's es una expresin
de Boole
que contiene
trmi-
nos AND l l amados
tri "ot
producto.de uno o ms l i teral es cada uno' La
sun-a denota
l a apti "ci "
"' ru funci n oR de estos trmi nos. un ej empl o
. tr.tu funcin
eipresada
en suma de
productos es:
Ft :
! '
* xy * x' Yz'
Esta expresin
tiene tres trminos
producto de uno' dos
y tres literales
;J;
"^;,
respectivamente'
Su suma es en efecto una operacin
oR'
r Jnpr oduct od. esumasesunaexpr esi ndeBool equecont i enet r mi -
nos OR, llamados
tir'it
i"io. Cada trmino
puede tener cualquier
n-
mero de literales.
,t
;;;;;;;
enota
la aplicaci1
de 11 funcin AND a
".to*
ttt-inos.
Un
"j.-pto
de una expresin
en
producto de sumas es:
Fz: x( Y' + z ) ( x ' *
Y
* z ' * w)
La expresi n
ti ene tres trmi nos
suma de uno, dos
y cuatro l i teral es cada
uno. El producto
"r' " "pl taci n-AND.
El uso de l as
pal abras
producto
y
sutna se establece d;id" ; la simit,ud
de la operacin
AND
y el
p-roducto
iitt]""
(multipl'icin)
y la similitud
de l operacin
OR con la suma
ari t mt i ca
(adi ci n).
Unaf unci ndeBool epuedeSer expr es adaenunaf or manonor mal i -
zada. Por ej emPl o
l a funci n:
F3:
( AB + c D) ( , q' n'
+ c ' D' )
no es ni surna de productos ni producto de sumas' Puede cambiarse
a una
forma normal i zadr' ;;;;
l a l ey di stri buti va
para qui tar el
parentesi s:
Ft : A' B' CD
+ ABC' D'
2- 6 OTRAS OPERACI ONES
LOGI CAS
cuando los operadores
binarios AND
y oR se colocan entre las dos variables
t y y, el l as i orman l as f unci ones de
Pool e
x' y
y
! +y' respect i vament e'
.' "".i ubt..i
previ arnente
que hay 22' funci ones de n vari abl es
bi nari as'
para
dos vari abl es,' i -Z
"l '
nmei o de funci ones de Bool e
posi bl es e-s^16'
p.ri""t"
las funciones
AND
y OR son solamente dos del total de las 16 fun-
;ilr";;
posibles for-udu.
"o.,
do, variables
primarias. Sera muy instruc-
ti vo encontrar
l as otras 14 funci ones e i nvesti gar sus,propi edades'
Las tablas d"
";;;d
;;
i;t- i6 f,r.t"iott"s"formads
on dos variables
bi nari as
x y
!,."
ri .f""
""
l a Tabl a 2-5. En esta tabl a, cada una de l as 16
col umnas Fo a F,r-i "prr.."tan
una tabl a de verdad de una funci n
po-
si bl e
para l as dos u"rb1""
dadas x
y y' Ntese.que
l as funci ones
se de-
termi nan a
parti r d; l ;. 16 combi nai ott".
bi nari as,
que pueden ser asi g-
nadas a F. Al gunas de l as funci ones se muestran con un smbol o operador'
pi
ej"-plo, F, .upr*enta
la tabla de verdad
para una AND
y Ft represen-
http://libreria-universitaria.blogspot.com
I
ta la tabla
de verdad para
la oR. Los smbolos
operadores
para
estas fun_
ci ones
son (. )
y (*)
i espect i va-". ri *
Las 16 funci ones
l i si adas
"*u
Luu.de
verdad pueden
ser expresa-
das al gebrai camente.por
medi o
de expresi o.ru.
a"-
go;l J]' n.to
se puede
ver en l a pri mera
col umna
ae l a rabi
2-6. Las expresi ones
de Bool e l i s_
tadas
estn
simplificada.
"t
-i"iJ".r?,...o
de riteares.
Aunque
cada
funcin puede
.",
"rp""."da
en trminou
de ros operado-
res de Boole
AND,
oR
v
ot,
";-it;;"n
para
no poder
asignar
smboros
operadores
especiales
para
expresa
las otras
funciones.
Tales smbolos
operadores
se listan
"rt
t" ."guiru
"ol-rru
" r" i"l"l_0.
si., embargo,
Tabla
2-5 Tablas
de verdad para
las 16 funciones
de dos variables
binarias
Tabla 2-G Expresiones
de Boole para
16 funciones
de dos variables
Y
v Fo Ft F2 F3 F4 F5 F6 F7
0
I
I
I
+
Fs Fs Fto F,, F,z F, Ft. F,,
00
0l
l 0
l l
Smbol o
operador
0
0
0
0
0
0
0
I
0
0
I
0
0
0
I
I
0
t
0
0
0
I
0
I
0
I
I
0
o
I
0
0
0

I
0
0
I
I
0
I
0
I
0
I
I
c
I
I
0
0
I
I
0
I
f
I
I
I
0
I
I
I
I
I
Funciones
de Boole
Smbolo
operador
Nombre
Comentarios
Fo: 0
Ft =x !
Fz
=
xy'
Ft :
*
F
=
x'Y
Fs :
/
F6= x y ' +
x ' y
F1
: x I y
Fr : ( x + y) '
Fg=
xy * x' y'
Fr c:
/ '
Ft t =x 1y ,
F,,
:
,,
Fn: x ' * y
Ft q: ( r y ) '
4s =l
x . y
x/v
y/ ,
x @y
x +y
xI v
xoy
v'
x
Cl
x'
x ) l
xlv
Nul o
AND
Inhibicin
Trasferencia
Inhi bi ci n
Trasferencia
OR-exclusiva
OR
NOR
Equivalencia*
Complemento
Implicacin
Complemento
Implicacin
NAND
Identidad
Constante
bi nari a
0
r y y
r pero
noy
x
y pefo
no
v
r y pero
no ambas
x y
No-OR
r i gual
ay
Noy
Si y entonces.r
No
Si r entoncesy
No-AND
Constante
bi nari a
1
*Equi ual enci aesconoci dat ambi ncomoi gual dad, .
56
http://libreria-universitaria.blogspot.com
sEc. 2-6
OTRAS OPERACI ONES LOGI CAS 57
todos los smbolos nuevosi mostrados,
con excepcin d9J smbolo de la oR-
excl usi va O,
no.ott . uso comn
por parte de l os.di seadores
di gi tal es'
Cada una de l as funci ones en l a Tabl a 2-6 se l i sta con su correspon-
diente nombre
V
.ot"""l"tio
que explica su funcin de forma simple. Las
io n
""io""s
listadas
pueden subdividirse
en tres categoias:
1. Dos funci ones
que producen una constante 0 1'
2. Cuatro funci ones con operaci ones
unari as de compl emento
y tras-
ferencia.
3. Diez funciones con operadores binarios
que definen ocho operacio-
nes di ferentes
AND, R, NINO, NOR, OR-excl usi va,
equi val enci a,
i nhi bi ci n e i mPl i caci n.
cual qui er
funci n
puede ser i gual a una constante,
pero una funci n
bi nari a
pede ser i gual sol amente
a-1 0. La funci n compl emento
pro-
"."
"f
' compl emeni o
de cada una de l as vari abl es. A Ia funci n
que es
rg";l ; l vriable de entrada se le ha dado el nombre de trasferencia
y.a
d""
t" variable x y es trasferida_ a travs de compuertas
que forman- la
fl nci n si n cambi ar su val or. De l os ocho operadores bi nari os, dos
(i nhi -
tri ci n e i mpl i caci n) son usados
por l os l ogi stas, perofnuyfara vez se usan
en lgica dL computadores.
Los peradores AND y OR se-han mencionado
conjuirtamente con el lgebra de Boole. Las otras cuatro funciones
se usan
mucho en el diseo de sistemas digitales.
La funcin NOR es el complemento de la funcin oR
y su nornbre es
una contracci n de not-OR. De manera si mi l ar, NAND es el compl emento
de AND
y es una contracci n
de no-AND. La OR-excl usi va,
abrevi ado
XOR EbR es si mi l ar al OR pero excl uye l a combi naci n
de ambos x y y
igo"l u 1. La equivalencia
es una funcin
que es l,cuando las dos variables
.;;i g""I.., es' deci r, cuando ambas son cero o ambas son 1. La OR-excl u-
;i;;; la "ncin de equivalencia
son complelrentarias
entre s. Esto
puede
ser v-erificado fcilmente al inspeccionar ia Tabla 2-5. La tabla de verdad
p.u tu OR-exclusiva
es Fo y paf la equivalencia
-es
Fn y estas dos fun-
iio.r", se complementan
"ti.
"i.
Por est razn la funcin de equivalencia
se l l ama a menudo NoR-excl usi va,
es deci r oR-excl usi va
NOT.
--
i rg"bra de Bool e tal como se ha defi ni do en l a Secci n 2-2, ti ene dos
operadore-s binarios
que nosotros hemos llamado AND
y OR
y el operador
unario NOT
(complemento). De las definiciones, se ha deducido un nmero
de propiedades d estos operadores
y se han definido ahora otros opra-
dores binarios en trminos de los primeros. No hay nada especi"l
-u:T.."
d.t
este procedimiento. se hubiera
podido comenzar con el operador NOK
( i
)'
por ejemplo,
para posteriormentl definir AND, OR
y NOT en trminos del
iti-to.'N
ob.t"trt", estas son buenas razones
para introducir el lgebra
de BOOIe de la fOrma
que se ha hecho. LOs Conceptos
"a.nd", "or"
y "lo-t"
son familiares
y la genie los usa da a da
para expres_ar
ideas lgicalr 49"-
;, lo. postuiadosie Huntington
reflejan la naturaleza doble del lgebra
haciendo-nfasis
en la simetra de
* Y
'
entre s'
http://libreria-universitaria.blogspot.com
2- 7 COMPUERTAS LOGI CAS DI GI TALES
como l as funci ones de Bool e se expresan en trmi nos de operaci ones AND,
oR y Nor, es ms fci l l l evar a cabo una funci n de Bool e con esre ti p
de compuertas. La posi bi l i dad
de construi r compuertas para l as otras ope-
raci ones l gi cas es de i nters prcti co.
Los factores que
van a ser val ori -
zados cuando se consi dera l a construcci n de otros i i pos de compuertas
Igi cas son (1)
l a facti bi l i dad y economa de produci r
l a compuerra con
compuertas fsi cas, (2)
l a posi bi l i dad
de expandi r Ia compuerta a ms de
dos entradas, (3)
l as propi edades
bsi cas del operador bi nari o tal es como
conmutati vi dad y asoci ati vi dad y (a)
l a habi l i dad de l a compuerra para
Il evar a cabo l as funci ones de Bool e por
s sol as o conj untamente con otras.
De l as 16 funci ones defi ni das en l a Tabra 2-6, dos son i gual es a una
constante y l as otras cuatro se repi ten dos veces.
euedan
sol amente di ez
funci ones para ser consi deradas como candi datas pu.u
"o.rrp.rertas
l gi -
cas. Dos de el l as, l a i nhi bi ci n e i mpl i caci n
no son conmut at i vas o a*-
ci ati vas y por tanto i mprcti cas de usar como compuertas l gi cas norma-
l i zadas; Las otas ocho: compl emento,
trasferenci a, AD, OR, AND, NOR,
oR-excl usi va y- equi val enci a
se usan como compuertas normal i zadr p"r
el di seo di gi tal .
Los smbol os grfi cos y l as tabl as de verdad de l as ocho compuertas
se muestran en l a Fi gura 2-5. Cada compuerta ti ene una o dos entradas
vari abl es desi gnadas como r y y y una vari abl e de sal i da bi nari a desi g-
nada como F. Los ci rcui tos AND, oR e i nversor fueron defi ni dos en l a Fi g-
ra 1-6. El ci rcui to i nversor i nvi erte el senti do l gi co de una vari abl e bi nai i a
y produce
l a funci n NoT o compl emento. El crcul o pequeo a l a sal i da del
smbol o grfi co de un i nversor i mpl i ca un compl emunto tagi "o. El smbol o
tri ngul o desi gna para
s sol o un ci rcui to seprador (buffr).
un ci rcui to
separador produce
l a funci n de trasferenoa pero no produce ni nguna
operaci n l gi ca parti cul ar ya que el val or bi nari o de l a sal i da es i gul al
val or bi nari o de l a entrada. Este ci rcui to se usa sol amente para ampl i fi -
caci n Ce seal de potenci a y
es equi val ente a dos i nversores conectatl os
en cascada.
La funci n NAND es el compl emento
de l a funci n AND tal como se i n-
dica por
el smbolo grfico que
cons.iste en un smbolo grfico
AND seguido
de un pequeo
crcul o. La funci n NoR es el compl em"i to d" l a funci i oR
y ylq un smbolo grfico
oR seguido de un pequeo
crculo. Las compuertas
NAND y
NoR se usan mucho como compueri as l gi cas normal i zadas y
de
hecho son ms populares
gy9_!as comp.,eria. AND
toR.
Ello se debe a que
l as compuertas NAND y NoR pueden
construi rse fci l mente con transi sto-
res y adems porque las funciones de Boole pueden
llevarse a cabo fcilmen-
t e con el l as.
La compuerta oR-excl usi va ti ene un smbol o grfi co
si mi l ar al de l a
compuerta oR excepto por
una lnea curva adicional del lado de la entrada.
La equi val enci a o compuerta NoR-excl usi va
es el compl emento de l a oR-
excl usi va de l a manera como i ndi ca un pequeo
crcul o el l ado de l a sal i da
del smbolo grfico.
58
http://libreria-universitaria.blogspot.com
Nombre
Smbol o
grfico
Funci n
al gebrai ca
Tabla de
verdad
AND
x-----
| ) - F
F : x . /
v
-------l-/
00
0l
l 0
l l
0
0
0
I
i --1-\
' F: x*v
0
'|
0
I
.Inversor
"
->- F
' l F
0l t
l l 0
Separador
'
--)-.
OR
F: x ' ,
F: x
x-----.
I F_- F
F: ( xy) '
)'-----l-/

=-.
I >- - F
F: ( x+y) '
,
-----1-/
00
0l
l 0
l l
I
0
0
0
oR-exclusiv
x
--\1]-
F
F:
ry'
I x'/
( xoR)
v- +l - /
: x@Y
0
I
I
0
r i
00
0l
l 0
l l
NoR-exclusiva
x
Jf\_.
F
:
ry
+ x' y'
o y---l LJ-
'
: xoy
equivalencia
NAND
NOR
Figura 2-5 Compuertas
lgicas digitales
59
http://libreria-universitaria.blogspot.com
60 ALGEBRA OE BOOLE
Y COMPUERTAS
LOGI CAS
CAP. 2
Expansi n
a ent r adas
ml t i pl es
Las compuertas
mostradas. en l a Fi gura
2-b a excepci n del i nversor y
el
sepa-rador pueden
expandirse
"
ms de dos entradas.
-una
"o-pur
puede
expandi rse a ml ti pl es entradas si l a operaci n
-bi nari a
que
repre_
senta es conmutati va y asoci ati va.
Las operaci ones
AND y
oR dehni das
en
el l gebra de Bool e ti enen estas dos prpi edades. paa
i a funci n
oR se
ti ene:
y
* * y: y +x
c onmut at i v o
( x + y) * z: , + ( y * z) : x * y * z asoci at i vo
l o cual .i ndi _cg que l as compuertas
de entrada pueden
i ntercambi arse y que
l a funci n
OR puede
extenderse
a tres o ms vari abl es.
Las funci ones
NAND y NoR son conmutati vas y sus compuertas pue-
den expandirse para
ms de dos entradas si se tiene en cuenta que
la ope-
racin se modifica un poco.
La dificultad
es que
i".-"pr"a"r""
Ni
v
NOR no son asoci ati vos,
es deci r, (r
t
g
J l )-* l l ;i -;;, como se ve a
conti nuaci n:
( xl y) I t : f
( " + y) ,
+ , f , : ( x r y) 2, :
xz, + yz,
xl } ! z) : 1"
+ ( y + , ) , 1, =
x , ( t * z ) : x, y r x , z
Para vencer esta di fi cul tad,
se defi ne u.na compuerta
NoR ml ti pl e (
NAND)
como una oR compl ementada (
AND). e"i , poi
J"ri rri "i o' se
ti ene:
xl y l , z: ( xt y* z) '
xl yl z
:
(ry2)'
Los..smbolos grficos.
de las compuertas
de tres entradas se muestran
en
l a Fi gura 2-7. Al esci bi r operaci ones
con NoRv No
""l arcada
se debe
tener en cuenta el corecto rso del parntesis
pu." i-piitrr
la secuencia
adecuada
de las compuertas.
para
demostrar
lo anterior considrese
el ci-
l . r
l y ) I r
: ( x
* , r , )
z ,
Fi gura 2-6 Demostraci n
de l a no asoci ati vi dad
del operador NO_O;
(xtry)l ,z
+ x(y!z)
L
http://libreria-universitaria.blogspot.com
I
' ____.
I
___-
)o_
(. r r r, *: )
z
-----l-./
x--
I --{ p- (.r.r' z)'
z
---L_./
(a) Compuerta NOR de tres entradas
(b) Compuerta
NAND de tres entradas
F
=
| (ABC)'
'
(DE)' l '
--
ABC + DE
A
B
C
(c) Compuertas
NAND en cascada
Fi gura 2-7 Compuertas
NOR en cascada
y de mul ti -entrada
y compuertas
NAND
cui to de l a Fi gura 2-7(c). La funci n de Bool e
para el ci rcui to
debe escri bi r-
SE AS :
F
: I ( ABC) ' ( DE) ' f '
:
ABC + DE
La segunda expresi n
se obti ene del teorema de De Morgan' Esta mues-
tru
q.,1 se puede ,"ilii^, una expresin en suma de
productos
por medio
de co*prrertas
NAND. Posteriormente
se tratar sobre las compuertas
NAND
v
NOn en l as Secci ones
3-6, 4-7
y 4-8'
Las compuertas
QR-excl usi va
y de equi val enci a-
son ambas conmuta-
tivas
y asociativ".
y' prr-aen extnderse
a ms de dos entradas' Sin
"-uro
las compuett"i
OR-"*clusiva
de multientrada
no son comunes
desde el
punt' O"
"i .
de-i or ci i cui tos.
En efecto,
aun una funci n de
dos entrad". ,"
"orr"i.rry"
.r..rut*ente
con otro tipo de compuertas'
As'
l a defi ni ci .,
a"
""i u.
-unci ones
debe modi fi carse
cuando
se expande
a
ms de dos vari abl es. La funci n oR-excl usi va
es i mpar, es deci r,
es i gual
a 1 si l as vari abl er-d"
"1."a ti enen un nmero i mpar de unos' La fun-
"i n-"
"qui val enci a
en una funci n
por' es deci r' es
-i gual
a 1 si l as va-
ri abl es de entrada ti enen un nmero
par de ceros' La construcci n
de
F = Y o y @z
(a) Usando compuertas de dos entrad
"
--t{-\.
.t
____#
>-
t'
=
Y +.1' + :
z
---H-/
(b) Una compueta de tres entradas
(c) Tabla de verdad
Figura 2-8 Compuerta OR-exclusiva
de tres entadas
6l
0
I
I
0
I
0
0
I
0
0
0
0
0 0
0 l
l 0
t l
0 0
0 l
l 0
l l
http://libreria-universitaria.blogspot.com
una funci n
oR-excl usi va
de tes entradas
se muestra
en l a Fi gura
2_g.
Esto l ti mo
se real i za
normal m".,Ja-' "orr""tando
en cascada
compuertas
de dos entradas
como se muestra
en (a).
Crn.urn".rt.,
se puede
repre_
sentar
con una sol a compuerta
de tres entradas
como se i rstra
";
Gi
.
La tabl a de verdad
en (cj
"ruru,' uni "
i ndi ca q".
,"i i
F es i gual a 1
si sol amente
una entracra
es i gual
a 1 o si todas l as entrdas
son i gual a
1, es deci r, cuando el nmero
total de unos de l as vari abl es
de entrada
es
i mpar'
una ul teri or
di scusi n
.ob.e
el on-"*r*i ;;-i ;' "qri val enci a
se
vern en l a Secci n 4-9.
2- 8 FAMI LI AS
DE CI RCUI TOS
I NTEGRADOS
LOGI CO
DI GI TALES
El ci rcui to i ntegrado
se i ntroduj o
en l a Secci n
1-g, donde se di j o que
l os
ci rcui t os
di gi t al es
se const ru n
i nvari abl em"r, t "' *
"i . cui t os
i nt egra_
dos. Despus
de haber
tratado
vari as
compuertas
l gi cas
di gi tal es
en l a
secci n.
anteri or,
se est en posi ci n
de presentar
l as compuertas
de ci r_
cui tos i ntegrados y
de_di scuti ,
..r. propi edades
g"""*1"..-'
.
Las compuertas
di gi tares
de .i r",ri to.
i "t"l .uo.-
r" cl asi fi can
no
sol amente por
su opu.aci n
l gi ca,
si no por
ra fani i ri a
e
"l r"rri to,
l gi cos,
especfi cos
a l a cual pertenecn.
cada fami l i a
ti ene un ci rcui to el ectr_
ni co
bsi co propi o,
mdi ante
el cual se desarrol l an
ru.rci o.res y
ci rcui tos
di gi tal es-
ms compl el os,
El ci rcui to
beri .o en cada fami ri a
es o una com-
puerta
NAND una NoR. Las compuertas
el ect.ri "u.
,r.d"s en l a cons-
trucci n
de ci rcui tos
bsi cos-se
usan para
determi nar
el nombre
de l a fami -
l i a l gi ca.
Hav muchas fami l i as
i ;;d"
ci rcui tos i ntegrados
di gi tal es que
han si do i ntroduci dos
comerci al mnte.
Aquel ras que
han al canzado
buena
popul ari dad
se l i stan
a conti nuaci n.
ALGEBRA
DE EOOLE Y COMPUERTAS
LOGI CAS
CAP. 2
(emi tter-coupl ed
l ogi c)
(met al -oxi de
semi con-
TTL
Lgi ca
de transi stores (transi stor-transi stor
l ogi c)
ECL
Lgi ca
de acopl ami ento
de emi sor
MOS
Semi conduct or
de xi do
de met al
ductor)
cMos
semi conduct or
de xi do
de met al
compl ement ari o (com-
pl ementary
metal _oxi de
semi conductor)
Lgi ca
de i nyecci n
i ntegrada (i ntegrated_i nj ecti on
l ogi c)
I : L
La TTL ti ene una l i sta
extensa
de funci ones
di gi tal es y
es comn-
mente l a fami l i a l gi ca
ms popul ar.
La ECL
.; ;.;-"";-si stemas
que
re_
qureren
operaci ones
de al t a vel oci dad.
Lo. Ms; l ; i
"r"
ur"r, en ci r_
cui tos que
requi eren
al ta densi dad
de componentes
y
l a CMOS
se usa
para_s-l stemas
que
requi eren
baj o consumo
de poder-
"
El anl i si s
de ros ci rcui tos
ere"t.r,i cos
Ji cos-
en cada fami l i a
rgi ca
se representa
en el Captul o
13. El l ector que
est fami l i ari zado
con el ec_
trni ca
bsi ca puede
rferi rse.at
capi i ut"
i t
";;;;;;;;,
con er fi n de
fami l i ari zarru
con estos
ci rcui to.
"i i ci .ni cos.
Aqu se l i mi tar
l a di scu_
http://libreria-universitaria.blogspot.com
FAMI LI AS
DE CI RCUI TOS
I NTEGRADOS
LOGI CO
DI GI TALES
63
l
sEC.
2- 8
si nal aspr opi edadesgener al es' del asdi f er ent escompuer t asencr r cul .
;;:' i"t"
g;"df s disponibles
comercialmente'
Debido
u ru urtu'J"ti;e
con
l1
q. puedatt
:"t -f1b-tl:udos
los tran-
sistores
con MOS
; I;il;t;.
dg: fuyilis
se usan
principalmente^-nllf
f unci ones
LSL Las ; ; ; ' t ;
i ; mi l i as
TTL,
BCL
v
9y9S
se usan
en l as
compuertas
LSI
y
";' ;;
;;;' ;o
d. .o.npnertas
MSI
v.
SSI. Las com-
puertas SSI
son uq""ff"t' q"e
conti enen
un
nmero
pequeo
de compuer-
tas o flip_flops
(preJe-nl"u.
.r, Ia Seccin
6-2) en una
pastilla de circuito
i ntegrado.
El l mi te' J*--.ro
de_ci rcui tos
en un componente
sSI es el
nmero
de
pati l l as de l a
pasti l l a'
Una
n"tti fl "
q"
t1
tl l t' l l "s'
por ej empl o'
puede al oj ar
sol amente
cuatro
tornptt"tt"t
de dos entradas
cada
una'
ya
que cada compu"tti
;;t;ti t;
3
pati i l a^s externas:
dos
para entradas v
una
para l a sal i da,.p";
;;;;
totai
de 1.2
pati l l as'
Las dos
pati l l as restantes
se usan
para el ' u-i ]l i tt' o
de
potenci a
a l os ci rcui tos'
Al gunos
"i ,c"i i ot
SSI
se muestran
eT l a Fi gura
2-9' Cada
ci rcui to
est encapsrrl uao
"' i ""' i u
pa*ti l l " d9 f,a o 16
pati [as'
Las
pati l l as se nu-
nteran
a lo largo d; bt*5;
t
at la pastilia
y se especifican
las cone-
xiones
que pueden hace'se'
Lu'
"otp'i"it*
ib":udas
entro
del circuito
i ntegrado
son
para i "r**ti "
totut"tt"l
t' o
ptt"d"tt verse
ya que en l a
real i dad
el ci rcui to
i ntegrado
aparece
at l " forma
i l ustrada
en l a Fi gura
t-t.
"o"
ci rcui tos
i ntegrados
TTL. se_ di sti nguen
_comnmente
por l a de-
signacin
nrr*ri.u^i'"-t'.orrro
ra .e.ie so
v
z"+oo. t,u designacin
numrica
de l a seri e ?400
i mpl i ca
que l os
"i r' r
i nt"g.udo.
estn
numerados
7 400, 7 40I, ZOz
etc.''*""1
fabricant"ei^
ti""""
clrcuitos
integrados
TTL
disponibles
"o,,
iilu'*i"'
t"ignu"io""t
tumti"ut
tales
como
la serie
n*J"tffi ;ra
2-9(a)
i l ustra
dos ci rcui tos
TTL ssl .
El ?404 vi ene
con cua-
tro compuertas
NO
de 2 entra"..
io. terminales
marcados
v6'
y
GND
son
p"r" r""'p"inf*
-a"
la fue.nte
del
poder
que requieren
un
voltaje
de 5 vol ti os
para l a adecuada
operacl on'
El ti po ECL;;;;;;;"
t" a"1g"a
99-9
l a seri e 10' 000'
La Fi gura
2_g(b)
muestra
"""";r."ii""
-gcL.
El" 10102
viene con cuatro
compuertas
NoR de 2 entradas.
t"."
que la .o-p.r"*u
ECL
pry{e tener dos entradas,
una
para l a funci n
on
y Ia otra
pu"u- r""ci n
oR,
(pi n 9 del ci rcui to
integrado
10102).
iii .ir*iil
i.,i"gr"ao
i0i0?
contiene
tres compuertas
OR-
excl usi va,
.n.*tJtui ;
h;;"t
ri "t
" t"a" compuerta'
La otra da Ia
funcin
" NOn-e*"jrr".i.r"'o
"iualencia.
Las compuertas
EcL tienen
tres
termi nal es ot"
t' i i l i l .i tt' o-JJn9a"t-1"
i
v""'
t" conectan
por l o ge-
;'";;;i;t
"
v
v* a un voltaje
de.
-
5'2 voltios'
Los ci rcui t*' ai {b' i "-i -."ri "
4000 se muestran
en l a Fi gura
2-9(c)'
Solamente
."
pr't"iut' "orrou'
d"t ;;;Jl"'
ry91
de cuatro
entradas
en el 4002 "i a"?l '
ti t"ti o"
d";;i i l .";'
"tl -o-tg,::nti ene
sei s ci rcui -
tos separador". &Jfi"r).
mbos
circuitos
integrados
tienen
dos terminales
si n uso,
*ur."ai "?' (t
"o"e*i "i '
nr l "ti ri nat
marcado
V" requi ere
un vol taj e
au .rr*i .ri Jro
d"
g
a t5 ol ti os
y vss compmente-se
conecta
a ti erra.
http://libreria-universitaria.blogspot.com
r
vcc
t 4 13
vcc
t 4 13
Ti erra
7400-Cuatro
compuertas NAND de dos entradas
Compuertas
TTL
vccz
t 15
2 3 4 5 6
740<t-Seis
inversoes
7
Tiera
vccz
16 t 5
vcc
t
8 l
vre vcct
NC
Vte
10102-cuatro
compuertas
NoR de dos entradas 10107-Tres
compuertas
oR_exclusiva,/NoR
(b)
Compuert as
ECL
voo
t 4 1 3
NC
1 6
NC
1 3 1 2
3 4 5 6 7
4050-Seis
separadores
zss
NC l/ss
voo
4002-Dos
compuertas
NOR de 4 entradas
(c)
Compuertas
CMOS
Figura
2-9 Algunas compuertas
tpicas en circuitos integradoa
34s 67
123456. 7
http://libreria-universitaria.blogspot.com
Lgi ca Posi t i va
Y
negat i va
La seal binaria
a la entrada
salida de cada compuerta
puede tener uno
dedosval or es, . * . " pt o- At " ant el at r ansi ci n' El val or deunaseal r epr e-
senta
lgica r
v
"r
oiro';;;b:,
o
..
".ignan
dos valores
de seal a los
dos valores
lgicos ;;;";;;
dr; tipos
-de-seiles
asignadas
a la lgica'
De-
bido al
principio ""i""".fia"d
e-n el alge,ra
de Ble, un intercambio
de
la asignacin
de un
""f"t
"-"""a1
resulr
en una funcin
dual'
considrese
to, o, ualores de la seal binaria mostrada
en la Figura
2_10. un valor debe .;; -;y;.
que el. otro
ya que tienen
que ser diferentes
para poder di sti ngui r".' O"i gnl ..
.t
"l *
al como H
(Hi gh) y el ni vel
baj o como

(l ,owl . ;;-d*;i l ;rnati vas
para l a asi gnaci n
de l a l gi ca.
Valor
lgico
Tipo de familia
de CI
TTL
ECL
CMOS
(a) Lgi ca Posi ti va
Val or
seal
Val or
l gi co
0
Val or
seal
(b) Lgi ca negati va
Nivel bajo de voltaje
(V)
Rango
TPico
0
-
0,4 0,2
- 1, 9- - 1, 6 - 1, 8
0- 0, 5
0
lgica 0
Igica 1
Figura 2-1O
Asignacin
de amplitud
de seal v
tipo de lgica
Escoger
el ni vel al to H para-representar
l a l gi ca l como se muestra en l a
Fi sura 2-10(a) v
-i ""i "
el cual se defi ne
e"l si stema
de l gi ca
posi ti ua;
d"J;*;r^;i
"i "-
i -;;-r;r;;"tar
r gi ca 1 de l a manera.
i l ustrada
_en.
Ia
igri""i_to(b)
poT -;i; i .""t se define
el sistema
de lsica
nesattua'
Los trmi nos
posuos
y negati uos
no- son adecuados
ya que ambas
sea-
l es
pueden r",
po"i i t' o-"?g"ti "T' ry;
es Ia pol ari dad de l as seal es
l o
que determina
el ,*r.;i
l;;i;; ;i;" la asignacin
de los valores
lgicos
.-u.rr".do
a l as ampl i tudes
i el ati vas.de
l as seal es'
Las hojas t."iJ".
I-;.;;;il;.;.in
de datos de los circuitos
integra-
dos defi nen
funci ones
di gi tal es_no-en.trmi nos
de l gi ca 1o l gi ca 0 si no
en trmino,
a" ,,iuei"e.?;
t. se le deja al usuario
Ia oportunidad
de usar
las asignacior,".
po.iii
i
*t+i"i
n ta Tabla 2-7 se listan los voltajes
de nivel alto
(I{)
V
nl.r"f ajo-(L)
para tres familias
de circuitos
integrados
Voltaje de
tuente
(V)
Vc c =
5
V:
- 5' 2
Voo: 3- I 0
Tabla 2' 7 Niveles HyL en las familias de CI lgicos
Nivel alto de.'/oltaje
(v)
2,4
-
5
3,5
- 0, 95- - 0, 7
- 0, 8
Voo
Vpo
http://libreria-universitaria.blogspot.com
66
ALGEBRA
DE BooLE
Y coMPUERTAs
LoGI cAs
cAP, 2
l gi cos
di gi tal es'
En cada fami l i a
hay u-n rango
de varores
de vol taj e que
el ci rcui to puede
reconocer
como ni vel
al to o ,i *J-u":l ' t
u"ro.
tpi co
es el que
se usa ms comnmente.
r," ui "-"
""-.
r". i orrr;".
de sumi _
ni stro
como referenci a
para
""J"
_i i i ..
TTL
t i ene val ores
t pi cos
" i : s, s vol t i os y
L: 0, 2
vort i os.
ECL ti ene
dos val ores
negati vos
e" a: 9i
"
:
_-i ;"ri r.
r.se que
a pesar
de ser de dos vol taj es
,,eguti vos"Li
-g.
"1,"""."^-i ,b.' i ",
compuertas
cMOs pueden
usar
un uol t"e
au-.u-i ni rtro
voo en el rango
de 3 a 15 vol ti os
con vol tai es
tpi cos
d;;;
i d ,,ol ti os.
l r" u"i or",
de ra seal
en CMOS
son f unci n
el vol t aj e
. -r, ri ri ' i . rro.
con H
:
Von y
L: 0
vol t i os.
ffi',-"X;i:l
S,f:"ffll"d"'-;;'j"
ri*'*,i
",f
]
negativa
se in-
Despus
del anteri or pl anteami ento,
se hace
necesari o j usti fi ca
l os
smbol os
l gi cos
usados p".
rr-
"l l ;;;".
i ntegrados
mostrados
en l a Fi -
gura
2-9' Tmese
oor ej empl o,
una de l a.
compuertas
del ci rcui to
i ntegrado
7400.
El di agram
de"b.l oi u
;;h
.l -pu".t"
se muestra
en ra Fi gura
2-11(b).
La tabl a de verdad
a"l r"b."te
de l a compuerta
dada en l a ho- j a
de especi fi caci ones
se muestra
en l a Fi gu ra 2_II(d.
Esto especi fi ca
el
( c )
Tabl a de vedad en
t mi n o s d e Hy L .
Tabl a
de verdad
para
l a l gi ca
posi ti va;
H: T, L: 0.
(e
) Tabl a de verdad
de lgica negativa
L : r , H: 0 .
( b)
Di agr ama
de bl ooue
de l a compuerta
x---
_ l F _ :
/
_____1.
'
(d)
Smbolo grfico para
la
compuerta
NAND de
lgica positiva.
(
f
) Smbolo grfico para
ra compuerta
NOR
de lgica negativa.
Fi gura
2-11 Demostraci n
de l gi ca posi ti va y l gi ca negati va
http://libreria-universitaria.blogspot.com
s EC. 2- 8
FAMI LI AS
DE CI RCUI TOS
I NTEGRADOS
LOGI CO
DI GI TALES 67
comportamiento
fsico de la compuerta
con H con un valor
tpico de 3'5
vol t i os yLde0, 2vol t i os . Est acompuer t af s i c apuedef unc i onar c omo
una compuerta
NAND
como una compuerta
NOR dependi endo
de l a asi g-
naci n
de l a
Pol ari dad'
La tabl a de verdad de Ia Fi gura 2-11(c)
asume l gi ca
posi ti va cort
H: t
y L: 0. nf . ori i l r*
l al abl de verdad con l as t abl as de verdad de
l a Fi gura
2-5, se reconoce
que se tl qt-t-d" una compuerta
NAND'
EI sm-
bolo
grfico
p"r" .,.ru-.omiuerta
.NAND
de lgica
positiva se muestra
en
i "^i Ei rr"-
z_-111
y e. si mi l ar a l a que se ha adaptado
previ amente'
Ahora,
consi drese
una asi gnaci n
de l gi ca
posi ti va a esta compuer-
ta fsi ca con L:1
;;:.-i r".uttao
"."tu
tbl a de verdad
mostrada
en Ia Fi gura Z-f1(ei .--Esta
tabl a se. reconoce
que representa
l a funci n
NoRapesar deq. . " , . , , . ent r adasest nl i st adasal r evs. El s mbol ogr f i co
para una compuerta-on
" l gi ca negati va
se muestra
en l a Fi grrra
2-11(f)'
El pequeo triangul
e";i*
ui"-*r.s
e e.tttada
y salida
designan
un indi'
cador
d,e
pol ari dad,.\l ' Or*"".i q
de este
i ndi cadr
de
pol ari dad en l as en-
tradas
y sal i das
i "di ;-;-i a
l gi ca
ttg"i i uu
se asi gn al termi nal '
As' l a
misma
compuerta
fisica
puede funcionar"o
como
NAD
de Igica
positiva o
como
NOR ae rogi
neiativa.
El uno dibujado
en el diagrama
es completa-
mente dependient"
i;-i;";:;;til
J"
pt".iud
que el disenador
desea
empl ear.
Demaner asi mi l ar , esposi bl edemost r ar oue. l - aNoRdel gi caposi t i va
es la mism"
"ornp,r.irJ
ii"i*
q"" la NAND
ie lgica
negativa'
La misma
relacin
es vlida e,,t'e las compuertas
AND
y OR o entre
las compuertas
oR-excl usi va
y l a i l ";I"*i ".
n1 cual qui r
caso si se asume
l gi ca
ne-
gati va en cual qui e,
te' mi "ul
de entrada
o safi da es necesari o
i ncl ui r el tri n-
gul o i ndi cador
de
p"i ;;i l i ;d
"
l o l "rgo-del
termi nal .
Al gunos
di seadores
digitales
usan esta i""""""1"
p"t" fi'.ifit"r
el diseo
de los circuitos
digi-
tal es cuando
." ururi !*.i u.i "u"i ""te
l as compuertas
NOR
y NAND.
En este
Iibro no se usar esia simbologa
pero se recurrir
a otros mtodos
para ha-
cer diseos
"or, "o-i*J.;i"D
v
on
Ntese
oue
lg cI
presentados
en la Figura 2-9 se muestran
con sus simbolos
grficos de lgica
positiva' se
hubieran
podido *;;;;;-;;"
""r
.i*olos
llicos
negativos
si se hubiera
deseado.
La conversi n
de l gi ca
posi ti va a l gi ca
negati va
y vi ceversa'
es esen-
ci al mente
una operaci n
que cambi a-
unos a ceros
y ceros a unos
en Ias
entradas V
satidas .'i" J"-p"erta.-
Debido
a que esta- operacin
produce
una funcin dual,
"i ".t"^de
todos los termlnales
de una
polaridad a
ot r adar el mi smor esul t adoquet omar el dual del af unci n' EI r es ul -
t adode" . t " " or r r o" r . i r r " . quet odasr asoper aci ones. ANDseconvi er t ena
operaciones
on
v-ri.L""r.".
Ad"-",
;;
"
d.b" olvidar
el incluir
el indi-
cdor de
polaridad
-;;
i;; smbolos
grficos cuando
se asume
lgica
ne-
*u"ui
pequeo tringulo
que representa
un indicador
de
polaridad
I 9l
pequeo crculo.qrr"
tp'""enta
una to*ple-"tttacin
tienen
efectos simi-
l ares,
pero srgnrrrcados
di ferentes,
por tanto'
pueden.rempl azarse
el uno
por el otro, si se tiene en cuenta
que su inierpretacin
es diferente.
un
http://libreria-universitaria.blogspot.com
68 ALGEBRA DE EooLE Y CoMPUERTAS
crcul o segui do por
un tri ngul o,
tal
una compl ementaci n
segui da
de un
gativa.
Los dos se cancelan
entre s
ambos, l as entradas y sal i das
de l a
di ferentes.
LOGf CAS
cAp. 2
c.oT9 en la Figura 2-ll(f), representa
i ndi cador
de pol ari dad
de l ei ca ne_
y pueden
qui tarse.
pero
si se- qui tan
compuerta
representarn pol ari dades
Ca ract er st i cas
especi al es
Las caractersti cas
de l as fami l i as
de cI l gi co di gi tal es se comparan
anal i zando
el ci rcui to de l a compuerta
bsi ca
"e
cad fami l i a. Los par-
metros ms importantes que
son evaluados y
comparados son fu.r'orri,
disipacin
de poder,
{ego1a
de propagacin
y
-*u.g"r,
de ruido. Se expli_
car primero
las propiedades
de estbs-parmtros
p".u trrego usarlos plra
comparar l as fami l i as l gi cas de CI.
, l ?l -o"f
especi fi ca el nmero de cargos normares que puede
acci onar
l a sal i da de l a compuerta
si n menoscabai ""
op"i ".i *l i Jrmal .
u.a carga
normal
se defi ne como l a canti dad
de corri ent necesi tada para
l a entrada
de. otra compuerta en l a mi sma fami l i a de cI. argu"". u""es se usa el tr-
mi no cargado en vez de fan-out. Este tmi no se*deduce
del hecho de que
l a sal i da de l a compuerta sumi ni stra
una canti dad l i mi tada
de corri ente
por
enci ma de l a cual no opera cofrectamente y
se di ce por
este caso que
est sobrecargada.
La sal i da de l a compuerta general mente
se conecta a
l as entradas
de otras compuertas
si mi l ares.
cuu
""i rj " "or,rrr-"
ci erta
canti dad
de potenci a
de l a compuerta
de entrada de tal -u.,"ru que
cada
conexi n adi ci onal se. agrega a ra carga de l a compuerta.
,,Las
,Lgl as Je
carga" se l i stan comnmente para
un fami l i a de ci rcui tos di gi tal s nor_
mal i zados. Estas regl as
especi fi can l a mxi ma
canti da de cJrga p".i -
si bl e para
cada sal i da de cada ci rcui to. Al excederse l a carga mxi ma
especi fi cada
se podra
causar mal funci onami ento ya que
el ci rcui to no
puede
sumi ni strar
el poder
demandado.
El fan-out es el nmero mxi mo
de entradas que pueden
conectarse a l a sal i da a. r- compuerta y se ex-
presa
con un nmer<.
_
Las capaci dades
de fan-out de l a compuerta deben consi derarse
cuan-
do se si mpl i fi can l as funci ones de Ebol e. Se debe tener mucho cui dado de
no desarrol l ar
expresi ones que
resul ten en una compuerta con sobrecarga.
Los ampl i fi cadores
no i nversores
o separados
se .""
fu*
sumi ni strar
capaci dad
adi ci onal de acci onami ento para
el caso de cargas pesadas.
Di si paci n
de potenci o
es l a pot-enci a
sumi ni strada
necesari a para
operar l a compucrta. Este parmetro
se expresa en mi l i vati os (mw) y
representa
Ia porencia
real designada por
l compuerta. El nmero qu
representa
este parmetro
no i ncl uye l a potenci
sumi ni strada
de oi ra
compue-rta
o seu que representa
la potencia
suministrada
a la compuerta
por la fuente de poder.
un cI con uatro compuertas exigir de la fuente
cuatro veces l a potenci a
di si pada por
cada ompuerta. En un si stema
dado puede
haber muchos ciriuitos integrado. y ,rr. potencias
deben te-
nerse en cuenta- El poder
total di si pado
en un si stema es l a suma total
del poder
disipado de todos los CI.
. .,Retardo
de propagacin
es el tiempo promedio
de demora en la tran-
srcl on de programaci n
de una seal de l a entrada a l a sal i da, cuando l as
I
i
\
http://libreria-universitaria.blogspot.com
SEC.
2. 8
FAMI LI AS
DE CI RCUI f OS
I NTEGRADOS
LOGI CO
DI GI TALES 69
seal es
bi nari as
cambi an
de val or'
Las seal es
en una
compuerta
toman
cierta
cantidad
de tiempo
para
propag"i."
a" las entradas
a la salida'
Este
intervalo
de tiempo
se define
t";;l;
demora
de
propaga"tul
9:'11
c ompuer t a. Est al t i maSeexpr es aennanosec onds( ns ) . Unns eSl gu' al
"
to;t"rt".1;1!l'o,r"
viajan
cre las entradas
de un circrito
digital
a las
sal i das
pasan
po'
"""
seri e de to' opu"tt"t'
Lu ' u-u
de' l as demoras
de
propagacin
u t."ut
de las compuertas
"t
t" "-ora
total de
propagacin
del ci rcui to'
cu"nl
l ^ *foti ad
de operaci n
es
' i mportante'
cada com-
puerta debe
tener,ri "
p"qrr"na demora
"-pi opug"ci n
y el . ci rcui to
di gi tal
debe tener
,r. .tt-ut
''ni"i-o
"
"o*p""ifut
"""
t"tit
entre
las entradas
t
t"Lt"Tt3ff;adas
digitales
en ra mayora
de los circuitos
digitales
se apli-
can si mul tan""*""t?
a mt de una
-compuerta'
To{a1
aquel l as
compuer-
tas
que reci ben
.ul """truas
excl usi vamente
de entradas
externas
cons-
ti tuyen
el
pri mer ;r;i ' ;;l gi ca
del ci rcui to.
Las compuertas
que reci ben
al menos
una entrada,
a
parti r de ua
sal i da
de una compuerta
del
pri mer
nivel
de lgica,
"t-
to"ti"'an
en el segundo
nivel de
-t^q1ca
y de manera
similar
para los niveles
tercero
y top"'"iot"s'
La demora
total de
propaga-
ci n
del ci rcui to
"t
i s";i ;l u
A*ot"
d.e
propagacl "l
9:^t"
compuerta
por
el nmero
de ni vel es
l gi cos
en eI crrcui to' .
As, una
reducci n
en el nme-
ro de niveles
lgicos
dir como
,""rrtJ'onu'
*drr".i-n
de la demora
de
la seal
y circuiti
#;-J;id"s.
La reduccin
de la demora
de
propaga-
ci n en l os ci rcui tos
podra ser ms^i *p.i t""t"
que- l q.reducci n
en el
nmero
total de compuertas
"" "l "uto-t
q"t l a vel oci dad
de operaci n
""^
lr:::;::5:'HlT:Tli'*u',,,'o
vortaje
o:
::d:^Tl:gado
a ra sear de
entrada
de un
"i ""i t"
i gi t"t
que no cause un cambi o
i ndeseabl e'
a l a
salida
del circuito.
Hay dos tipos d; ;;tJ;
que debe.r
considerarse.
El
.rrs
(DC) cp ti "' "dJ
poi -rt."tui "ti "
t" l os ni vel es
de vol taj e
de se-
al. El ruido cA
?;;"-';i
prrt* ,trutorio
que puede,ver
creado
por otras
seales
conmutadi;.-A"l;
et ,uio es el trmino
usado
para denotar
una
seal
i ndeseabl e
superi mpuesta
a una ttut de operaci n
normal '
La ha-
bi l i dad
de l os ci rcui tos
para operar
"o"-
l "n"ui tl ad
en un ambi ente
de
rui do
es i mportani J' ;;;;h..^apl i caci ones.
El margen
de rui do se expre-
sa en voltios
(V) y representa
la -*iu
seal
dJ ruido
que puede ser
tolerada Por
una compuerta'
Caract er st i cas
de l as f ami l i as
de Cl l gi cos
El ci r cui t ob si codel af ami l i al gi cadeTTLesl acompuer t aNAND. Hay
muchas
versi ones
;; Ti l d" ta,
"cuates
se l i stan tres en
-l a
Tabl a 2-8' Esta
tabl a
da tu"
"uruJi "ri .t[u.
g".r"rut""-d"
i as fami l i as
de CI l gi cos'
Los
v al or es l i st adosSont epr esent at i v osc o, ' bas eenl ac ompar ac i n. Par a
.""fq"l "t
fami l i a
o ut"i n
l os val ores
pueden vari ar'
La compue,,"
fi i normal i zada
fue l a
pri mera versi n
de l a fami l i a
TTL.
S.
"g.ug"i o;
;;"r*
u *"i a"
qrre' tu tecnol oga
ha
progresado.
La TTL Schott
e";;;' a;
t"" l tti *".
i nnovaci ones
que reducen
l a de-
mora
de
propagacin
pero que '"t"ii
en un aumento
de asignacin
de
j
--
http://libreria-universitaria.blogspot.com
r
Tabl a
2-8 Caractersti cas
de fami l i as
de CI l gi cos
Fami l i a
de
CI l gi co
Fan-out
Di si paci n
de
potenci a
en (mW)
Demora
de
propagaci n
(ns)
Margen
de
ruido (V)
TTL normal i zada
TTL
Schoftky
TTL
Schottky
de
baja potencia
ECL
CMOS
l 0
l 0
l 0
J
l 0
22
0, 4
0, 4
2
25
0, t
20
25
50
0, 4
o 9
3
l 0
2
25
demora
de propagaci;
;;;;' i'rt
#triihil.T"H':H
i:"J:,ilT,?; oe potencia
bastante
redcida.
Ef ran-out
a" r" uuirion' irr,
normarizada es I0 pero
ra versiJr
scnottlv
. J":" po,"n"i,
-;i;;;
un fan-out
de 20.
Bajo
ciertas
condiciones
i".-' "ti"."u.rrio_n",
p"i;;
i"n.,
un tn_out
de 20. El margen
1: Tid;,..L":",
O":_0,4 V, con un valor
tpico
de lV.
*o""11,"'#if""3;"*
de la ramiii"
r.'".
l; ;;;;;",1"
Non.
La ventaja
,,eis i on es " E 'fi :;:X
?"""i
i,1, f
",f::
-d"
-;; ;; rJpa ga
c i n .
.
e r g,,
i
d e 0, 5 n s . L a a i s
[c
io n d"
;;l;
"
;i;-";lT,"ll,ffi
fJi?:ri
.T
""."::Ll:i"",,,1.,1
mente
al ta y
su margen
. rui ao
u";.' nrto.
l ti mos
dos parmeros
son
una
cl esventaj a
al escoser
l a fami l i a
nbl "or,
."specto
a l as dms.
pero,
a pesar
de su baj a
demora"d";r"pr;;;i r*i ;
i cl
,i rr"."l "?i r' ",r"
veroci dad
de
todas
l as fami ri as
v. es un-rti -o
r"*i o
para
si stemas
rpi dos.
El ci rcui to
si co-e
c;;' r.,u"r.o.
con er cuai se pueden
cons-
trui r
l as
compuertas
xrxo
v-o
^La
ventaj a
especi al
del cMos
es su
"'iffi
"::,; "o,Tff;;:?,
.;:
*3; m
*,
"
o*
_ u,,;
"
""iai"
i o"
".,.
t i i. u,
p.o*"iu
lo
rV
iJwr. curndo
la seal' :t]",i^YoS
es, despreciab.le
v, ;;;
hav.
una disipacin.d"
p.i;;;;
ai.,i.'rri
;r#T.tilf JT'ri?.*,iilrrii
cual el ci rcui to
est
.expues;;.--Ei ' ;ffi ero
ri stado
en l a tabl a
es un val or
t i pi co
de l a di si paci r
' d;
; ; i "; i "' i i r-i "a
en ras compuerras
cMos. La
mayor
desvenraj a
de l as
cnros
"..
.u^-ui i u
;;;;r"
de propaga_ ci n'
Esto
si grri fi ca
que.
no. es prcti co
usarl as
""
,rrt"-u".
que
requi eren operaci ones
de arta
vel oci dad.'
i o.""pur-etros--."..r"rl rri .*
para
ra
compuerra
cMos
dependen
el uortai i
vuo
de-1a
f;;;;;;.
poder
que
se
use' La di si paci n
de potenci
;.;;;;;"
el aumenro
del votta;e
de sumi _
ni stro'
La demora
a.
^p.op"gu.i "
i i ri i "uv"
"or,' "i
""-."r"
der vol tai e
s: t ; : i l : "t t t ro
v
el -ut ge. ,
ur. ui ao-. "
esri ma
en un 40i z
det val o
dl
potenci a'
La versi n.TTr
Schottky
de baj a potenci a
sacri fi ca
al guna
ve_
l"J*i::.i-1111"1'.i"
'.p"";:
frt",,"iu. Esra
lrima riene rq mic_o
REFE
RENCI AS
t
#a' :'
G' ' An Inuestgati on
of the Laws
of Thought.Nueva york:
Dover
pub..
70
I
http://libreria-universitaria.blogspot.com
PROBLEMAS
7I
2. Shannon, C. E. , " ASymbol i cAna- l ysi sof Rel ayandSwi t chi ngCi r cui t s" ' Tr ans' -
i f tn" AIEE,
vol . 57
(1938), 713-23'
3. Hunt i ngt on, E. V. , . . s et s of l ndependent . Pos t ul at es f or t heAl gebr aof Logi c ' ' '
"
i i "t.rn.
Math' Soc' ,
Vol ' 5
(1904)' 288-309'
4. Bi rkhofl
G.,
y T. C. Bartee, Modern
Appl i ed
Al gebra' Nueva
York: McGraw-
Hi l l Book Co., 1970.
5. Bi rkhoff,
G.,
y S. Macl ane,
A Suruey
of Modern
Al gebra' 3a' ed' Nueva
York:
The Macmi l l an
Co. , 1965'
6. Hohn,
F. 8. , Appl i ed
Bool ean
Al gehr a' 2a' ed' Nueva Yor k: The Macmi l l an
Co' '
1966.
?. Whi t es i t t , J . E. , Bool eanAl gebr aandi t s Appl i c at i ons , Readi ng, Mas s . : Addi .
son-Wesl eY
Pub. Co' , 1961'
S. TheTTLDat aBoohf or Des i gnEngi neer s , DaI I as , Tex as : Tex as l ns t r ument s
I nc. , 1976.
g. MECLI nt egr at edCi r c ui t s Dat aBooh. Phoeni x , Ar i z . : Mot or ol aSemi c onduc -
tor Products,
Inc.' 1972'
10. RCASol dSt at eDat aBook Ser j es l COs / MOs Di gi t at l nt egr at edCi r c ui t ' . -
Somer ui l l e,
N' J. : RCA Sol i d St at e Di v' ' 1974'
PROBLEMAS
cerrado
asoci at i va,
conmut at i va,
cumpl i das Por
el
Par
de oPerado-
Cul
de l as sei s l eyes bsi cas
(conunto
e i denti dad,
i nversa
y di stri buti va)
son
i es bi r.,ari os
l i stados
a conti nuaci n?
2 - 2 . De mu e s t r e q u e e l c o n j u n t o d e l o s t r e s e l e me n t o s l 0 ' 1 ' 2 l y l o s d o s o p e r a -
d o r e s b i n a r i o s + y d e l a ma n e r a d e f i n i d a e n l a t a b l a a n t e r i o r , n o c o n s t l -
t uyen
el l gebrJ
"' S""i t ' Et l uUt t "u
cul de l os post ul ados
de Hunt i ngt on
no se cumpl e.
2 - S. De mu e s t r e p o r me d i o d e t a b l a s d e v e r d a d l a v a l i d e z d e l o s s i g u i e n t e s t e o -
remas del lgebra
de Boole'
( at Las l eYes asoci at i vas'
(b) Los t eoremas
de De Morgan
para t res vari abl es'
(c) La l ey di st ri but i va
de *
sobre
"
2-4. Repi t a el Probl ema
2-3 usando
i os di agramas
de Venn'
2 - S. s i mp l i f i q u e l a s s i g u i e n t e s f u n c i o n e s d e Bo o l e a l me n o r n me r o d e l i t e r a l e s .
@)
xy +
ry'
(d) zx + zx' Y
(b) (x +
Y)(
+
Y')
(e) (l + B)'(A' + B')'
(c)
ryz
* x'Y 1
ryz'
(f)
Y(wz'
I wz) *
ry
. 10
| 2
"i
http://libreria-universitaria.blogspot.com
I
I
I
I
I
72
2-6.
ALGEBRA
DE BOOLE Y COMPUERTAS
LOGI CAS
Refuzga- las siguientes
expresiones
de Boole
al
tado al frente
de cada una e el l as.
( a) ABC + A, B, C + A, BC + ABC, + A, B, C,
(b)
BC + AC' + AB + BCD
(c)
[(CD|
+ A], + A + CD + AB
(d) (A + C + DXA + C + D')(A + C' + D)(A + B')
CAP. 2
nmero
de l i t eral es
sol i ci _
Encuentre
el compl emento
de l as si gui rentes
funciones
de Boole y redzcalas
al mni mo nmero
de l i teral es.
' (a)
(BC'
+ A' D)(AB,
+ CD,)
. ( b)
B' D + A' BC' + ACD + A' BC
(c)
I@B)' AI[@B),Bl
@)
n' +
C' D'
a ci nco l i teral es
a cuatro
l i teral es
a tres l i teral es
a cuatro l i teral es
2-8.
Dadas
dos funci ones
de Bool e F, y Fr:
(a)
Demuestre^que
l a funci n
de Bool e
!:
F:*F2,
obteni da
al apl i car
l a
funcin
OR a las dos funcion
contiene
i" .uiu
J. too, los trminos
mni mos
en F, y F, .
( b)
Demuest r e- que
l a f unci n
de Bool e. G: F F2,
obt eni da
al apl i car
l a funcin
AND a las dos funcionesl
contiene
to. tr-i'o.
mnimos
comunes
a ambas
Ft
!
F,
2-9.
Obtenga
l a tabl a de verdad
de l a si gui ente
funci n:
F: x l +r y , +y , z
2 10' Ex'rese
ulas
funciones
de Boole simplificadas
del
problema
2-6 con compuer_
2-ll. Dada la funcin de Boole:
F=x ! * x , y , * y , z
(a)
Exprsel a
con compuertas
AND,
OR y
NOT.
(b)
Expresel a
con compuertas
OR y
NOT sol amente.
(c)
Exprsel a
con compuertas
AND y
NOT sol amente.
2-12'
si mpl i fi que
l as funci ones
?r
J
?, al mni mo
nmero
de ri teral es.
00
00
0l
0t
I O
t 0
t l
l l
2-13.
9-*f1....
l as si gui entes
funci ones
en suma
de
d. t r mi nos
mxi mos.
(a)
F(A, B, C, D): D(A,+
B) + B,D
O)
F(r, x,y, z)
-
y,z I wxy, + wxz, * w,x,z
r 0
l 0
t 0
0l
0t
0l
0l
0t
trmi nos
mni mos y producto
http://libreria-universitaria.blogspot.com
PFOBLEMAS
73
(c) F(A,
B, C, D)
=
(A + B' + CXA
! l'XA
+ C' + D')
' ri '
* t + c
+ D' XB
+ c' + D' )
(d) F(A, B, C)
= (A' + B)(B'
+ C)
(e) F(r,
Y,
z)
:
I
(fl F(x,
Y,
z)
- (ry + z)(Y
+ xz)
2. L4. Conv i er t al as s i gr r i ent es ex pr es i ones al aot r af or ma:
( a) F( x, Y, z)
=
) ( l '
3' 7)
a
, t n,
B, c, D): >(0'
2' 6' 11' 13' t 4)
(c) F(x,
Y,
z)
:
II(0'
3' 6' 7)
(d) F(A,
B, C' D)
:
r(0'
I' 2' 3' 4' 6' t2)
2-15. Cul
es Ia dif'erencia
entre
la forma
cannica y
I"
'f9t11
normalizada?
Cul
. I a ro r m a
p'"'r''' iu i J'
"
u n o'
1 :H
l;j i ll,"m"
l" i:'ff
"l:'""1"
""i
iJT;
;;.t;Cul
s l a forma
que se obtrel
, ,a. ;::*a
de rodos
l os trmi nos
mni mos
de una
funci n
de Bool e
de
n vari a-
bl es
es 1'
(a) Pruebe
la anterior
afirmacin
para n
: '
(b) Sugiera
un
procedimiento
para una
prueba
general'
2- | 7' El pr oduc t odet odos l os t r mi nos - * i . o. deunaf unc i ndeBool eden
variables
es 0'
l;]::n'ilT,ffi
:'"':"""111,:"""1g"-i3;'\-l'-r"''9"..'1":
pr i nci pi oi ' t ' i " i i r i adesput dt - ; ; " t l apr t e( b) del Pr obl emaz- r o:
2-1g.
Demuestre
que el dual
de la oR-exclusiva
es igual a su complemento'
2-19.
Por sustitucin
de la funcin
de, Boole
equivalente
a las funciones
binarias
defi ni das
en l a Tabl a
2-6 demuestre
que:
( a) Los oper ador es dei nhi bi c i nei mpl i c ac i nnos onni c onmut at i v os nl
,r,
ilJt:il:ilres
oR_exclusiva
y de equivalencia
son conmutativos
v
aso-
ciativos'
(c) El operador
NAND
no es asocratrvo'
(d) Los o*'"ot"t
NOR
y NAND
no son distributivos'
2-20'
Una
compuerta
mayori sta:t:l
:i "ti to
di gi tal
c' uya
sal i da
es l si Ia ma-
vora
de l as entradas
son l . De
"i ;i ;;;"i "
uri a,ser
0' Por medi o
de
unat abl adev er dad. Enc uent r e, j ' " " . i ' de. Bool el l ev adaac aboc on
una
compue' ta
mayoti tari a
de 3
"ti t"tt'
Si mpl i fi que
l a funci n'
2-21'
!srifique-
la-tabla
d" yq'd'".d
de,l^a,comPlerta
OR-exc!il:"
O" 3 entradas
lis-
tada en Ia ri gura
2-8(c)'
Eaga.
Ia l i l ;"d"
l as ocho
combi naci ones
de r'
1' y
z'
Ev al e
e: r " i Y
l uegoF: A
O
z - - x @ Y @z '
2'22.
El sSI de TTL
viene
mavormente
en
pastillas-
t^ti:Xlilt";iXffiilil ;
L
Hill'"T lnji*:f,*,US;:.i;'
;::*Sljl'."i'il;;;st-iila
de este
estilo
si t";;i;;;
tt-tigoit"t"
tipo
de compuertas?
(a) Compuertas
OR-exclusivas
de 2 entradas'
(b)
ComPuertas
AND
de 3 entradas'
http://libreria-universitaria.blogspot.com
{
74
ALGEERA
DE
EOOLE
Y COMPUERTAS
LOGICAS
cAP.
2
(c)
Compuertas
NAND
de 4 entradas.
(d)
Compuertas
NOR
d" 5;;;d;,
(e)
Compuertas
NAND
,";;;;".
2-23.
Demuestre
que
I
d" l;i;;';.c
nn
corrperta
AND
de lgica
positiva
es una
compuerta
oR
2 24
u";"
i;-,,,"
"#'i
irJiliJllli;
cornpue
puertas
separada
$
j"i,lx..":ffi:.tHtilitt",",""..#fri1:::iTlf.l;..I{3i**:
http://libreria-universitaria.blogspot.com
Si mpl i fi caci n
de
funci ones
de Bool e
3- 1 EL METODO DEL MAPA
La compl ej i dad de l as compuertas l gi cas di gi tal es con
que se l l evan a ca-
bo l as f.,.t.i ott"t de Bool e se rel aci onan di rectamente con l a compl ej i dad
de l a expresi n al gebrai ca de l a cual se desprende l a funci n. Aunque l a
,epre.enfaci n de l a tabl a de verdad de una funci n ni ca,
puede apare-
.ui du muchas formas di ferentes. Las funci ones de Bool e pueden ser si m-
pl i fi cadas por medi os al gebrai cos de l a manera vi sta en l a Secci n 2-4'
Si n embargo el
procedi mi ento de mi ni mi zaci n es un tanto raro ya que
carece de i egl as especfi cas
para predeci r cada
paso sucesi vo en el proce-
so de mani pul aci n. El mtodo del mapa
presenta un procedi mi ento si mpl e
y di recto
para mi ni mi zar l as funci ones de Bool e. Este mtodo
puede ser
i ratado no sol amente en l a forma pi ctri ca de una tabl a de verdad, si no
como una extensi n del di agrama de Venn. El mtodo del mapa,
propues-
to pri mero por Vei tch
(1) y modi fi cado l i geramente
por Karnaugh
(2), se
"orro""
com el "di agrama de Vei tch" o el "mapa de Karnaugh"'
El mapa es un di agrama, hecho de cuadros. cada cuadro representa
un trmi no mni mo. como cual qui er funci n de Bool e
puede ser expresada
como una suma de trmi nos mni mos, se desprende
que di cha funci n, se
reconoce
grfi camente en el mapa a parti r del rea encerrada
por aque-
l l os cuadros cuyos trmi nos mni mos se i ncl uyen en l a funci n. De hecho,
el mapa
presenta un di agrama vi sual de todas l as formas
posi bl es en
que
puede .ui
"*pt..uda
una funci n en l a forma normal i zada. Al reconocer
vari os
patrones, el usuari o
puede deri var expresi ones al gebrai cas al ter-
,ru. pu l a mi sma funci n de l as cual es se puede escoger l a ms si mpl e.
Se ai ume
que l a expresi n al gebrai ca ms si mpl e es cual qui era en una
suma de prtductos o producto de sumas
que ti ene el mni mo nmero de
Ii teral es.
(Esta expresi n no es necesari amente ni ca.)
3- 2 MAPAS DE DOS Y TRES VARI ABLES
un mapa de dos variables se muestra en la Figura 3-1. on Jt hay.cuatro
trmi ns mni mos
para dos vari abl es, es deci r
que el mapa consi ste en
75
:ffi
http://libreria-universitaria.blogspot.com
",*,1"'
,-r Mapa de *. ,ll]"0*.
cuatro cuadrados, uno para cada trmi no mni mo. El mapa que se di buj a
de nuevo en
(b)
si rve para demostrar l a rel aci n entre l os cuadrados y
l as dos vari abl es. Los ceros y
unos marcados para cada fi l a y col umna
desi gnan l os val ores r y y respecti vamente.
Ntese que l a r aparece ti l -
dada en l a f i l a 0 y no t i l dada en l a f i l a 1. De maner a si mi l ar , - l , apar ece
ti l dada en l a col umna 0 y no ti l dada en l a col umna 1.
Si se marcan l os cuadrados cuyos trmi nos mni mos pertenecen
a una
funci n dada, el mapa de dos vari abl es se convi erte en otro mtodo ti l
para representar una cual qui era de l as 16 funci ones de Bool e de dos va-
ri abl es. como ej empl o, l a funci n y se muestra en l a Fi gura B-2(a). como
ry es i gual & zl 3, S col oca un 1 dentro del cuadrado que pertenece
a Lz.
De manera si mi l ar, l a funci n rf y se representa en el mapa de l a Fi gura
3-2(b) por medi o de tres cuadrados marcados con unos. Estos cuadrados
se escogen de l os trmi nos mi ni mos de l a funci n:
x * y
:
x' y t xy' * xy
:
m, I mr * m,
Los tres cuadrados pudi eron haberse determi nado de l a i ntersecci n de
l a vari abl e en Ia segunda fi l a y l a vari abl e y en Ia segunda col umna, l o
cual cubre el rea perteneci ente
a r o y.
( a) . r y ( b)
*
y
Figura 3-2 Representacin de las funciones en un mapa
En l a Fi gura 3-3 se i l ustra un mapa de tres vari abl es. Hay ocho tr-
mi nos mni mos para l as tres vai abl es. EI mapa por tanto consi ste en ocho
cuadrados. Ntese que l os trmi nos mni mos se arregl an, no en secuenci a
bi nari a si no en una secuenci a si mi l ar al cdi go refl ej ao l i stado en l a Tabl a
l -4. La caractersti ca de esta secuenci a es que
sol amente un bi t cambi a
de 1 a 0 o de 0 a 1, en l a secuenci a del l i stado. El mapa di buj ado en l a parte
(b)
se marca con los nmeros de cada fila o cada iolumn para mostrar
l a rel aci n entre l os cuadrados de l as tres vai abl es.
por
ej empl o, el cua-
drado asi gnado a m, corresponde a l a fi l a 1 y col umna 01. uando se con-
76
http://libreria-universitaria.blogspot.com
i
L=-Y-
/ h r
Fi gura 3-3 Mapa de tres vari abl es
catenan estos dos nmeros darn el nmero bi nari o 101, cuyo equi val ente
deci mai es 5.
Qt r a
maner a de mi r ar el cuadr ado s: x! , 2 es consi der ar
que est en l a f i l a mar cada r y
en l a col umna que pet i enece
ay' z ( col umna
01). Ntese_que hay cuatro cuadrados donde cada vari abl e ei i gual a 1 y
cuatro donde cada una es i gual a 0. La vari abl e aparece ,,o ti l dud"
"n aquel l os cuatro cuadrados donde sea i gual a 1 y ti l ada en aquel l os que
sea i gual a 0. Por conveni enci a, se escri be l a vari abl e usando un smbl o
de l etra que
abarca aquel l os cuatro cuadrados donde l a pri mera
no est
t i l dada.
Para entender l a uti l i dad del mapa en l a si mpl i fi caci n de funci ones
de Bool e, se debe reconocer l a propi edad
bsi ca que ti enen l os cuadrados
adyacentes. cual qui er par de cuadrados adyacenl es en el mapa di fi eren
por una vai abl e ti l dada en un cuadrado y no ti l dada en el otro.
por
ej em-
pl o,
m, y m, estn en ds cuadrados adyacentes. La vari abl e y est ti l -
dada en m5 y no ti l dada en m7, mi entras que l as otras dos uai i abl e, ,o.,
i gual es en ambos cuadrados. A parti r
de l os postul ados
del l gebra de Bool e,
se desprende que l a suma de l os trmi nos mni mos en cuadi ados adyacen-
tes pueden
ser si mpl i fi cados
a un si mpl e trmi no AND consi stente
en dos
l i teral es. Para acl arar l o anteri or, consi drese l a suma de dos cuadras
adyacentes tal es como m5 y m7 i
ms
- l
m
:
x J ' ' z
+
x y z - x z ( y '
* y ) : x z
Aqu l os dos cuadrados di fi eren en l a vari abl e y, que puede
ser removi da
cuando se forme l a suma de l os trmi nos mni mos. As, a cual qui er par
de
tmi nos mni mos en cuadrados adyacentes a l os cual es se l e apl i ca l a fun-
ci n oR se l es causar l a remoci n de l a vari abl e di ferente. El si gui ente
ej empl o expl i ca el procedi mi ento
para mi ni mi zar una funci n de Bol e con
un mapa.
EJEMPLO
3-I.. Si mpl i fi car l a funci n de Bool e:
F: x, yz * x, yz, *
ry, z,
*
ry, 2
Pri mero, se marca un 1 en cada cuadrado cuando sea necesari o
para
representar l a funci n
de l a manera mostrada en l a Fi gura
3-4' Esto puede
l ograrse de dos maneras: convi rti endo cada trmi -
no a un nmero binario para luego marcar 1 en el cuadrado corres-
( a )
m
0
m
I
m
3
m
2
m a m -
)
m 1 m.
o
77
http://libreria-universitaria.blogspot.com
)'
l r - ' A-
0
t ,
r l
t
t--
1
-_Ll
tr
r'l
Fi gura 3-4 Mapa del Ej empl o 3-1; r' -r' z +
r' l ' z' + xJ-' z'
+
xJ-' 2: r' ' \' + ' x' \' '
pondi ente u obteni endo Ia coi nci denci a
de l as vari abl es en cada
i rmi no. Por ej empl o, el trmi no x' 7,2 ti ene su correspondi ente
nmero bi nari o 011
y representa el trmi no mni mo m3 en el cua-
drado 011. La segunda forma de reconocer el cuadrado es por
coi nci denci a de l as vari abl es x"
y y z, l as cual es se encuentran en
el mapa observando
que f' pertenece a l os cuatro cuadrados de l a
pri mera fi l a, y pertenece a l os cuatro cuadrados de l as dos col um-
nas de l a derl ca
y z pertenece a l os cuatro cuadrados de l as dos
col umnas del medi o. El rea que pertenece a l os tres l i teral es es
el cuadrado de l a pri mera fi l a
y l a tercera col umna. De i gual ma-
nera, l os otros tres cuadrados
que pertenecen a l a funci n F se
marcan con un 1 en el mapa. Se representa as l a funci n en el
rea
que Conti ene cuatro cuadrados, cada uno marcado con un
1, de l a manera mostrada en l a Fi gura 3-4. El si gui ente
paso es
subdi vi di r el rea dada en cuadrados adyacentes.
Estos se i ndi -
can en el mapa por medi o de dos rectngul os, cada uno conteni en-
do dos ,rno.. Ei rectngul o superi or derecho representa el rea
encerrada
wr
x' y; el i nferi or i zqui erdo el rea encerrada
por fy' .
La suma de estos dos trmi nos dar Ia respuesta:
F: x' y * xy'
Segui damente
consi drese l os dos cuadrados
marcados mo y m2 en
l a Fi gura 3-3(a) o x' y' z' y x' yz' en l a Fi gura 3-3(b). Est os dos t rmi nos m ni -
mos l ambi n di fi eren un ,r.tu vari abl e
y y su suma
puede ser si mpl i fi cada
a una expresi n de dos l i teral es:
x' y' z' +x ' y z' : x' z'
En consecuenci a,
se puede modi fi car l a defi ni ci n de l os cuadrados
adya-
""ntur
para i ncl i r este
y otros casos srmi l ares. Esto se l ogra consi deran-
do el mapa como un di bj o en una superfi ci e donde l os bordes i zqui erdo
y
derecho Je tocan entre s para formar cuadrados adyacentes'
EJEMPLO 3-2; Si mpl i fi car l a funci n de Bool e:
F: x' yz i xy' z' *
r yz
*
r yz'
El mapa de esta funci n se muestra en Ia Fi gura 3-5. Hay cuatro
",rad.ado.
marcados con 1, para cada uno de l os trmi nos mni -
+
\
78
http://libreria-universitaria.blogspot.com
I
I
tl
i
sEc. 3-2 MAPAS DE DOS Y TRES VARI ABLES
mos de l a funci n. Dos cuadrados adyacentes se combi nan en l a
tercera col umna para dar un trmi no de dos l i teral es yz. Los dos
cuadrados restantes con 1, son adyacentes por l a nueva defi ni -
ci n y se muestran en dos cuadrados que cuando se combi nan
darn un trmi no de dos l i teral es xz' . La funci n si mpl i fi cada ser:
f : y z * x z ,
v a
y
0
t ,
x )
|
t
Fi gura 3-5 Mapa del Ej empl o 3-2; x' 12
+ .r' ' z'
+ xJ' z
+
r.t' l , :
\.2 +
xz,
Consi drese ahora cual qui er combi naci n de cuatro cuadrados adya-
centes en el mapa de tres vari abl es. Una combi naci n como sta representa
l a apl i caci n de l a funci n OR de cuatro trmi nos mni mos adyacentes y que
resul ta en una expresi n de un l i teral sol amente. Por ej empl o, Ia suma de
cuatro trmi nos mni mos adyacentes trl 6, trL2, l rl q y ffi a, se reduce al
sol o l i t er al z' como se muest r a a cont i nuaci n:
x' y' z' * x' yz' * xy' z' * x! z' : x' z' ( y' +y) + xz' ( y' * y)
:
x' z' + xz'
:
z' ( x' * xl : z'
EJEMPLO 3-3.. Si mpl i fi car l a funci n de Bool e:
F: A' C + A' B + AB' C + BC
EI mapa para si mpl i fi car esta funci n se muestra en l a Fi gura 3-6.
Al gunos de l os trmi nos de l a funci n ti enen menos de tres l i te-
ral es y son representados en el mapa por ms de un cuadrado.
As, para encontrar l os cuadrados correspondi entes a A' C se
forma l a coi nci denci a de A' (pri mera
fi l a) y
C
(dos
col umnas del
medi o) y se obti enen l os cuadrados 001 y 011. Ntese que al en-
marcar l os unos con cuadrados es posi bl e
encontrar un uno ya
A
0
I
A l l
t
C
3- 6 Mapa del Ej empl o 3- 3 A' C
+
A' B
+
AB' C
+
BC
:
C + A, B
+J
i
I
I
I
0 0

-it
I l_1_.1
l r
Figura
http://libreria-universitaria.blogspot.com
80 SI MPLI FI CACI ON DE FUNCI ONES DE BOOLE CAP. 3
colocado en el trmino anterior. En este ejemplo, el segundo tr-
mi no A' B ti ene unos en l os cuadrados 011
y 010, pero el cuadrado
011 es comn al pri mer trmi no A' C
y sol amente conti ene un uno.
La funci n de este ej empl o ti ene ci nco trmi nos mni mos, como se
i ndi ca por l os ci nco cuadrados marcados con un 1. Se si mpl i fi ca
combi nando cuatro cuadrados del centro para dar el l i teral C. El
cuadrado restante marcado con 1 en 010 se combi na con un cua-
drado adyacente que ya ha si do usado una vez. Esto es
permi si -
ble y aun deseable
ya que la combinacin de los dos cuadrados da
el trmi no A' B mi entras que el trmi no mni mo senci l l o represen-
tado por el cuadrado da el trmi no A' BC' de 3 vari abl es. La funci n
si mpl i fi cada es:
F: C+A, B
EJEMPLO 3-4: Simplifiquese la funcin de Boole:
F( r , y, z)
:
) ( 0,
2, 4, 5, 6)
Aqu se han dado los trminos mnimos
por medio de nmeros
decimales. Los cuadrados correspondientes se marcan con unos
de la manera mostrada en la Figura 3-7. Del mapa se obtiene la
funci n si mpl i fi cada:
F: z' * r y'
v 7 J
0
(
l r
' 1' ,
t
Fi gura 3-7
l (x,
y, z)
:
X0,
2, 4, 5, 6)
:
z' *
U'
3- 3 MAPA DE CUATRO VARI ABLES
\ .
El mapa para las funciones de Boole de cuatro variables binarias se mues-
tra en Ia Fi grrra 3-8. En
(a) se l i stan l os 16 trmi nos mni mos
y l os cua-
drados asignados a cada uno. En
(b)
se redibuja el mapa para demostrar
la relacin con las cuatro variables. Las columnas
y las filas se enumeran
en la secuencia del cdigo reflejado con un dgito que cambia de valor en-
tre dos columnas o filas adyacentes. El trmino mnimo correspondiente
a cada cuadrado
puede obtenerse por la concatenacin del nmero de la
fila con el nmero de la columna. As, los nmeros en la tercera fila
(11) y
la segunda columna
(01)
una vez concatenados, dan el nmero binario
1101, equi val ente bi nari o al deci mal 13. Por tanto, el cuadrado en l a terce-
ra fila y la segunda columna representa el trmino mnimo m
13
.
\
I
t-'-T
--
I
Lr
http://libreria-universitaria.blogspot.com
t ,
m l m "
-t
^z
m a m5 m1 m -
o
m
12
m
l 3 1 5
^ 1 4
m8 mg mr l n'
l o
;
t
)
I
t
0 l l l l 0
01
I I
w'x'y':,' w' x' y' zv)' x' y: w' x' \
tt' xy' a w' xy' i \9' .xy a w' .ryz'
wxy' a' w-r)'rl w.ry: rrxyl
I
t ' y' : wx' t' z wf' yz v' .r' yi '
I
"t
I
( b. ) ( a )
Figura 3-8 Mapa de cuatro variables
La mi ni mi zaci n, por medi o del mapa, de una funci n de Bool e de cua-
tro vari abl es, es si mi l ar al mtodo usado para mi ni mi zar funci ones de tres
variables. Los cuadrados adyacentes se definen como cuadrados cercanos
entre s. Adems, se consi dera el mapa que yace en una superfi ci e con l os
bordes superior e inferior y los bordes izquierdo y derecho tocndose entre
s para formar cuadrados adyacentes. Por ej empl o, fro y m, forman cua-
drados adyacentes de l a mi sma forma que m3 y mt. La combi naci n de
cuadrados adyacentes, ti l durante el proceso de si mpl i fi caci n, se deter-
mi na fci l mente por i nspecci n del mapa de cuatro vari abl es:
Un cuadrado representa un trmi no mni mo, dando un trmi no de
cuatro l i teral es.
Dos cuadrados adyacentes representan un trmi no de tres l i teral es.
Cuatro cuadrados adyacentes representan un trmino de dos lite-
ral es.
Ocho cuadrados adyacentes representan un trmi no de un l i teral .
Di eci si s cuadrados adyacentes representan l a funci n i gual a 1.
Ni nguna otra combi naci n de cuadrados pueden si mpl i fi car l a funci n.
Los siguientes ejemplos muestran el procedimiento
usado para simplifi-
car las funciones de Boole de cuatro variables.
EJEMPLO 3-5; Simplifiquese la funcin de Boole:
F(w, x,
! ,
z\
:
>(0, l , 2, 4, 5, 6, 8, 9, 12, 13, 14)
Como l a funci n ti ene cuatro vari abl es, se debe usar un mapa de
cuatro vari abl es. Los trmi nos mni mos l i stados en l a suma se
marcan con unos en el mapa de la Figura 3-9. Ocho cuadrados
adyacentes marcados con unos pueden combinarse para formar
un trmino literal y'. Los restantes tres unos a la derecha no pue-
den combi narse entre s para dar un trmi no si mpl i fi cado. Deben
combinarse como dos o cuatro cuadrados advacentes. Entre ma-

I
81
http://libreria-universitaria.blogspot.com
FS=--
Fi gura 3-9 Mapa del Ej empl o 3-5; F (u, x, z):
>(0, 1, 2, 4, 5, 6, 8, 9, t2, t3, 14)
:
y' + w' z' * xz'
yor sea el nmero de cuadrados combi nados, menor ser el nmero
de l i teral es en el trmi no. En este ej empl o, l os dos unos superi ores
a l a derecha se combi nan con l os dos unos superi ores a l a i zqui er-
da para dar el t rmi no u' z' . Nt ese que es permi si bl e
usar el mi smo
cuadrado ms de una vez.
Queda
entonces un cuadrado marcado
con 1en l a t ercera f i l a y cuart a col umna
(cuadrado
1110). En vez
de tomar este cuadrado sol o
(l o
cual dar un trmi no de cuatro
Ii teral es) se combi na con cuadrados ya usados para formar una
rea de cuatro cuadrados. Estos cuadrados comprenden l as dos
fi l as del medi o y l as dos col umnas de l os extremos para dar el
t rmi no xz' . La f unci n si mpl i f i cada es:
F:
l ,
* w, z, * xz,
EJEMPLO 3-6: Si mpl i fi car l a funci n de Bool e:
F: A' B' C' + B' CD' + A' BCD' + AB' C'
El rea, en el mapa, cubi erta por esta funci n consi ste en l os cua-
drados marcados con unos en l a Fi gura 3-10. Esta funci n ti ene
cuatro vari abl es y
como se ha expresado consi ste en tres trmi -
nos' cada uno con tres l i teral es y un trmi no de cuatro l i teral es.
Cada trmi no de tres l i teral es se representa en el mapa por
dos
cuadrados. Por ej empl o, A' B' C' se representa por l os cuadrados
0000 y
0001. La funci n puede si mpl i fi carse en el mapa tomando
l os unos de l as cuatro esqui nas para formar el trmi no 8,D,. Esto
es posi bl e porque estos cuatro cuadrados son adyacentes cuando
el mapa se di buj a en una superfi ci e con l os bordes superi or e i n-
feri or, i zqui erdo y
derecho tocndose entre s. Los dos unos de
mano i zqui erda en l a fi l a superi or se combi nan con l os dos unos
en l a fi l a i nferi or para
dar el trmi no B' C' . EI 1 restante puede
combi narse en una rea de dos cuadrados para dar el trmi no
A' C' D' . La f unci n si mpl i f i cada es:
0 l
r
I
I
1t
tr
t_.1 L'
I I
L
82
http://libreria-universitaria.blogspot.com
l"
r
D
Fi gur a 3- l O Mapa del Ej empl o 3- 6; A' B' C' + B, CD' + A, BCD, + AB, C,
:
B' D, + B, C, + A, CD,
3- 4 MAPAS DE CI NCO Y SEI S VARI ABLE S
' /
Los mapas de ms de cuatro vari abl es no son si mpl es de usar. El nmero
de cuadrados se hace muy grande y l a geometra
de combi nar cuadrados
adyacentes se compl i ca. El nmero de cuadrados es si empre i gual al n-
rnero de trmi nos mni mos. Para mapas de ci nco vari abl es se necesi tan
32 cuadrados y para sei s vari abl es se necesi tan 64 cuadrados. Mapas de
si ete vari abl es en adel ante necesi tan muchos cuadrados y son muy i m-
prcti cos
de usar. En l as Fi guras 3-11 y 3-12 se muestran l os mapas para
ci nco y sei s vari abl es respecti vamente. Las col umnas y fi l as se numeran
de l a mi sma forma que l a secuenci a del cdi go refl ej ado. El trmi no mni mo
asi gnado a cada cuadrado se l ee de esos nmeros. De esta manera el cua-
drado en l a tercera fi l a (11) y l a segunda col umna (001)
en el mapa para
ci nco var i abl es se numer a 11001 y es equi val ent e al deci mal 25. Por t ant o,
est e cuadr ado r epr esent a el t r mi no m ni mo m2r . El s mbol o de l et r a de
cada vari abl e se marca abarcando aquel l os cuadrados donde el val or del
bi t correspondi ente al nmero del cdi go refl ej ado es 1. Por ej empl o, en
CDE
^T
t
D
fi
rj
I
f,
I
I
il
'fi
;.:l

.u
il
{
q
.
1?
I
E
F

t
I
I

I
fr
I
-/
B l l
: '
l l
l 0
0 I 3) 2 6
'7
) 4
8 9 l l l 0 t 4 l 5 l - t t2
24 25 27 26 30 3 l 29 28
l 6 t 7 l 9 t 8 22 23 2 l 20
Fi gur a 3- 11 Mapa de ci nco var i abl es
83
http://libreria-universitaria.blogspot.com
frr
DEF
000 00r 0l I 010 1 1 0 l t i 1 0 1 100
ABC
000
001
0 l t
0 1 0
I
\ C
' c
l l 0
l l l
r 01
100
F F
Figura 3-12 Mapa de seis variables
el mapa de ci nco vari abl es, l a vari abl e A es un 1 en l as l ti mas dos fi l as
y B es un 1 en las dos filas del medio. Los nmeros reflejados en las colum-
nas muestran l a vari abl e C con un 1 en l as cuatro col umnas de l a extrema
derecha, l a vari abl e D con un 1 en l as cuatro col umnas del medi o y l os unos
para l a vari abl e E, no adyacentes fsi camente,,se di vi den en dos partes.
La
asi grraci n de l as vari abl es en un mapa de sei s vari abl es se determi na de
maner a si mi l ar .
La defi ni ci n de l os cuadrados adyacentes para l os mapas de l as Fi -
guras 3-11 y 3-12 deben modi fi carse de nuevo para tener en consi deraci n
el hecho de que al gunas vari abl es estn di vi di das en dos partes. Debe
pensarse que el mapa de ci nco vari abl es consi ste en dos mapas de cuatro
vari abl es
y el mapa de sei s vari abl es consi ste en cuatro mapas de cuatro
vari abl es. Cada uno de estos mapas de cuatro vari abl es se reconocen por
l as l neas dobl es en el centr ri el mapa; cada uno de el l os conserva l a cer-
cana defi ni da cuando se toma i ndi vi dual mente. Adems, l a l nea dobl e
del centro debe ser consi derada como el centro de un l i bro con cada mi tad
del mapa como una pgi na. Cuando se ci erra el l i bro, l os dos cuadrados
adyacentes coi nci den uno sobre el otro. En otras pal abras, Ia l nea dobl e
del centro acta como un espejo
ya que cada cuadrado es adyacente, no
sol amente con sus cuatro cuadrados veci nos, si no con su i magen de es-
pej o. Por ej empl o, el trmi no mni mo 31 en el mapa de 5 vari abl es es ad-
yacente a l os trmi nos mni mos 30, 15, 29,23 y 27. El mi smo trmi no mni mo
en el mapa de sei s vari abl es es adyacente a todos esos trmi nos mni mos
ms el trmi no mni mo 63.
84
L
0 3 2 6
,7
5 4
8 9 u t 0 l 4 l 5 l 3 l 2
a 1
l 5 27 26 30 J I 29 i 6
l 6 1 7 t 9 1 8 22 l . t 2 l 20
48 49 5 l 50 5 4 ) f 5 3 52
)t) 5 7 59
5 8 o
63 6 1 6 0
40 4 l 43
/ 1
46
1
45
44
1 Z )-) 35 34 38 39 37 -to
http://libreria-universitaria.blogspot.com
Tabl a 3-l La rel aci n entre el nmero de cuadrados adyacentesy el nmero
de l i teral es en el trmi no
1
p
iF
IF
li
Nmero
de
cuadrados
adyacentes
Nmero de literales de un trmino en un
mapa de n variables
2k n- 2 n: 3 n: 4 n: 5 n=6 n: 7
0
I
2
5
4
5
6
I
2
4
8
l 6
32
g
1
I
0
4
3
2
I
0
5
4
3
2
I
0
6
5
4
2
I
0
7

5
4
J
2
I
2
I
0
-
Por i nspecci n y teni endo en cuenta l a nueva defi ni ci n de cuadrados
adyacntes, es posi bl e
mostrar que
cual qui er 2h cuadrados adyacentes
par a
f t : O, 1, 2, . . . , n, en un mapa de n var i abl es, r epr esent an una r ea
para
un trmi no de n-& l i teral es.
para
que l a afi rmai n anteri or tenga
al gun si gni fi cado, n debe ser mayor que
fr. cuando n:h el rea total d"el
mapa se combi na para
dar una funci n de i denti dad. La Tabl a B-1 muestra
l a rel aci n entre el nmero de cuadrados adyacentes y el nmero de l i te-
ral es en el trmi no. Por ej empl o, ocho cuadrados adycentes se combi nan
en- una rea del mapa de ci nco vari abl es para
dar un trmi no de dos l i te-
ral es.
EJEMPLO
3-Z: Simplificar la funcin de Boole:
F( A, B, C, D, E) :
>( 0, 2, 4, 6, 9, l l , 13, 15, 17, 21, 25, 27, 29, 31)
-
El mapa de ci nco vari abl es de esta funci n, se muestra en
l a Fi gura 3-13. cada trmi no mni mo se convi erte a un nmero
binario equivalente y los unos se marcan en sus cuaclrados co-
rrespondi entes.
Es necesari o ahora encontrar combi naci ones de
cuadrados adyacentes que resulten en la mayor rea posible.
Los cuatro cuadados en el centro del mapa de la mitad erecha
se reflejan a travs de la lnea doble y se combinan con los cuatro
cuadrados en el centro del mapa de l a mi tad i zqui erda, para
dar
ocho cuadrados adyacentes permi si bl es
equi val entes al
-trmi no
BE. Los dos unos en la fila inferior son el ieflejo entre s con res-
pecto
a la lnea del centro. combinndolos
con los otros dos cua-
dados adyacentes, se obti ene el trmi no AD,E. Los cuatro unos
e-n la fila superior son todos adyacentes y pueden
ser combina-
dos para
dar el trmi no A' B' E' . La funci n si mpl i fi cada es:
F: BE + AD, E + A, B, E,
85
http://libreria-universitaria.blogspot.com
\ - D
E
3- 5 SI MPLI FI CACI ON
DE UN PRODUCTO
DE SUMAS
Las funci ones
de Boore
mi ni mi zadas,
deri vadas
del mapa en l os ej empl os
anteriores
fueron expresadas
en la forma de suma a" piou"tos.
con una
pequea
modi fi caci n
se puede
obtene el producto
e' *rnu..
El procedi mi ento
para
obtener
una funci n mi ni mi zada
en producto
* :"q"r
se desprende-
de las propiedades
bsicas
de las funciones
de
Bool e. Los unos col ocados
en l os cuadrados
del ;";pi se' ta'
ros tr-
mi nos
mni mos de l a funci n. Los trmi nos
mni mos no i ncrui dos
en Ia
funci n
denotan el compremento
de una funci .
t
;; i "p."."ntr'
en un
mapa por
cuadrados
no marcados por
unos. si au -"ra"., los cuadrados
vacos
con ceros y se combi .,utr
.n cuadruo, atr;;;;r""l i dos,
se ob-
ti ene una expresi n si mpl i fi cada
del compl ement
de l a funci n es deci r
de F' .
.El
compl emento
de F' dar de nuevo l a funci n F. Debi do al teorema
general i zado
de De Morgan
el producto
as obteni do qr",
automti ca-
mente en l a fornra de producto
de sumas. La mej or -"rr"ru-"
mostrar esto
es medi ante
un ej empl o.
EJEMPI,-O
B-8; Si mpl i fi car
l a si gui ente
funci n de Bool e
en (a)
suma de productos y (b)
product
de sumas.
F(A, B, C, D)
:
>(0, l . 2, 5,
g,
9, l 0)
Los unos marcados.en
el mapa de l a Fi gura
B_14 represenran
to_
dos l os trmi nos mni mos
de l a funci n.
*Los
cuadi ados marcados
con ceros representan
l os trmi nos
mni mos no i ncl ui dos
en F y
por
tanto denotan el compl emento
de F. combi nando
l os cuadra_
dos con unos se obtendr
una funci " .i ,opti n."u
r.r suma de
productos:
Fi gura 3-13 Mapa del Ej empl o B-7; F(A, B, C, D, E)
:
>( 0, 2, 4, , 9, l l , 13, 15, 17, 2t , 25, 2t , 29, 3t )
=
BE + AD, E + A, B, E,
B6
( a) F: B' D' + B, C, + A, C, D
http://libreria-universitaria.blogspot.com
t-,-]
;l 0
F
r--+
l 0l
._
0 OI
I E
I
3.1
CD
/B -
!9-- e.l
00
C
-l r
t o-
E
'
I
fl
F
$
t
:j
il
I.
I
0
I'
^ \
i]
l
I
i n
D
Fi gur a 3- 14 Mapa del Ej empl o 3' 8; F( A' B' C, D\ :
>(0, l , 2, 5, 8, 9, l 0)
:
B' D' + B' C' + A' C' D
:
(A' + B' XI C' + D' )<B' + D)
si se combi nan l os cuadrados marcados con ceros, como se mues-
tra err el di agrama, se obti ene l a si gui ente funci n si mpl i fi cada
de compl emento:
F, : AB + CD + BD,
Apl i cando el teorema de De Morgan
(sacndol e el dual
y compl e-
mentando cada l i teral de l a manera descri ta en l a Secci n 2-4),
se obti ene una funci n si mpl i fi cada en producto de sumas:
( b) r : ( A' + B' ) ( C' + D' ) ( B' + D)
La ej ecuci n de l as expresi ones si mpl i fi cadas obteni das en el Ej empl o
3-8 se muestran en l a Fi gura 3-15. La expresi n de l a suma de productos
se ejecuta en
(a) con un
grupo de compuertas AND una para cada trmino
ANb. Las sal i das de IaJ compuertas AND se conectan a l as entradas de
una compuerta oR. La mi sma funci n se ej ecuta en
(b)
en l a forma de pro-
ducto de sumas con un
grupo de compuertas OR, una
para cada trmi no OR'
Las sal i das de l as compuertas OR se conectan a l a$ entradas de una com-
puerta AND senci l l a. En cada caso se asume
que l as vari abl es de entrada
i l "gutt en forma de compl emento
de tal manera
que no se necesi tan i nverso-
tu"l El patrn de configuracin establecido en la Figura 3-15 es la forma ge-
neral por medi o de l a cual se ej ecuta cual qui er funci n de Bool e.Una vez
"*p.".da
en una de las formas normalizadas las compuertas
AND se co-
nectan a una compuerta OR en el caso de suma de productos; l as compuer-
tas OR se conectan a una sol a compuerta AND en el caso de producto de
sumas. Cual qui era de l as dos confi guraci ones
forman dos ni vel es de com-
puertas. As, l a ej ecuci n de una funci n en l a forma normal i zada se di ce
que es una ej ecuci n de dos ni vel es.
El Ej empl o 3-8 muestra el procedi mi ento para obtener l a si mpl i fi ca-
ci n del
producto de sumas cuando l a funci n se expresa ori gi nal mente
en l a suma de trmi nos mni mos de l a forma canni ca. El procedi mi ento
es vl i do cuando l a funci n se expresa ori gi nal mente en el
producto de
87
http://libreria-universitaria.blogspot.com
-
B'
D'
D
( a)
F
- . . . 8' D'
- B' C'
:
A' C' D l h r F
-
t A '
I
B ' t t ( " t l ) t . [ ] D t
Fi gura 3-15 Ej ecuci n con compuert as de l a f unci n del Ej empl o 3-8
Tabl a 3-2 Tabl a de verdad de l a f unci n F
trmi nos mxi mos de Ia forma canni ca. Cnsi drese por ej empl o Ia tabl a
de verdad que
defi ne l a funci n F en l a Tabl a 3-2. En suma de trmi nos
mni mos esta funci n se expresa as:
F( * , y, z)
:
) ( 1, 3, 4, 6)
Como producto de trmi nos mxi mos se expresa as:
F( r , y, z ) : f I ( 0, 2, 5, 7)
En otras pal abras l os unos de Ia funci n representan l os trmi nos mni -
mos y l os ceros representan l os trmi nos mxi mos. El mapa de esta funci n
se di buj a en l a Fi gura 3-16. Se puede si mpl i fi car esta funci n marcando
y?
I '
00 0l
0 I
0
0 I
A '
B'
, ; ,
I
0
f
1 1 l
I
1
88
Fi gura 3-16 Mapa de l a f unci n de l a Tabl a 3-2
http://libreria-universitaria.blogspot.com
sEc. 3-6 EJECUCI ON CON NAND Y NOR 89
pri mero l os unos para cada trmi no mni mo en que l a funci n sea 1. Los
cuadrados restantes se marcan como ceros. Si por otra parte se da i ni ci al -
mente el producto de trmi nos mxi mos se puede comenzar marcando ceros
en aquel l os cuadrados que comprende Ia funci n; l os cuadrados restantes
se marcan con unos. Una vez que se hayan marcado l os unos y l os ceros,
l a funci n puede ser si mpl i fi cada en cual qui era de l as dos formas norma-
l i zadas. Para l a suma de productos se combi nan l os unos para obtener:
F: x' z * xz'
Para el producto de sumas se combi nan l os ceros para obtener l a funci n
si mpl i fi cada del compl emento:
F' : xz * x' z'
l o cual muestra que l a funci n oR-excl usi va es el compl emento de l a funci n
de equi val enci a
(Secci n
2-6). Tomando el compl ement o de F' se obt i ene
l a funci n si mpl i fi cada en producto de sumas:
p :
(x, . * z' )(x + z)
Para col ocar una funci n expresada en producto
de sumas en el mapa, se
saca el compl emento de l a funci n y de el l a se buscan l os cuadrados que
se van a marcar con ceros. Por ej empl o, Ia funci n:
F: ( A' + B, + C) ( B + O)
puede
col ocarse en el mapa obteni endo pri mero
su compl emento:
F, : ABC, + B, D,
para l uego marcar con ceros l os cuadrados que representan l os trmi nos
mni mos de F' . Los cuadrados restantes se marcan con unos.
3- 6 EJECUCI ON CON NAND Y NOR
Los ci rcui tos di gi tal es se construyen ms frecuentemente con compuertas
NAND y NOR que con compuertas AND y OR. Las compuertas NAND y
NOR son ms fci l es de fabri car con compuertas el ectrni cas y son l as com-
puertas bsi cas usadas en todas l as fami l i as de CI l gi co di gi tal es. Debi do
a l a i mportanci a de l as compuertas NAND y NoR en el di seo de ci rcui tos
di gi tal es se han desarrol l ado regl as y procedi mi errtos para l a conversi n de
funci ones de Bool e en trmi nos de AND, OR y NOT a di agramas l gi cos
equi val entes en NAND y NoR. El procedi mi ento para l a ej ecuci n en dos
ni vel es se presenta
en esta secci n. La ej ecuci n en mul ti ni vel es se di scu-
ti r en l a Secci n
4-7.
Para faci l i tar l di onversi n a l gi ca NAND
v
NOR es conveni ente defi -
ni r otros dos smbol os grfi cos para estas compuertas. En l a Fi gura 3-1?(a)
se muestran dos smbol os equi val entes para l a compuerta NAND. El smbol o
AND i nversor ha si do defi ni do preci samente y consi ste en un smbol o gr-
fi co AND segui do de un pequeo crcul o. En vez de l o anteri or es posi bl e
http://libreria-universitaria.blogspot.com
F
=
(xt , z)'
AND-inversor
\ a)
l nversor-OR
Dos smbolos grficos para la compuerta \A\D
F = ( - r * - l + z ) ' I
=
' r ' : ' =
( . r
* t , * z ) '
AND-inversor
(b)
Dos smbolos grficos para la compuerta NOR
J _ {
Separador-inversor
AND-inversor OR-inversor
' ,
(c)
Tres smbolos grcos para un inversor
figura 3-17 Smbolos grficos para las compuertas NAND

). _ -.
representar una compuerta NAND por
medio de un smbolo gra:-:.-.,
oR pre-
cedi do de pequeos
crcul os en todas l as entradas. El smboi c, :r..-ersor-oR
para la compuerta NAND se deduce a partir
del teorema de De \lorgan y
de l a convenci n de que pequeos
crcul os denotan compl emen!acron.
De manera si mi l ar, hay dos smbol os grfi cos para 1a compuerta NoR
como se muestra en l a Fi gura 3-17(b). El i nversor OR es el smi rr:,l o conven-
ci onal . El i nversor AND es una al ternati va conveni ente que
urrl i za el teo-
rema de De Morgan y l a convenci n de pequeos
crcul os en Ias entradas
que
denotan compl ementaci n.
Una compuerta NAND o NOR de una entrada se comporra como un
i nversor. Como consecuenci a una compuerta i nversor puede cet-l ni rse de
t r es maner as di f er ent es como se muest r a en l a Fi gur a 3- 1?( cr . Los c r cul os
pequeos
en todos Ios smbol os de i nversor pueden
trasferi rse al termi nal
de entrada si n cambi ar l a l gi ca de l a compuerta.
se debe resal tar que
l os smbol os al ternos para l as compuertas NAND
y
NoR deben di buj arse con pequeos
tri ngul os en todas l as termi nal es
de entrada en vez de l os crcul os. un pequeo tri ngul o es un i ndi cador
de l a pol ar i dad
de I a l gi ca negat i va ( ver
Secci n 2- 8 y Fi gur a 2- 11) . Con
pequeos
tri ngul os en l os termi nal es de entrada, el smboi o grfi co de-
nota una pol ari dad
de l gi ca negati va para l as entradas, pero i a sal i da de
l a compuerta (un
tri ngul o) debe tener una asi gnaci n de l gi ca posi ti va.
En este l i bro, se prefi ere
usar l a l gi ca posi ti va y empl ear pequeos crcul os
cuando sea necesari o con el fi n de denotar compl ementaci n.
Ej ecuci n con NAND
La ej ecuci n de una funci n de Bool e con compuertas NAND requi eren que
l a funci n sea si mpl i fi cada en l a forma de suma de productos. Para ver l a
90
OR-inversor
http://libreria-universitaria.blogspot.com
f
T
I
' d
. o q
F
@
h!
\ q
U
Q
l
\ a u Q
k
9 l
http://libreria-universitaria.blogspot.com
r.s
92 SI MPLI FI cAcI oN DE LAS FUNcI oNES DE BooLE
CAP. 3
rel aci n entre una expresi n de suma de productos y su ej ecuci n equi va-
l ente en NAND, consi drense l os di agramas de l gi ca i bu;ados n l a
Fi gura 3-18. Todos l os tres di agramas son equi val entes y ej ecutan l a funci n:
F: AB+CD+E
La funci n se ej ecuta en l a Fi gura 3-18(a) en l a forma de suma de produc-
tos con compuertas
-o_I
v
AND. En (b)
l as compuertas AND se rempl azan
por
compuertas NAND, y la compuerta
oR se remplaza por la compuerta
NAND con un smbol o i nversor
oR. La vari abl e E por
s sol a se compl emen-
ta y
se apl i ca a l a compuerta i nversor
oR del segundo ni vel . se dee tener
en cuenta que
un pequeo
crculo denota complementacin.
As, dos cculos
en l a mi sma l nea representan
dobl e compl ementaci n y ambos pueden
anu-
larse. El complemento de.E pasa por_un pqueo
crculo ro cual cbmple-"iu
l a vai abl e de una vez ms para produci r
ei val or normal de E.
eui i an;
i o;
crcul os pequeos
en l as compuertas de l a Fi gura B-1g(b) se-p.oduce
ei
ci rcui to en (a).
As, l os dos di agramas ej ecutan l a mi sma funi n y son
equi val entes.
En l a Fi gura 3-18(c), l a compuerta NAND de sal i da se puede redi buj ar
con su smbol o convenci onal . La compuerta NAND de una sol a entrada
compl ementa l a vari abl e E. Es posi bl e qui tar
este i nversor y apl i car E,
di rectamente a l a entrada de l a compuerta NAND de segundo ni vel . El
di agrama en (c)
es equi val ente al de (b)
el cual es equi val ente a su turno
al di agrama (a).
Las compuertas AND y
oR han si do cambi adas a compuer-
tas NAND con una sol a vari abl e E. cuando se di buj an l os di agrams en
l gi ca NAND son aceptabl es (b)
o
(c).
El di agrama de l a fi gura (,
si n em-
bargo, representa una relacin ms directa u I" u*pre.in d]e Boole'que eje-
cuta.
.
La ej ecuci n con. NANP,9n l a Fi gura B-1g(c) puede veri fi carse al ge-
brai camente. La funci n NAND que sJ ej ecuta p,r"d. ser converti da fci l -
mente a una forma de suma de productos
mediante el uso del teorema de
De Morgan.
P: l ( AB) '
. ( CD) ' .
8, ) ,
:
AB + CD + E
De l a trasformaci n mostrada en l a Fi gura B-1g se concl uye que l a fun-
cin de Boole puede
ejecutarse con dos niveles de compuertas AND. La
regla para obtener el diagrama de lgica NAND a partii
de una funcin de
Bool e es de l a si gui ente manera:
1. si mpl i fi car l a funci n de Bool e y
expresarl a en suma de productos.
2. Dibujar una compuerta NAND por cada trmino del producto
de la
funcin que tenga por lo menos dos literales. Las entradas a cada
compuerta NAND son l os l i teral es del trmi no. Lo anteri or consti -
tuye un grupo
de compuertas de primer nivel.
3. Di buj ar una compuerta NAND en el segundo ni ver, (usando
el sm-
bolo grfico
de inversor AND o inversor oR con las entradas que
provienen
del primer nivel de compuertas.
4. un trmi no con un sol o l i teral requi ere un i nversor en el pri mer
ni -
.-
vel o ser complementado primero y aplicado como entrda a una
\ compuerta NAD del segundo nivel.
L
http://libreria-universitaria.blogspot.com
sEc. 3-6
EJECUCI ON CON NAND Y NOR 93
Antes de apl i carse estas regl as a un ej empl o especfi co, debe menci onarse
que hay una segunda forma de ejecutar una funcin de Bo<lle con compuer-
tas NAND. Recurdese que si se combi nan l os ceros en un mapa, se obti ene
l a expresi n si mpl i fi cada del compl emento de l a funci n en suma de pro-
ductos. El compl emento de l a funci n puede ej ecutarse con dos ni vel es de
compuertas NAND usando l as regl as establ eci das anteri ormente. Si se
desea una sal i da normal . debe ser necesari o col ocar una NAND de una
entrada o compuerta i nversor para generar el val or verdadero de Ia vari a-
bl e de sal i da. Hay ocasi ones cuando el di seador qui ere generar el compl e-
mento de l a funci n para l as cual es este mtodo es ms aconsej abl e.
EJEMPLO 3-9.' Ej ecutar l a si gui ente funci n con compuer-
t as NAND:
F(t , y, z)
:
)(0, 6)
El pri mer paso es si mpl i fi car l a funci n en l a forma de suma de
productos. Esto se l ogra con el mapa mostrado en l a Fi grrra 3-19(a).
Hay sol amente dos unos en el mapa y no pueden combi narse. La
funcin simplificada para este ejemplo en suma de
productos es:
F: x' y' z' * xyz'
La ej ecuci n con NAND con dos ni vel es se muestra en l a Fi gura
3-19(b). En segui da se trata de si mpl i fi car el compl emento de l a
funci n en suma de productos. Esto se hace combi nando l os ceros
en el mapa:
F' : x ' y+r y ' * z
Las compuertas NAND con dos ni vel es, para generar F' , se mues-
tran en l a Fi gura 3-19(c). Si se requi ere l a sal i da F, es necesari o
agregar una compuerta NAND de una sola entrada
para inverti
l a funci n. Esto dar una ej ecuci n de tres ni vel es. Se asume que
l as vari abl es de entrada se pueden obtener en l as formas norma-
l es y de compl emento. Si sl o se obti enen en una forma ser nece-
sari o col ocar i nversores en l as entradas, l o cual agregara otro
ni vel a l os ci rcui tos. La compuerta NAND de una sol a entrada
asoci ada con l a sol a vari abl e z puede el emi narse en el caso de que
l a entrada se cambi e a e' .
Ej ecuci n con NOR
La funci n NOR es el dual de l a funci n NAND. Por esta raz6n, todos l os
procedimientos y reglas para la lgica NOR son el dual de los correspondien-
tes procedi mi entos y regl as desarrol l adas
para l a l gi ca NAND.
La ejecucin de una funcin de Boole con compuertas NOR requiere
que la funcin se simplifique en la forma de producto de sumas. Una expre-
sin de producto de sumas especifica un grupo de compuertas OR
para la
http://libreria-universitaria.blogspot.com
I 0 0 0
0 0 0
t'z
Y0 0
j '
0 l l t i 0
0
" { '
F
=
r ' j J
z' * x! . 2'
F- '
=
x' . v *, r r " # :
\_YJ
( a)
Si mpl i f i caci n
del mapa en suma de pr oduct os.
.X
_f
Fi gura s-19 Ej ecuci n de l a f unci n del Ej empl o
3-9 con compuert as
\ o-y
suma
de tminos,
seguida
de una compu_erta
AND para generar
el produc-
to. La trasfomaci n
der di agrama
o[-' eNo
ar No-o' l
re di buj a en l a
Fi gura
3-20. Es si mi l ar
a l a i rasfor""i "
NAND di scuti da
anteri ormente
excepto que
ahora se usa l a expresi n
de suma de productos:
F: ( A + B) ( C + D) E
r-a-regl a para
obtener
el di agrama
l gi co NoR de una funci n
de Bool e
puede
deri varse
de esta trasformci n.
EI .i -i l ;;;;l .i r"
NexD de tres
pasos
con l a di ferenci a.
de
.que
l a expresi n
si mpl i fi cada
.du
.rru. en pro-
ducto de. sumas y l os trmi nos
de l a.
"ornp.r"rtas
NoR de pri mer
ni ver son
l os trmi nos
de suma. un trmi no
"ort.r.,' .ol o
ri teral i l q"i !r"
una NoR de
( b)
F
=
- r ' . r , ' - - ' * . r r ' : '
A
B
C
F
D
f : '
( a )
Figura
3-2O Tres
{ c ) 1 . ' = ' . r ' * x , l ' * :
A
B
C
F
D
E
( h )
r c r
maneras
de ej ecut ar F: (A
+
B)(C
+ DrE
n
p
C
94
http://libreria-universitaria.blogspot.com
sEc. 3- 6
( ) F+ ( x
+ 1 t 1 x ' + y ) z '
r '
EJECUCI ON CON NAND Y NOR
(b) F' =
( +
-r'
+ z)
(x' * r"
+ z)
Ej ecuci n con compuer t as
NOR
una sol a entrada,
o compuerta
i nvesora,
o er compl ementada
y apl i cada
i i ""t"to""te
a l a compu;rta
NOR de segundo
ni vel '
una segund"
;":";; ; ejecutar
la funcin con compuertas
NOR
po-
dra ser el usar f"
".".1"
para el complemento
de la funcin
""
li:91:l:
" ."r""r. Esto dar una ej ei uci n
de dos ni vel es
para F' - y una eJecucron
" i *"
"i tJ". "n
el caso d necesi tarse
l a sal i da F normal '
Par aobt ener el pr oduct odeSumas si mpl i f i cadoapar t i r deunmapa'
es necesari o
combi nai
l os ceros en el mapa
y l uego compl ementar
Ia fun-
ci n.
para
obtener
i a erpre.i n
en producto de sumas si mpl i fi cadas
para
;i ' ;;;pl ;;ento
de l a funci n, es necesari o combi nar
l os unos en el mapa
y l uego compl emen;
I" funci r,.
El si gui ente
ej empl o
demuestra
el
pro-
cedi mi ento
para una ej ecuci n con NOR'
EJEMPL| S- 10: Ej ecut ar l af unc i ndel Ej empl o3- 9con
compuertas
NOR.
El *; ; ' ; ;
est a t unci n
se di buj a en l a Fi gura 3-19(a).
Pri -
mero, se U"n combi nar
l os ceros en el mapa
para obtener:
F' : x' yr r y ' 12
Este es el compl emento
de l a funci n
en suma de
productos' Se
compl emen
i :i pur" obtener
l a funci n
si mpl i fi cada
en
producto
des umas del amaner anec es ar i apar al aej ecuc i nc onNoR:
F:
(x + y' )(x' * y)z'
La ej ecuci n
de dos ni vel es con compuetas.NOR
se muestra
en
l a Fi grrra 3-21(a).
El trmi no
con un sol o l i teral z, requi ere una com.
prrt" Nn d"' ""a
sol a entrada
o compuerta
i nversora.
Esta com-
puerta
puede quitarse
Paraplicar
directamente
la entrada z a la
fntrada
de la ompuert-a
NOR de segundo nivgl'
u"" ."g";;-?or-u
de ej ecuci .,
e.
pori bl e a parti r de l a fun-
ci n en
prducto de surnas'
Para este caso combnese
pri mero
l os unos en el mapa con el fi n de obtener:
F: x' y' z' * xYz'
v
Fi gura 3-21
http://libreria-universitaria.blogspot.com
t -
Tabla 3-3 Reglas para la ejecucin con NAND
v NOR
Funci n a Forma normal
Caso si mpl i f i car de usar
Como
deri varl a
Nmero
de
Ej ecut arse ni vel es
con de F
(a)
(b)
(c)
(d)
F
F'
F
F'
Suma de productos
Suma de productos
Producto de sumas
Producto de sumas
Combi ne l os unos en el mapa NAND
Combi ne l os ceros en el mapa NAND
Compl emente F' en
(b)
NOR
Compl emente F en
(a)
NOR
2
J
2
J
Esta es l a expresi n si mpl i fi cada en suma de productos.
Se com-
pl ementa esta funci n para obtener el compl emento de Ia funci n
en
producto
de sumas que
es la forma requerida para la ejecucin
con NOR:
F' : ( x t y * z ) ( . x , * y
La ej ecuci n de l os dos ni vel es para
3- 21( b) . Si se desea l a sal i da F, est a
i nversor en el tercer ni vel .
La Tabla 3-3 resume los procedimientos
para la ejecucin con NAND
y NoR, no se debe ol vi dar si mpl i fi car l a funci n corr el fi n de reduci r el
nmeo de compuertas en la ejecucin de funciones. Las formas normali-
zadas obtenidas de los procedimientos
de simplificacin p<)r mapas se
apl i can di rectamente y son muy ti l es cuando se est ti abai ano con
l gi ca NAND o NOR.
3- 7 OTRAS EJECUCI ONES
CON DOS NI VELES
Las clas-es de compuertas ms encontradas a menudo en circuitos integra-
do_s-1o1 las NAND y
NoR. Por esta razn,las ejecuciones de lgica Neo
y
NoR son las ms importantes
desde er punto
de vista prctio.
Algunas
compuetas NAND y NoR (pero
no todas) permiten
la posibilidad
d una
conexin entre las salidas de las dos compuertas para prducir
una funcin
lgica especfica. Este tipo de lgica se llma lgica d cableado.
por
ejem-
plo, las compuertas NAND TTL de colector aierto, una vez conectadas
juntas
producen
la lgica AND de cableado. (La
compuerta TTL de colector
abi erto se muestra en el Captul o 18, Fi gura 1g-11). i a l gi ca AND cabl eada
ej ecutada con dos compuertas NAND i e i l ustra en l a' Fi gura B-22(a). La
compuerta AND se dibuja con las lneas de entrada atraiesando la com-
puerta
hasta el centro para distinguirla
de una compuerta comercial. La
compuerta AND cableada no es una compuerta fsica sino solamente un
smbolo_para
designar la funcin obtenida de la conexin cablead" qu"
."
i ndi ca. La funci n l gi ca ej ecutada por er ci rcui to de l a Fi gura B-22(a) es:
* z )
F' se muestra en l a Fi gura
puede
ser generada
con un
96
P: ( AB) '
. ( CD) ' :
( AB + CD) '
http://libreria-universitaria.blogspot.com
F=( AB+CD) '
(a)
AND-cabl eado en compuertas NAND
TTL de col ector abi ero
(AND.OR
INVERSOR)
AND-OR
NAND-NAND
NOR. OR
OR-AND
F=t G+B) ( C+D)
( b)
OR- cabl eado en compuer t as ECL
( OR. AND
I NVERSOR)
OR-AND
NOR- NOR
NAND-AND
AND. OR
r

,
i t
!-
Fi gura 3-22 Lgi ca de cabl eado
y se l l ama una funci n AND-OR i nversor (o
i nverti da).
De manera si mi l ar l a sal i da NoR de l as compuertas ECL pueden
uni rse
tcdas para
conformar una funci n cabl eada oR. La funci n l gi ca ej ecutada
por el ci rcui to de l a Fi gura 3-22(b) es:
r
:
( A + B) , + ( C + D) ,
: l ( A
+ B) ( C + D) 1,
y se l l ama funci n (OR-AND)
i nversor (o
i nverti da).
,
una compuerta de l gi ca al ambrada no produce
una compuerta fi si ca
de segundo ni vel ya que se trata sol amente de una conexi n. si n embar-
go, para propsitos
de discusin se consideran los circuitos de la Figura
3-22 como ej ecuci ones de dos ni vel es. El pri mer
ni vel consi ste en compuer-
tas NAND (o
NoR) y el segundo ni vel ti ene una compuerta senci l l a Nn
(u
oR). La conexi n cabl eada del smbol o grfi co
se omi ti r en l as di scu-
si ones subsi gui entes.
For mas no degener adas
Es i nstructi vo desde el punto
de vi sta teri co encontrar cuantas combi -
naci ones de compuertas de dos ni vel es son posi bl es.
Se consi deran cuatro
ti pos de compuertas: AND, OR, NAND y NOR. Si se asi gna un ti po de com-
puertas para el pri mer ni vel y
uno para
el segundo se encuentra que
exi s-
ten 16 combinaciones posibles
de formas de dos niveles. (El
mism tipo de
compuerta puede
estar en el primer y segundo niveles como en utta ec.r-
ci n con NAND-NAND). ocho de estas funci ones se l es l l ama formas de-
generadas.
Esto puede
verse de un circuito con compuertas
y
en el primer
ni vel y
una compuerta Y en el segundo ni vel . La sal i da del ci rcui to ei si m-
plemente
la funcin Y de todas las variables de entrada. Las otras ocho
formas no degenerados producen
formas de ejecucin en suma de produc-
tos o producto
de sumas. Las ocho formas no degeneradas son:
97
http://libreria-universitaria.blogspot.com
.'t\sr
98 SI MPLI FI CACI ON DE LAS FUNCI ONES DE BOOLE CAP. 3
La pri mera compuerta de cada una de l as formas l i stadas consti tuye el
pri mer ni vel de l a ej ecuci n. La segunda cgmpuerta de l a l i sta es una sol a
compuerta col ocada en el segundo ni vel . Ntese que cual qui er par de for-
mas de l a l i sta son dual es entre s.
Las formas AND-OR
y
OR-AND son l as dos formas bsi cas de dos ni -
vel es di scut i das en l a Secci n 3-5. Las NAND-NAND y NOR-NOR se i n-
troduj eron en l a Secci n 3-6. Las cuatro formas restantes se i nvesti gan en
esta secci n.
Ej ecuci n con AND- OR i nver t i da
La dos formas NAND-AND y AND-NOR son formas equi val entes y pueden
ser tratadas conj untamente. Ambas real i zan l a funci n AND-OR i nverti da
de l a manera mostrada en l a Fi gura 3-23. La forma AND-NOR se parece a
l a forma AND-OR con una i nversi n hecha
fror
un pequeo crcul o a l a sa-
l i da de l a compuerta NOR. Esta ej bcuta l a funci n:
F: ( AB + CD + E) '
Usando el smbolo grfico alterno
para
la compuerta NOR se obtiene el
di agrama de l a Fi gura 3-23(b). Ntese
1ue
l a sol a vari abl e E no es compl e-
mentada porque el nico cambio hecho ps
el smbolo grfico de la compuerta
NOR. Se trasl adan l os crcul os del termi nal de entrada de l as compuertas
de segrrndo nivel a los terminales de salida de las compuertas del primer
ni vel . Se necesi ta sol amente un i nversor para que l a sol a vari abl e mantenga
el crcul o. Otra al ternati va es qui tar el i nversor si empre y cuando l a entrada
E est compl ementada. El ci rcui to de Ia Fi gura 3-23(c) es una forma NAND-
AND, se muestra en l a Fi guraS-22 con el fi n de ej ecutar l a funci n AND-OR
i nverti da.
Una ej ecuci n con AND-OR requi ere una expresi n en suma de produc-
tos. La ej ecuci n con AND-OR i nverti da es si mi l ar excepto por l a i nversi n
(negado).
Por tanto, si el compl emento de una funci n se si mpl i fi ca en suma
de productos (combi nando
l os ceros en el mapa), es posi bl e ej ecutar F' con
l a parte AND-OR de l a funci n. Cuando F' pase por l a i nversi n de sal i da
si empre presente, se generar l a sal i da F de l a funci n. Un ej empl o de una
ej ecuci n con AND-OR i nverti da se mostrar ms adel ante.
Ej ecuci n con OR
- AND
i nver t i da
Las formas OR-NAND
y NOR-OR real i zan l a funci n OR-AND i nverti da
como se muestra en l a Fi gura 3-24. La forma OR-NAND se parece a l a for-
ma OR-AND excepto por l a i nversi n hecha por el crcul o en l a compuerta
NAND. El l a ej ecuta l a funci n:
F
: l ( A
+ B) ( C + D) E) ' ,
Medi ante el uso de un smbol o grfi co al terno para l a compuerta NAND
se obti ene el di agrama de l a Fi gura 3-24(b). El ci rcui to en
(c)
se obti ene
moviendo los crculos pequeos de las entradas de la compuerta de se-
http://libreria-universitaria.blogspot.com
z
z
z
I
Q
-1-
l\
z. =
zY
^z
--
O
N
u0
z
z
(!
I A
v \
:kffi
v \

l l
, : i
;l
rl
; !
t l
{ 1
fi
IJ
I
J
I
99
http://libreria-universitaria.blogspot.com
+
z: -
i a
+
U
+
-
E
49
z
xz

O
$
N
r
D'
z ?
z
too
http://libreria-universitaria.blogspot.com
s Ec. 3- 7
OTRAS EJECUCI ONES CON DOS NI VELES I OI
gundo nivel a las salidas de las compuertas de
primer nivel. El circuito de
[a Figura B-24(c) en una forma NOR-OR se muestra en la Figura 3-22
para
ej ecutar l a funci n OR-AND
i nverti da.
La ejecucin OR-AND invertida requiere una expresin en producto de
sumas. Si el complemento de la funcin se simplifica en producto de sumas
se puede ej ecutar F' con l a parte OR-AND de l a funci n. Una vez que F' oase
poi ta parte de i nversi n se obti ene el compl emento de F' osea F al a sal i da.
Tabl a sumar i o
Y
ej emPl o
La Tabl a 3-4 resume l os procedi mi entos para l a ej ecuci n de funci ones de
Boole en cualquiera de las cuatro formas de dos niveles' Debido a la parte
de INVERSION, en cada caso es conveni ente usar Ia si mpl i fi caci n F'
(el
compl emento) de l a funci n. Cuando se ej ecuta F' en una de estas formas
." oLti "tr" el compl emento de l a funci n en l a forma AND-OR u OR-AND.
Las cuato formas de dos niveles invierten esta funcin dando una salida
que es el compl emento de F' . Esta l ti ma es l a sal i da normal F.
Tabla 3-4 Ejecucin con otras formas de dos niveles
Forma
equivalente
no degenerada
Ejecuta
l a
funci n
Simplifique Para obtener
F' una sal i da
en de
(a,l (b)*
AND-NOR
NAND-AND AND-OR-INVERTIDA
Sumadeproductos
combi nando l os
ceros en el mapa
OR-NAND NOR-OR OR-AND-INVERTIDA Productodesumas
combinando los unos
en el mapa y luego
compl ementando.
*La
forma
(b) requiere una compuerta NAND de una entada a una NOR
(inversor) para
el trmino de un solo literal.
EJEMPLO 3-11: Ej ectese l a funci n de l a Fi gura 319(a)
con las cuatro formas de dos niveles listados en la Tabla 3-4. El
compl emento de l a funci n se si mpl i fi ca en suma de productos
combinando los ceros del mapa:
F' : x' y* r y' * z
La sal i da normal de esta funci n
puede ser expresada como:
F
F: ( x' y * r y ' * z) '
http://libreria-universitaria.blogspot.com
)'
Z
-r
)'
z
AND- NOR
( a) F= ( - r ' r , * , r r ' ' * : ) '
OR. NAND NOR- OR
( b) . r :
=
[ ( " x
1-
. t '
* z) ( x' +
1'
+ : ) ) '
Fi gur a 3- 25 Ot r as ej ecuci ones de dos ni vel es
l a cual est en l a forma AND-OR i nverti da. Las ej ecuci ones con
AND-NOR y NAND-AND se muestran en l a Fi gura 3-25(a). Ntese
que una NAND de una entrada o compuerta i nversora se necesi ta
para l a ej ecuci n con NAND-AND, pero no en el caso AND-OR. El
i nversor puede el i mi narse si se apl i ca una vari abl e de entrada z'
en vez d,e z.
Las formas OR-AND i nverti da requi eren una expresi n si mpl i -
fi cada del compl emento de l as funci ones en producto
de sumas.
Para obtener esta expresi n se deben combi nar l os unos en el mapa:
F: x' y' z' *
r yz
En segui da se toma el compl emento de l a funci n:
F, : ( r * y * z ) ( x, +y , * z )
La sal i da normal F puede ahora expresarse en l a forma:
F: l ( x * y * z ) ( x ' + y ' + z) f ,
l a cual est en l a forma OR-AND i nverti da. A parti r de esta expre-
si n se puede ej ecutar l a funci n en l as formas OR-NAND y NOR-
OR como se muestra en l a Fi gura 3-25(b).
*
!a
ry
-*
NAND-AND
t o2
http://libreria-universitaria.blogspot.com
3- 8 CONDI CI ONES DE NO I MPORTA
Los unos
y ceros en el mapa si gni fi can l a combi naci n de vari abl es que
hacen l a funci n i gual a 1- 0 respect-i vamente. Las' combi naci ones se ob-
ti enen comnmente de una tabl a de verdad que l i sta l as condi ci ones baj o
l as cual es l a funci n es 1. Se asume que l a funci n sea i gual a cero baj o
cual qui er otra condi ci n. Esta suposi ci n no es si empre verdadera ya que
hay apl i caci ones donde ci ertas combi naci ones de vari abl es de entrada
nunca ocurren. Un cdi go deci mal de cuatro bi ts, por ej empl o, ti ene sei s
combi naci ones que no se usan. Cual qui er ci r cui t o di gi t al que use est e
cdi go, opera baj o l a suposi ci n de que esas combi naci ones no usadas
nunca ocurren, si empre y cuando el si stema est trabaj ando adecuada-
mente. Como resul tado, no i mporta l o que sea l a sal i da de l a funci n para
estas combi naci ones de vari abl es ya que se garanti za que nunca ocurri -
rn. Estas condi ci ones de no i mporta pueden usarse en un mapa para
l ograr una mej or si mpl i fi caci n de l a funci n.
Se puede hacer nfasi s en que l a combi naci n de no i mporta no puede
ser marcada con un 1 en el mapa ya que el l a i mpl i ca que l a funci n sea I
para esa combi naci n de entrada. De l a mi sma manera col ocar un cero re-
qui ere que l a funci n sea cero. Pra di ferenci ar l as condi ci ones de no i m-
porta de l os unos y ceros se usar una X.
Cuando se escogen cuadrados adyacentes, para si mpl i fi car l a funci n
en el mapa, se asume que l a X sea 1 0 segn l o que produzca l a expresi n
ms si mpl e. Adems, no se necesi t a usar l a X si est a no cont r i buye al cu-
bri mi ento de una rea mayor. En cada caso, l a al ternati va depende sol a-
mente de l a si mpl i fi caci n que se puede l ograr.
EJEMPLO 3-12: Si mpl i fi car l a funci n de Bool e:
F(w, x, y, z)
:
>( l , 3, 7, I l , 15)
y l as condi ci ones de no i mporta:
d(w, x, y, z)
:
>(0, 2, 5)
Los trmi nos mni mos de F son .l as combi naci ones de vari abl es
que hacen l a funci n i gual a 1. Los trmi nos mni mos de d son l as
combi naci ones de no i mporta que se conoce que nunca ocurren.
La mi ni mi zaci n se muest ra en l a Fi gura 3-26. Los t rmi nos m -
ni mos de F se marcan con unos y aquel l os de d se marcan con
una X y l os cuadrados restantes se l l enan con ceros. En
(a) l os
unos y l as X se combi nan de una forma conveni ente tal que se
abarque el mayor nmero de cuadrados adyacentes. No es nece-
sari o i ncl ui r todas o al gunas de l as X si no aquel l as que sean ti -
l es para l a si mpl i fi caci n de un trmi no. Una combi naci n que da
una funci n mni ma i ncl uye una X y dej a dos por fuera. Esto dar
como resul tado una funci n si mpl i fi cada en suma de productos.
F: w' z * y z
t 03
http://libreria-universitaria.blogspot.com
l l l 0
( a)
Combi nando unos y
X F: u' z
+, , 2
)1 ,f
ya
00
( b )
Co mb i n a n d o c e r o s y X
I ' : z ( u ,
l y )
F: w' x ' I y z
i gual a l a obteni da
en producto
de sumas
1 en l a pri mera
mi ni mi zaci n y
como cero
0 l
"yi
00 01
0(
t
I
l r ' 1
l l
{
r t
\
Fi gur a 8_26 Ej empl o con condi ci ones de no i mpor t a
En (b),
l os ceros se combi nan con cuarqui er X conveni ente para
si mpl i fi car
el . compl emento
de ra funci n. Los mej ores resul tados
se obti enen si se i ncl uyen l as X de l a manea mostrada. La fun-
ci n compl ementada
se si mpl i fi ca para
dar:
F' : z ' +wy '
compl ementndol a
de nuevo se obti ene una funci n si mpl i fi cada
en producto
de sumas:
F: z( w, * y )
.
Lu! dos expresi ones obteni das
en el
Ej empl o
3-12 dan dos funci ones,
l as cual es se pueden
demostrar
como al gebrui "u**
i guutur. Este no es
si empre
el caso cuando i ntervi enen
cond*i ci ones
d"
";
i i rporta.
De hecho,
si una X se usa como 1, cuando se combi nan l os unos
"
;;" 0 cuando se
combi nan
l os ceros, l as dos funci ones
resul tantes
,ro prou.i rn
respues-
tas i gual es al gebrai camente.
La sel ecci n
de l a condi i i o"' ae
no i mporta
qomg 1 en el pri mer
caso y
como 0 en el segundo, resurta en expresi ones
de di ferentes trmi nos
mni mos y por
tanto en di ferentes f' unci ones.
Esto puede
verse del Ej empl o 3-12.
-En' l a
sol uci n
del mi smo l a X escogi da
como 1. no se escogi como cero. Ahora,
-si
en l a Fi gura
3_26(a) ."
".i og"
el t rmi no u' z' en vez de u' z se obt i ene de t odas rorri a. una t unci n
mi ni -
mi zada:
Pero que
no es al gebrai camente
porque
l a mi sma X se usa como
en l a segunda.
Este ejemplo
de l i teral es
no es
encuentra
con una
l i teral es,
tal que
mi ni mi zada.
t04
demuestra tambi n que
una expresi n con un mni mo
necesari amente
ni ca. Al gunas
veces el di seador se
al ternati va
entre dos trmi nos con un nmero i gual de
l a escogenci a
de cual qui era resul ta en una expresi n
0l
X
i-
.
t l
- l X
0
r _'J
0
0 0 0
0 0 I 0
I
I
it I
X
0
0
tr
ol 0
l oi
_f
0l
i o
http://libreria-universitaria.blogspot.com
3- 9 EL METODO DEL TABULADO
EI mtodo del mapa para si mpl i fi caci n es conveni ente si empre y cuando
el nmero de vari abl es no exceda de ci nco o sei s. A medi da que el nmero
de vari abl es aumenta el nmero excesi vo de cuadados i mpi de una sel ec-
ci n razonabl e de cuadrados adyacentes. La desventaj a obvi a del mapa
es esenci al mente el procedi mi ento de prueba y error que depende de l a
habi l i dad del usuari o humano para reconocer ci ertos patrones. Para fun-
ci ones de sei s o ms vari abl es es muy di fi ci l estar segur que real mente
se hi zo l a mej or sel ecci n.
El mtodo del tabul ado el i mi na l a anteri or di fi cul tad. Este se trata
de un procedimiento especfico paso a paso que se garantiza para producir
una expresi n de forma normal i zada y si mpl i fi cada. Este se puede apl i car
a probl emas con muchas vari abl es y ti ene l a ventaj a de ser adecuado para
cmputos con mqui na. Si n embargo es un poco tedi oso para uso humano
y propenso a errores debi do a un proceso ruti nari o y montono. El mtodo
del tabul ado fue formul ado pri mero por
Qui ne
(3) y ms tarde mej orado
por McCl uskey.
EI mtodo de si mpl i fi caci n consi ste en dos partes. La pri mera es en-
contrar medi ante una bsqueda muy cohpl eta de todos l os trmi nos can-
di datos de i ncl usi n en l a funci n si mpl i fi cada. Estos trmi nos se l l aman
pri meros-i mpl i cados. La segunda opdraci n es escoger entre l os pri meros
i mpl i cados aquel l as que dan una expresi n con el menor nmero de l i te-
ra l es.
3- 10 DETERMI NACI ON DE LOS PRI MEROS I MPLI CADOS*
El punto de parti da del mtodo del tabul ado es l a Ii sta de trmi nos mni -
mos que especi fi can l a funci n. La pri mera operaci n de tabul ado es bus-
car l os pri meros i mpl i cados para usarl os en el proceso de apareami ento.
Este proceso compara cada trmi no mni mo con cada uno de l os restantes
trmi nos mni mos. Si dos trmi nos mni mos di fi eren en sol amente una
vari abl e, esa vari abl e se el i mi na para encontrar un sol o trmi no con un
l i teral menos. Este proceso se repi te para cada trmi no mni mo hasta que
se compl ete el proceso compl eto de bsqueda. El ci cl o del proceso de apa-
reami ento se repi te para aquel l os trmi nos nuevos encontrados. Se con-
ti na con el tercer y subsi gui entes ci cl os hasta el paso por un ci cl o no
produzca nuevas el i mi naci ones de l i teral es. Los trmi nos restantes y to-
dos l os trmi nos que no se aparearon durante el proceso, consti tuyen l os
pri meros i mpl i cados. El mtodo del tabul ado se i l ustra por medi o del ej em-
pl o si gui ente:
EJEMPLO 3-13: Si mpl i fi car l a si gui ente funci n de Bool e
usando el mtodo del tabul ado:
F: ) ( 0, 1, 2, 8, 10, l l , 14, 15)
*
Est a secci n y l a si gui ent e pueden ser omi t i das si n per der cont i nui dad.
105
http://libreria-universitaria.blogspot.com
/ 06 SI MPLI FI CACI ON DE LAS FUNCI ONES DE BOOLE CAP, 3
Paso 1: Agrupar l a representaci n bi nari a de l os trmi nos
mni mos de acuerdo al nmero de unos conteni do de l a manera
mostrada en l a Tabl a 3-5 col umna
(a). Esto se hace agrupando
l os trmi nos mni mos en ci nco secci ones separadas por l neas
hori zontal es. La pri mera secci n conti ene el nmero si n unos en
1. La segunda secci n cont i ene aquel l os nmer os que t i enen so-
I ament e un uno. La t er cer a, cuar t a y qui nt a secci n cont i enen
aquel l os nmeros bi nari os con dos, tres y cuatro unos respecti -
vamente. Los deci mal es equi val entes de l os trmi nos mni mos se
col ocan a todo l o l argo para i denti fi caci n.
Paso 2: Cual qui er
par de trmi nos mni mos que di fi eren en-
tre s sol amente por una vari abl e, se pueden combi nar y l as vari a-
bl es no apareadas el i mi nar. Dos nmeros de trmi no mni mo caen
dentro de esta categora si ambos ti enen el mi smo val or de bi t
en todas l as posi ci ones excepto en una. Los trmi nos mni mos en
una secci n se comparan con aquel l os de Ia si gui ente en adel ante
ya que dos trmi nos que se di ferenci an en ms de un bi t no se
pueden apar ear . El t r mi no m ni mo de I a pr i mer a secci n se com-
para con cada uno de l os tres trmi nos mni mos de l a segunda
secci n. Si hay dos t r mi nos i gual es en t odas l as posi ci ones ex-
cepto en una, se marcan a l a derecha de ambos trmi nos mni mos
g
ffit*'#'";';fi
i*'"tl,l;13:,x11""1-?i"i::?\?$"'""T'li1'"1;1",i;
\
(b)
de l a tabl a. La vari abl e el i mi nada durante el proceso de apa-
r eami ent o se r empl aza por un gui n en su posi ci n or i gi nal . En
Tabl a 3- 5 Det er mi naci n de l os pr i mer os i mpl i cados par a el Ej empl o 3- 13
I
(c.) (b) (a)
wx y z
0 0000
\ /
1000
l 0 1010
\ /
l l
t 4
u' x , y 2 w x y z
0, 1
o)
0, 8
000-
00
-
0
- 000
0, 2, 8, 10
-
0
-
0
0, 8, 2, 10
-
0
-
0
r 0001
2 0010
- 0
r 0
v
l 0- 0
f
r - l r
v
l l l -
\ /
10, l l , 14, l 5
10, 14, 11, l 5
l - l -
l - l -
2, l 0
8, l 0
t 0, l l l 0l
10, 14 l
-
l 0v l 0l l
v
l l l 0
\ /
I t . 15
14. l 5 15 l l l l
\ /
http://libreria-universitaria.blogspot.com
SEC. 3. 10 DETERMI NACI ON DE LOS PRI MEROS I MPLI CADOS I O7
este caso mo
(0000)
se combi na con mr
(0001) para formar (000-).
Est a combi naci n es equi val ent e a l a operaci n al gebrai ca:
mo I m,
:
w' x' Y' z' I w' x' Y' z
:
w' x' l '
El trmi no mni mo n0 se combi na con m2 para formar (00-0) y
con m8 para formar
(-000).
El resul tado de esta comparaci n se
col oca. en l a pr i mer a secci n de l a col umna
( b) .
Los t r mi nos m -
ni mos de l as secci ones dos y tres de l a col umna
(a)
se comparan
en segui da para produci r l os trmi nos Ii stados en l a segunda sec-
ci n de l a col umna
( b) .
Todas l as ot r as secci ones de
( a) se com-
paran de manera si mi l ar y l as secci ones subsecuentes se forman
en
(b).
Este proceso de comparaci n dar como resul tado cuatro
secci ones de
( b) .
Paso 3: Los t r mi nos de l a col umna ( b)
t i enen sol ament e t r es
vari abl es. Un l debaj o de l a vari abl e si gni fi ca que no es ti l dada,
un 0 si gni fi ca que es ti l dada y un gui n si gni fi ca que no se i ncl uye
en el trmi no. El proceso de bsqueda y comparaci n se repi te pa-
ra l os trmi nos en l a col umna (b) para formar l os dos trmi nos
var i abl es de I a col umna
( c) .
De nuevo. l os t r mi nos en cada sec-
ci n necesi tan compararse sol amente si ti enen gui ones en l a mi s-
ma posi ci n. Ntese que el trmi no
(000-)
no se aparea con cual -
qui er otro trmi no. Por consi gui ente, este no tendr marca a su
derecha. Los equi val entes deci mal es se escri ben a mano derecha
de cada entrada para propsi tos de i denti fi caci n. EI proceso de
comparaci n debe Il evarse a cabo de nuevo en Ia col umna
(c) y en
Ias col umnas subsi gui entes si empre y
cuando se consi ga el apa-
reami ento adecuado. En el ej empl o presente, l a operaci n para en
l a tercera col umna.
Paso 4: Los trmi nos no marcados en l a tabl a forman l os pri -
meros i mpl i cados. En este ej empl o tenemos el trmi no r"' y'
(000-)
en l a col umna
( b) y l os t r mi nos x' z' ( - 0- 0) y uy ( 1- 1- )
en l a co-
I umna ( c) .
Nt ese que
cada t r mi no de I a col umna
( c)
apar ece
dos veces en l a tabl a y cuando el trmi no forme un pri mer i mpl i -
cado es i nnecesari o usar el mi smo trmi no dos veces. La suma de
l os pri meros i mpl i cados dar una expresi n si mpl i fi cada de l a
funci n. Esto es debi do a que cada trmi no marcado en Ia tabl a
se ha teni do en cuenta para l a entrada de un trmi no ms senci -
l l o en l a col umna subsecuente. As, l as entradas no marcadas
(pri meros-i mpl i cados)
consti tuyen l os trmi nos dej ados para for-
mul ar l a f unci n. Par a el ej empl o pr esent e, I a suma de l os pr i me-
ros i mpl i cados dar l a funci n mi ni mi zada en suma de productos:
F: w' x ' y ' * x ' z ' * wy
Val e l a pena comparar l a anteri or respuesta con l a obteni da medi ante
el mtodo del mapa. La Fi gura 3-27 muestra l a si mpl i fi caci n por mapa de
esta funci n. Las combi naci ones de l os cuadrados advacentes dan l os
http://libreria-universitaria.blogspot.com
00
0 l
I
i l
I
t ' ' {
I ' o
l
y
1 l l 0
E
_ll
' l
Lr
tl I
Tl
L'
tr
)' :
00 0i
7
Fi gura 3-27 Mapa de l a f unci n del Ej ernpl o 3-13; t r' : w, x, t , , *x, 2, +uy
tres pri meros
i mpl i cados
de l a funci n. La suma de estos tres trmi nos es
l a expresi n si mpl i fi cada en suma de productos.
Es importante sealar.gle
9l
Ejemplo B-18 fue escogido a proposito
para
d,ar una funcin simplificada
a partir
de una *o*u
"d.
primers
im_
p\icados.
En \a mayoria
de los casos \a suma de los primeros
impricados
no necesariamente
form-an la expresin
con el nmero
*rri,,'o de trminos.
Esto se demuestra
en el Ej empt a-t+.
La tedi osa mani pul aci n
que
se debe hacer cuando se usa el mtodo
del tabul ado se reduce
si l a cmparaci n
se hace con nmeros
deci mal es
en vez de bi nari os.
se mostrar hora un mtodo qu.
u." l a resta de n_
meros deci mal es en v:z de comparar y
aparear nmeros bi nari os. Ntese
que
cada 1 en un n:mgo bi nari o representa
el coefi ci ente
mul ti pl i cado
por
una potenci a
de 2. cuando dos trmi nos
mni mos son i gual es
"r,
tod",
l as posi ci ones
excepto en una, el trmi no
mni mo con el 1 extra debe ser
ms grande,_
que el nmero
dei otro trmi ho mni mo,
en una potenci a
de 2.
Por tanto, dos trmi nos mni mos se pueden
cambi a si ei nri mero del pri _
mer trmino
mnimo difiere. po.r
yna
'potencia
de 2 de un segundo nmero
Tay.or
de l a si gui ente secci n i nferi or
de l a tabl a-sl i i r.tr"r
este proce_
di mi ento
repi ti endo
el Ej empl o
3-18.
como se muestra en l a Tabl a 3-6 col umna (a),
l os trmi nos mni mos
se arregl an
en secci ones
como se hi zo anteri ormeni ;
;;";;t" que se l i stan
sol amente
l os deci mal es
equi val entes
a ros tmi nos
;i ;i ;"r.
El proceso
de comparar
l os trmi nos
mni mos
es como si gue: i nspecci nese
todo par
de nmeros
deci mal es
en secci ones
adyacentes
de l a tabl a. si el nmero
de l a secci n i nferi or
es mayor que
er nmero
de l a secci n superi or por
una pot enci a
de 2
(por
ej empl o
1, 2, 4,
g,
16, et c. ) mrquese
ambos nmeros
para
demostrar que
han si do usados y
escral os
." t"' "ol r-na (b).
Er par
de nmeros
trasferi dos
a l a col um"" ()
i ncl uyen
u;-;;;;", nmero en
parntesis
que
designa la potencia
de 2 por
la cual difieren los nmeros.
l numero
en parntesi s
di ce l a posi ci n
der gui n
en l a notaci n
bi na_
ri a. El resul tado
de l a comparaci n
de l a col u"mn; ("i
; muestra en l a
col umna (b).
.. !"
comparaci n,entre
secci ones
adyacentes
en l a col umna (b)
se rea-
l i za de manera si mi l ar,
excepto qu"
.oi ",' "nte
se comparan
aquel ros tr-
t 08
http://libreria-universitaria.blogspot.com
Tabl a 3-6 Det ermi naci n de l os pri meros-i mpl i cados del Ej empl o 3-13
con not aci n deci mal
(c) (b) (a)
0v 0, l ( l )
0, 2 (2)
\ /
0, 8 ( 8)
v
2, l 0 (8)
8, l 0 (2)
0, 2, 8, r0 (2, 8)
0, 2, 8, r 0 ( 2, 8)
l v
2t /
8v
10, l r , 14, l 5 ( 1, 4)
10, l l , 14, l 5 ( 1, 4)
l 0
l l
t 4
15v
10, r l (r)
,
t0, t4 (4)
l l , 15 (4)
14, l 5 ( l )
\
mi nos con el mi smo nmero en parntesi s. El par de nmeros en una sec-
ci n debe di feri r
por una potenci a de 2 del par de nmeros en Ia si gui ente
secci n. Y l os nmeros en l a secci n i nmedi atamente i nferi or deben ser
mayores para poder l ograr l a combi naci n. En l a col umna
(c)
escrbase
todos l os cuatro nmeros deci mal es, con l os dos nmeros en parntesi s
como i ndi cadores de l a posi ci n de l os gui ones. Una comparaci n de l as
Tabl as 3-5 y 3-6 podra ser ti l para comprender l as deri vaci ones de l a
Tabl a 3-6.
Los pri meros i mpl i cados son aquel l os trmi nos no marcados en Ia
tabl a. Son l os mi smos que l os encontrados anteri ormente excepto que es-
tn dados en notaci n deci mal . Para converti r l a notaci n deci mal a bi -
nari a convi rtase todos l os nmeros deci mal es en el trmi no a bi nari os
y
l uego col quese un gui n en aquel l as
posi ci ones desi gnadas
por l os nme-
ros en parntesi s. As 0,1
(1) se convi erte a bi nari o como 0000,0001; un
gui n en Ia pri mera porci n de cada nmero esul tar en
(000-). De l a
mi sma manera, 0, 2, 8, 10
(2,
8) se convi erte a l a notaci n bi nari a 0000,
0010, 1000
y 1010, y un gui n col ocado en l as posi ci ones 2 y 8, dar como
resul tado
(-0-0).
EJEMPLO 3-14: Determi nar l os pri meros i mpl i cados de Ia
funci n:
F( w, x, y, e)
:
) ( 1,
4, 6, 7, 8, 9, 10, l l ' 15)
Los nmeros de los trminos mnimos se agrupan en secciones de
l a manera mostrada en l a Tabl a 3-7 col umna
(a). El bi nari o equi -
val ente de un trmi no mni mo se i ncl uye con el propsi to de con-
tar el nmero de unos. Los nmeros bi nari os en l a pri mera secci n
t o9
http://libreria-universitaria.blogspot.com
Tabl a 3- 7 Det er mi naci n
de l os pr i mer os
i mpl i cados
del Ej empl o 3_14
(a.l
( c)
(b)
000t
0r00
t , 9
4, 6
(8)
(2)
r \ /
4 ^ /
( r )
v
(2)
v
8, 9, 10, n ( 1, 2)
8, 9, 10, l I ( 1, 2)
r000
8V
8, 9
8, l 0
0l l 0
6
\ /
l 00l
9
f
l 0l 0 l 0
\ /
6, 7
9, l t
( t )
(2)
\/
10, l r (r)
\ /
0l l l
r 0l I
7f
uv
7. t 5 (8)
l l , l 5 (4)
i l l l 15v
\
Pri meros
-i
mpl i cados
Deci mal
Bi nari o
ux Yz
Trmi nos
1, 9 ( g)
4, 6 ( 2)
6, 7 ( t )
7, 15 (8)
r l , 15 ( 4)
8, 9, 10, I l ( 1, 2)
_U
0l
0l
- t
l -
l 0
0l
- 0
l -
t l
l t
w' xz'
w' xy
xyz
wyz
wx'
ti enen sl o un uno, en l a segrnti a
secci n
dos unos, etc. Los n_
meros de l os trmi nos
mni mos
se comparan por
el mtodo deci -
mal y
se
-hacen
parej as,
si el nmero de La secci n i nferl o.
es mayor
que
aquel de l a secci n
superi or.
si el nmero
de l a secci n i nf.eri or
es. ms pequeo
que
el
_de
l a superi or
no se ti ene
"n ".r..,fu
l a pa_
rej a aunque l os dos nmeros
di fi eren por
una potenci a
de 2. La
bsqueda
mi nuci osa
en l a col umna (a)
aur como resul tado
ros
trmi nos
de l a col umna (b),
con todos l os trmi no.
i .ri _o,
".,
l a col umna (a)
marcados.
Hay soramente
dos parej as
"-l Z.-i ' o,
en- l a col umna (b)
l as cual es darn el mi smo trmi no
de dos l i te_
ral es
en l a col umna (c).
Los pri meros
i mpl i cados
consi sten
en
todos l os trmi nos
no marcados
en l a tabl a. La conversi n
de no-
taci n bi nari a a deci mal
se muestra en l a parte
i "re.i or
" l a ta-
bl a. Los pri meros
i mpl i cados
encontrados
son r,y,z, u),x2,
,
tL,x.y, xyz,
wyz y
wx' .
t t o
http://libreria-universitaria.blogspot.com
t:
)'
0 0 0 l
l l l 0
rtx
00
0
,J'
I'
!_l
' t . I
t;
t -
Ll_l
I I
Fi gu ra
"
t",
!?!i+",
1i.':i.j;:
_,1;1,p:
u''o' o r
-'
n'
La suma de todos l os pri meros i mpl i cados, dar una expresi n al ge-
brai ca vl i da para l a funci n. Si n embargo esta expresi n no es necesa-
ri amente l a que conti ene el mni mo nmero de trmi nos. Esto puede de-
mostrarse i nspecci onando el mapa de Ia funci n del Ej empl o 3-14. Como
se muestra en l f Fi gura 3-28 Ia funci n mi ni mi zada reconoci da es:
F: x' y' z * w' xz' *
ryz
* wx'
l a cual consi ste en l a suma de cuatro de l os sei s pri meros i mpl i cados deri -
vados del Ej empl o 3-14. El procedi mi ento de tabul ado
para l a sel ecci n
de l os pri meros i mpl i cados
que dan l a funci n mi ni mi zada es el tema de
l a si gui ent e secci n.
3- 11 SELECCI ON DE LOS PRI MEROS I MPLI CADOS
La sel ecci n de l os pri meros i mpl i cados que forman Ia funci n mi ni mi zada
se hace a parti r de una tabl a de pri meros i mpl i cados. En esta tabl a, cada
pri mer i mpl i cado se representa en una fi l a y cada trmi no mni mo en una
col umna. Se col ocan cruces en cada fi l a para mostrar Ia composi ci n de
l os trmi nos mni mos
que consti tuyen l os pri meros i mpl i cados. Un mni mo
grupo de pri meros i mpl i cados se escoge de manera que abarque todos l os
i r.tri .tos mni mos de l a funci n. Este procedi mi ento se i l ustra en el Ej em-
pl o 3- 15.
BJEMPLO 3-15: Mi ni mi zar l a funci n del Ej empl o 3-14'
El tabul ado de l os pri meros i mpl i cados
para este ej empl o se mues-
tra en l a Tabl a 3-8. Hay sei s fi l as, una
para cada pri mer i mpl i cado
(deri vado en el Ej empl o 3-14)
y nueve col umnas
que representan
cada una un trmi no mni mo de Ia funci n. Se col ocan cruces en
cada fi l a para i ndi car l os trmi nos mni mos conteni dos en el pri -
mer i mpl i cado de esa fi l a. Por ej empl o, l as dos cruces en l a pri mera
fi l a i ndl can que l os trmi nos mni mos 1 y 9 estn conteni dos en el
pr i mer i mpl i cado x' y' 2. Es aconsej abl e i ncl ui r el equi val ent e deci -
t t l
http://libreria-universitaria.blogspot.com
Tabl a 3-8 Tabl a de pri meros-i mpl i cados
del Ej empl o 3-1b
l l l 0
f
x' v' z
n/
w' xz'
tp' xy
xyz
wyz
v
wx'
l , 9
4, 6
6, 7
7 t 5
I l , l 5
8, 9, 10, 11
X
X X
X
X
X
X
X
X
mal del pri mer i mpl i cado
en cada fi l a y
conveni ente dar l os trmi -
nos mni mos conteni dos en 1. una vl z se hayan marcado todas
l as cruces se proceder
a sel ecci onar
un nmer mni mo de pri me-
ros i mpl i cados.
.
La tabl a compl eta de pri meros
i mpl i cados se i nspecci ona para
obtener col umnas que
contengan sol amente
una cruz. En este
ej empl o hay cuatro trmi nos mni mos cuyas col umnas ti enen una
sol a cruz: 1, 4, 8 y 10. El trmi no mni mo 1 est cubi eto por el
pri mer
i mpl i cado
x' y.' z;. es
.deci r,.
l a sel ecci n del pri mer i mp-l i cado
!_' J' z
garanti za que
el trmi no mni mo l est i ncl ui do en l a i unci n.
De manera si mi l ar el trmi no mni mo 4 est cubi erto por
el pri mer
i mpl i cado tD' xz' y l os trmi nos
mni mos
g
y 10 por el pri rner i mpl i ca-
do wx' ' Los pri meros
i mpl i cados que
cubren ros trmi nos mni mos
con una sol a cruz en su col umna se l l aman pri meros
i mpl i cados
esenci qLes-. Para permi ti r que
l a expresi n fi nal si mpl i fi cda
con-
tenga todos l os trmi nos
mni mos no queda
otra al i ernati va que
i ncl ui r l os pri meros
i mpl i cados
esenci l es.
Se col oca ,rr,, -u.""
en l a tabl a a conti nuaci n
de l os pri meros
i mpl i cados
esenci al es
para i ndi car que
han si do sel ecci o.,do".
.
En segui da
se observa
cada col umna cuyo trmi no mni mo
est cubi eto por
l os pri meros
i mpl i cados
esl nci al es serecci ona-
dos. Po ej empl o, el pri mer
i mpl i caho
sel ecci onado
,,y,)-.rrr" to,
tminos
mnimos 1 y
9, entonces
se coloca ,.rrru ,rr"." en- ia parte
i nferi or
de l as col umnas.
De manera si mi l ar, el pri mer,
i mpti cado
w' xz' c\bre l os trmi nos
mi ni mos 4 y
6 y,'
cubre
g, g,
i 0 y' 11
res_
pecti vamente.
La i nspecci n
de l a tal a de pri *ei o"
i *pti ""ao.
cubre todos l os trmi nos
de l a funci n con excepci n de 7 y
rs.
Estos dos trmi nos
mni mos
deben ser i ncrui do.' po.
l a sei ecci n
de. uno
9
-" primeros
implicados.
En este ejemplo es claro que
el
primer
implicado
ryz cubre ambos trminos i"i"'i*".1-"r po,
t"rr-
to el sel ecci onado-
As se ha encontrado
er .o""tJ -i i -o a"
primeros
implicados
cuya suma
da la funcin mlnimizada
reque-
rl oa:
F: x' y' z + w' xz' + wx' + xyz
t t 2
http://libreria-universitaria.blogspot.com
sEc. 3- 12
OBSERVACI ONES CONCLUYENTES I I 3
Las expresi ones si mpl i fi cadas deduci das en l os ej empl os anteri ores
estaban expresadas en l a forma de suma de productos. El mtodo del ta-
bul ado puede adaptarse para dar una expresi n si mpl i fi cada en producto
de sumas. De l a mi sma manera que en el mtodo del mapa se ti ene que
comenzar con el compl emento de l a funci n tomando l os ceros corno l a l i sta
i ni ci al de trmi nos mni mos. Esta l i sta conti ene aquel l os trmi nos mni -
mos no i ncl ui dos en l a funci n ori gi nal , l os cual es son numri camente
i gual es a l os trmi nos mxi mos de l a funci n. El proceso
de tabul aci n se
l l eva a cabo con l os ceos de l a funci n para termi nar con una expresi n
si mpl i fi cada en suma de productos
del compl emento de l a funci n. Obte-
ni endo de nuevo el compl emento se consi gue l a expresi n si mpl i fi cada
en producto de sumas.
Una funci n con condi ci ones de no i mporta puede ser si mpl i fi cada
por el mtodo del tabul ado despus de una pequea modi fi caci n. Los tr-
mi nos de no i mporta se i ncl uyen en l a l i sta de l os trmi nos mni mos cuan-
do l os pri meros i mpl i cados se determi nan. Esto permi te l a deducci n de
pri meros i mpl i cados con el mni mo nmero de l i teral es. Los trmi nos de
no i mporta no se i ncl uyen en l a l i sta de l os trmi nos mni mos cuando se
prepara l a tabl a de l os pri meros i mpl i cados ya que l os trmi nos de no i m-
porta no ti enen que
estar cubi ertos por l os pri meros i mpl i cados sel ecci o-
nados.
3- 12 OBSERVACI ONES CONCLUYENTES
Se i ntroduj eron dos mtodos de si mpl i fi caci n de funci ones de Bool e en
este captul o. El cri teri o para l a si mpl i fi caci n fue el de mi ni mi zar el n-
mero de literales en expresiones de suma de productos o productos
de
sumas. Tanto el mtodo del mapa como el de.tabul ado son tan restri ngi dos
en sus al cances ya que son ti l es para si mpl i fi car sol amente funci ones de
Boole expresadas en las formas normalizadas. A pesar de que
ello es una
desventaj a de l os mtodos, no es muy crti ca, ya que l a mayora de apl i ca-
ci ones buscan, ms l a forma normal i zada, que cual qui er otra forma. Se
ha vi sto de l a Fi gura 3-15 que l a ej ecuci n con compuertas, de expresi ones
en l a forma normal i zada, consi ste a l o sumo en dos ni vel es de compuertas.
Las expresi ones que no estn en l a forma normal i zada se ej ecutan con
ms de dos ni vel es. Humphrey
(5)
muestra una extensi n del mtodo del
mapa que produce expresi ones si mpl i fi cadas de mul ti ni vel es.
Se debe reconocer que la secuencia del cdigo reflejado escogido para
Ios mapas no es ni ca. Es posi bl e
di buj ar un mapa y asi gnar una secuen-
ci a bi nari a de cdi go refl ej ado a l as fi l as y col umnas di ferente a l a secuen-
ci a que se ha veni do empl eando. Si empre y cuando l a secuenci a bi nari a
escogi da produzca el cambi o de un sol o bi t entre cuadrados adyacentes,
se produci r un mapa ti l y vl i do.
Dos versiones alternas de mapas de tres variables que a menudo se
encuentran en l a l i teratura de l gi ca di gi tal se muestran en l a Fi gura 3-29.
Los nmeros de los trminos mnimos se escriben en cada cuadrado para
referencias. En (a),
la asignacin de las variables a las filas y
columnas
es diferente de la que se usa en este libro. En (b)
se ha rotado el mapa a
E

F
ffi
F:
ii,,
f,
r
6
F
ii
:'
':i
ri
i
i r
http://libreria-universitaria.blogspot.com
0 2 6
I J 7 5
q_J
v
Y
0 0 0 l l l l 0
00
i
I
z f 1
L
0 l
I
l i l
v-'l
- l
I ' o
(b) ( a)
Fi gur a 3- 29 Var i aci ones del mapa de t es var i abl es
l a posi ci n verti cal . La asi gnaci n del nmero del trmi no mni mo en todos
Ios mapas permanece en el orden xyz.Por ej empl o, el cuadrado del trmi no
mni mo 6 se encuentra asi gnando a l as vari abl es ordenadas el nmero
bi nar i o xyz: 110. EI cuadr ado par a est e t r mi no m ni mo se encuent r a en
(a)
de l a col umna marcada
W
:
l l y l a fi l a z: 0. EI correspondi ente cua-
drado en
(b) pertenece a l a col umna marcada con r
:
1 y a l a fi l a con
yz: 10. El pr oceso de si mpl i f i caci n con est os mapas es exact ament e el
mi smo que el descri to en este captul o excepto por supuesto por l as vari a-
ci ones de trmi nos mni mos y l a asi gnaci n de vari abl es.
Otras dos versi ones del mapa de cuatro vari abl es se muestra en Ia
Fi gura 3-30. El mapa en
(a)
es muy popul ar y se usa muy a menudo en l a
l i teratura sobre tal es temas. De nuevo Ia di ferenci a es muy pequea y se
mani fi esta por el sol o i ntercambi o de l a asi gnaci n de l a vari abl e de fi l as
a col umnas y vi ceversa. El mapa en
(b)
es el di agrama ori gi nal de Vei tch
(1),
el cual Karnaugh
(2)
modi fi c al mostrado en l a Fi gura (a). Los proce-
sos de si mpl i fi caci n no cambi an cuando se usan estos mapas en vez de
l os usados en este l i bro. Hay tambi n vari aci ones de l os mapas de ci nco
o sei s vari abl es. De todas maneras, cual qui er mapa que parezca di ferente
al usado en este l i bro o que se l l ame de manera di ferente, debe reconocer-
CD
AB
__j_
00 0l l l l 0
!----y- \-J
B C
( a) ( b)
Fi gura 3-30 Vari aci ones del mapa de cuato vari abl es
i
, {
l
. {
t t 4
x
0 l
0 +
5
J
'7
2 6
0t
n
l 0
0
q
t2 8
5 I J 9
3
7 l 5 il
2 o l 4 l 0
--..-Y-
B
A
t2 t 4 6
l 3 7 5
9 l l J
8 t 0 0
http://libreria-universitaria.blogspot.com
SEC. 3- 12 OBSERVACI ONES CONCLUYENTES I I 5
se si mpl emente como una vari aci n de l a asi gnaci n de trmi nos mni mos
a l os cuadrados del mapa.
Como es evi dente de l os Ej empl os 3-13 y 3-14, el mtodo del tabul ado
ti ene el i nconveni ente que ocurren errores i nevi tabl es al tratar de compa-
rar l os nmeros
por medi o de l i stas l argas. EI mtodo del mapa podra ser
preferi bl e, pero para ms de ci nco vari abl es no se puede estar seguro que
se ha encontrado l a mej or expresi n si mpl i fi cada. La ventaj a real del m-
todo del tabul ado est en el hecho de que consi ste en procedi mi entos paso
a paso que garanti zan Ia respuesta. Es ms, este procedi mi ento formal es
adecuado para mecani zaci n
por computador.
Se ha establ eci do en l a Secci n 3-9 que el mtodo de tabul ado si empre
comi enza con l a l i st a de t r mi nos m ni mos de l a f unci n. Si l a f unci n no
est en esta forma, debe converti rse a el l a. En l a mayora de Ias apl i caci o-
nes, l a funci n que va a ser si mpl i fi cada provi ene de una tabl a de verdad,
de l a cual se puede obtener Ia l i sta de trmi nos mni mos. De otra manera,
l a conversi n de trmi nos mni mos agrega un trabaj o consi derabl e de ma-
ni pul aci n al probl ema. Si n embargo, exi ste una extensi n del mtodo del
tabul ado
para encontrar l os pri meros i mpl i cados de expresi ones al gebrai -
cas de suma de productos. Ver por ej empl o McCl uskey
(7).
En este captul o se ha consi derado l a si mpl i fi caci n de funci ones con
muchas vari abl es de entrada
y
una sol a vari abl e de sal i da. Si n embargo
al gunos ci rcui tos di gi tal es ti enen ms de una sal i da. Tal es ci rcui tos se
descri ben medi ante un conj unto de funci ones de Bool e, una para cada
vari abl e de sal i da. Un ci rcui to con ml ti pl es sal i das puede al gunas veces
tener trmi nos comunes entre l as di ferentes funci ones que pueden ser
uti l i zadas para formar compuertas comunes durante l a ej ecuci n. Esto
dar como resul tado una ul teri or si mpl i fi caci n que no se ha consi derado
cuando cada funci n se si mpl i fi ca separadamente. Exi ste una extensi n
del ' rntodo del tabul ado para l os ci rcui tos de sal i das ml ti pl es
(6,
7). Si n
embargo, este mtodo es muy especi al i zado y bastante tedi oso para ma-
ni pul eo humano. Ti ene i mportanci a prcti ca sol amente si se l e ofrece al
usuari o un programa de computador basado en este mtodo.
1.
F
REFE RENCI AS
Vei tch, E. W., "A Chart Method for Si mpl i fui ng Truth Functi ons". Proc. of the
ACM
(mayo
1952), 127
-33.
Karnaugh, M., "A Map Method for Synthesi s of Combi nati onal Logi c Ci rcui ts".
Trans. AIEE, Comm. and El ectroni cs, Vol . 72, Parte I (novi embre 1953), 593-99.
Qui ne,
W. V., "The Probl em of Si mpl i fyi ng Truth Functi ons". Am. Math. Month'
l y, Vol . 59, No. 8 (octubre 1952), 521-31.
McCl uskey, E. J., Jr., "Mi ni mi zati on of Bool ean Functi ons" . BeII System Tech.
J., Vol . 35, No. 6
(novi embre 1956), 1417-44.
Humphrey, W. S., Jr., Swi tchi ng Ci rcui ts wi th Computer Appl cati ons. Nueva
York: McGraw-Hi l l Book Co., 1958, Captul o 4.
Hi l l , F. J.,
y G. R. Peterson, Introducti on to Stl )i tchi ng Theory and Logcal De-
si gn, 2a. ed. Nueva York: John Wi l ey & Sons, Inc., 1974, Captul os 6 y 7.
^
6.
http://libreria-universitaria.blogspot.com
! 16
sr MpLt Ft cAct oN
DE FUNct oNEs DE BooLE
cAP. . 3
_!Icpl rskey,
E. J., Jr., Introducti on
to the Theory of swi tchi ng ci rcui ts. Nueva
York: McGraw-Hi l l Book Co., 1g65, Captul o 4.
{ohav-i ,
2., sui tchi ng and Fi ni te Automata Theory. Nueva
york:
McGraw-Hi l l
Book Co., 1970.
Nagl e, H. T. Jr . , B. D. car r ol , yJ. D. I r wi n, An I nt r oduct i ont o
comput er Logi c.
Engl ewood Cl i ffs, N.J.: Prenti ce-Hai l ,
Inc., 1925.
PROBLEMAS
obtenga las expresiones simplificadas en suma de productos
de las s-iguien-
tes funci ones de Bool e;
(a) F(x, y, z)
:
>(2, 3, 6,7)
@)
F( A, B, C, D) :
>( 7, 13, 14, 15)
( c) F( A, B, C, D) :
>( 4, 6, 7, 15)
( d) F( w, x, y, z)
:
2( 2, 3, 12, 13, 14, 15)
3-2. obtenga l as expresi ones si mpl i fi cadas
en suma de productos
de
tes funci ones de Bool e:
(a) xy + x'y'z' * x'yz'
( b) A' B + BC' + B' C'
(c) a' b' I bc * a' bc'
(d) xy'z I
ryz'
* x'yz *
ryz
obtenga l as expresi ones si mpl i fi cadas en suma de productos
de l as si gui en-
tes funci ones de Bool e:
(a) D(A', + B) + B'(C + AD)
( b) ABD + A' , C' , D' , + A' B + A' CD' + AB' D'
(c) k' l m' * k' m' n + kl m' n' I l mn'
( d) A' B' , C' , D' , + AC' D' + B' CD' + A' BCD + BC' D
(e) x'z * w'ry' + w(x'y + xy')
Obtenga l as expresi ones si mpl i fi cadas
en suma de productos
de
tes funciones de Boole:
(a) F(A, B, C, D, /
:
>(0, 1,4, 5, 16, t7,21,25,29)
( b) BDE + B' C' D + CDE + A' B' CE + A' B' C + B' C' D' E'
( c ) A' B' CE' + A' B' C' D' + B' D, E, + B, CD, + CDE, + BDE,
Dada l a tabl a de verdad:
l as si gui en-
3- 4.
J- O.
000
001
010
0l l
100
l 0l
l l 0
l t l
0
I
I
0
I
0
0
I
U
0
0
I
0
http://libreria-universitaria.blogspot.com
I
l " ' ROBLEMAS
| | 7
(a)
Exprese Ft
I
Fz en producto de trmi nos mxi mos.
(b)
Obtenga l as funci ones si mpl i fi cadas en suma de productos.
(c)
Obtenga l as funci ones si mpl i fi cadas en producto de sumas.
3-6. Obtenga l as expresi ones si mpl i fi cadas en producto de sumas:
(a) F(x,y, z)
:
II(0, I, a, 5)
@)
F(A, B, C, D)
:
n(0, l, 2, 3, 4, 10, I l)
(c) F(w, x, y, z)
:
II(1, 3, 5, 7, 13, 15)
3-7. Obtenga l as expresi ones si mpl i fi cadas en
(1)
suma de productos y (2) pro-
ducto de sumas.
(a) x' z' * y' z' I yz' +
ryz
(b) (A + B', + D)(A' + B + DXC + DXC', + D',)
( c) ( A' + B' + D' ) ( A + B' + C' ) ( A' + B + D' ) ( B + C' + D' )
( d) ( A' + B' , + D) ( A' + D' , ) ( A + B + D' , ) ( A + B' , + C + D)
(e) w'yz' * ow'z' * ow'x * rs'wz * a'ut'y'z'
3-8. Dibuje la ejecucin con compuertas de las funciones de Boole simplificadas,
obtenidas en el Problema 3-7 usando las compuertas AND y OR.
3-9. Simplifique cada una de las siguientes funciones y ejectelas con compuer-
tas NAND. Dar dos al ternati vas.
( a)
4
:
AC' + ACE + ACE, + A, CD, + A, D, E,
( b)
F2: @' , + D' , ) ( A' , + C' , + D) ( A + B' , + C' , + D) ( A' , + B + C' + D' )
3-10. Repita el Problema 3-9 para ejecuciones con NOR.
3-11. Ejecute Ias funciones siguientes con compuertas NAND. Asuma que se cuen-
ta con entradas normales y complementadas.
(a)
BD
+
BCD
+
AB' C' D'
+
A' B' CD' con no ms de sei s compuertas, cada una
con tres entradas.
(b) (AB
+
A' B'
)(CD' +
C' D) con dos compuertas de dos entradas.
3-12. Ejecute las siguientes funciones con compuertas NOR. Asuma que se cuen-
ta con l as entradas normal y compl ementada.
( a) AB' + C' D' + A' CD' + DC' ( AB + A' B' ) + DB( AC' + A' C)
b)
AB' , CD' , + A' , BCD' , + AB' , C' , D + A' , BC' , D
3-13. Haga una lista de las formas degeneradas de dos niveles y demuestre que se
reducen a una sola operacin. Explique cmo las formas degeneradas de dos
niveles pueden ser usadas para aumentar el
fan-out
de las compuertas.
3-14. Ejecute las funciones del Problema 3-9 con las siguientes formas de dos ni-
vel es: NOR- OR, NAND- AND, OR- NAND y AND- NOR.
3-15. Si mpl i fi que l as funci ones de Bool e F en suma de productos usando l as con-
diciones de no importa d;
( a) F: y' + x' z'
l : yz * rl
o)
F: B' , C' , D' , + BCD' + ABCD'
d: B' CD' + A' BC' D
http://libreria-universitaria.blogspot.com
CAP. 3
, i ( t , Si mpl i l i que i r l uuc, i r r de Bool e I i usando l a. ; condi ci ones
( l
no i mpor t a d en
i l
r sur l a
de'
pr oduct os y ( 2) pr oduct o de sumas:
( a) F: A' B' , '
. - . 4' CD
+ A' BC
d: A' BC' L, + ACD I AB' D'
O)
.F
:
w'(x'y * x'!' +
4t)
+ x'z'(y + w)
d: w' x( y' z + yz' ) + nyz
l c ) F: ACE + A' CD' E' + A' C' DE
d: DE' + A' D' E + AD' E'
( d)
F: B' DE' + A' BE + B' C' E' + A' BC' D'
d: BDE' + CD' E'
: l - 1; . l l j ecut . e l as si gui ent es l unci ones usando l as <, , l di ci ones de no i mpor t a. Asu-
ma que se cuent a con I r r s ent r adas nor mal es' , sus t t t mpi ement os.
( a) F: A' B' C' + AB' D + A' B' CD' con dos compuer t as NOR a l o sumo.
d: ABC + AB' D'
( b)
f
=
U
+ D) ( A' + B) ( , 1' + C' ) con t r es compuer t as NAND a l o sumo.
( c) f ' : B' D + B' C + ABCD con compuer t as NAND.
d: A' BD+AB' C' D'
3-18. Ej ecute l as si gui entes funci ones en compuertas NAND y NOR. Use sol a-
rnente cuatro compuertas. Sol amente se cuenta con l as entradas normal es.
F: v/xz + tt".vz * .r'yz' * wxy'z
d
:
w-t-z
r j
19. La si gui ent e expr esi n de Bool e:
BL + B' DE'
es l a ver si n si mpl i f i cada de l a f i r nci n:
A' BE + BCDE + BC' D' E + A' B' DE' + B' C' DE'
uHay
condi ci ones de no i mporta? Si es as,
cul es
son el l as?
i l
2(). D tres maneras posi bl es de expresar l as funci ones:
F
:
A' B' D' + AB' CD' + A' BD + ABC' D
con ocho o menos l i t er al es.
j l
21.
(l on
el uso de mapas, encuent re l a f <rrma ms si mpl e en suma de product os
de l a l ' unci rt F
:
f
g, donde
/
y g est n dados por:
-f
:
wry' + y' z + w' yz' + x' ), 2'
g
: ( v, + x + y' + z' ) ( x' * y' + z) ( w' + y + z' )
Suger enci ct : Ve el Pr obl ema 2- 8( b) .
: l - 22. Si mpl i f i que l a f ' unci r j n de Bt x l e del Pr obl emaS- 2( a) usando el mapa def i ni do
en i a l ' i gur a i l - 29( a) . Repi t a el ej er ci ci o con el mapa de l a Fi gur a 3- 29( b) .
http://libreria-universitaria.blogspot.com
PROBLEMAS I I 9
3-23. Si mpl i fi que l a funci n de Bool e del Probl ema 3-3(a) usando el mapa defi ni do
en l a Fi gura 3-30(a). Repi ta con el mapa de l a Fi gura 3-30(b).
3-24. Si mpl i fi que l as si gui entes funci ones de Bool e por medi o del mtodo del ta-
bul ado.
(;a) F(4, B, C, D, E, F, G): >(20, 28,52,60)
(b) F(A, B, C, D, E, F, G)
:
>(20, 28,38,39, 52, 60, r02, 103, 127)
( c) F( A, B, C, D, E, F)
:
>( 6, 9, 13, 18, 19, 25, 21, 29, 41, 45, 57, 61)
3-25. Repi ta el Probl ema 3-6 medi ante el uso del mtodo del tabul ado.
3-26. Repi ta el Probl ema 3-16(c) y (d)
usando el mtodo del tabul ado.
i ,
i.
3 !
http://libreria-universitaria.blogspot.com
Lg i ca com bi naci ona
I
4- 1 I NTRODUCCI ON
Los ci rcui tos l gi cos
para l os si stemas di gi tal es
pueden ser combi naci o-
nal es o secuenci al es. Un ci rcui to combi naci onal consi ste en compuertas
l gi cas cuyas sal i das se determi nan di rectamente en cual qui er momento
de l a combi naci n
presente de entradas si n tener en cuenta l as entradas
anteri ores. Un ci rcui to combi naci onal real i za una operaci n de
procesa-
mi ento de i nformaci n especfi ca compl etamente l gi ca por medi o de un
conj unto de funci ones de Bool e. Los ci rcui tos secuenci al es usan el emen-
tos de memori a
(cel das bi nari as), Adems
de compuertas l gi cas. Sus
sal i das son una funci n de l as entradas
y del estado de l os el ementos de
l a memori a. El estado de Ios el ementos de Ia memori a, a su vez es una
funci n de l as entradas
previ as. Como consecuenci a, Ias sal i das de un
ci rcui to secuenci al dependen no sol amente de l as entradas
presentes,
si no tambi n de l as entradas
pasadas, y el comportami ento del ci rcui to
debe especi fi carse
por una secuenci a de ti empos de l as entradas
y estados
i nternos. Los ci rcui tos secuenci al es se di scuten en el Captul o 6.
En el Captul o 1 se aprendi a reconocer l os nmeros
y cdi gos bi na-
ri os que representan l as canti dades di scretas de i nformaci n. Estas va-
ri abl ei bi nari as se representan
por medi o de vol taj es el ctri cos o por
cual qui er otra seal . Las seal es pueden ser mani pul adas
por compuertas
Igi cs di gi tal es con el f,rn de ej ecutar l as funci ones deseadas. En el Cap-
tul o 2 se l ntroduj o el l gebra de Bool e como vehcul o
para expresar al ge-
brai camente funci ones l gi cas. En el Captul o 3 se aprendi a si mpl i fi car
las funciones de Boole para lograr ejecuciones con compuertas de tipo
econmi co. El propsi to de este captul o es el de usar l os conoci mi entos
adqui ri dos en l os Captul os anteri ores
y el de formul ar vari os di seos
si sfemti cos
y procedi mi entos de anl i si s de l os ci rcui tos combi naci o-
nal es. La sol uci n de al gunos ej empl os tpi cos dar una recopi l aci n ti l
de funci ones el emental es i mportantes para Ia comprensi n de computa-
dores di gi tal es
y si stemas.
Un ci rcui to combi naci onal consi ste en vari abl es de entrada, compuer-
tas l gi cas
y vari abl es de sal i da. Las compuertas l gi cas aceptan seal es
120
http://libreria-universitaria.blogspot.com
I
n vari abl es
de ent rada
m vari abl es
de sal i da
Fi gura 4-1 Di agrama de bl oque de urr-ci rcui to combi naci on' al
en l as entradas
y genel an seal es en l as sal i das. Este proceso trasforma
i nformaci n bi nari a de datos de entrada dados a datos de sal i da reque-
ri dos. Obvi amente, l os datos de sal i da
y
de entrada se representan por
medi o de seal es bi nari as, es deci r, exi sten dos val ores posi bl es, unorre-
presentado lgica 7 y el otro representado lgica 0. En Ia Figura 4-1 se
muestra un di agrama de bl oque de un ci rcui to combi naci onal .l Las n va-
i abl es bi nari as de entrada vi enen de una fuente externa, l as rn vai abl es
de sal i da van a un desti no externo. En muchas apl i caci ones l a fuente y
el desti no son regi stros acumul adores
(Secci n 1-7) l ocal i zados en l a ve-
ci ndad de un ci rcui to combi naci onal o en al gn componente remoto exter-
no. Por defi ni ci n, un regi stro externo no debe i nfl uenci ar el comporta-
mi ento de un ci rcui to combi naci onal
ya que si l o hace el si stema total se
convi erte en un ci rcui to secuenci al .
Para n vari abl es de entrada, hay 2" combi naci ones
posi bl es de val o-
res de entrada bi nari a. Para cada combi naci n de entrada
posi bl e hay una
y sl o una combi naci n de sal i da posi bl e. Un ci rcui to combi naci onal
puede
descri bi rse
pol m funci ones de Bool e, una para cada vari abl e de sal i da'
Cada funci n de sal i da se expresa en trmi nos de n vari abl es de entrada.
Cada vari abl e de entrada a un ci rcui to combi naci onal
puede tener
Una o dos conexi ones. Cuando se cuenta sol amente con una conexi n, se
puede representar l a vari abl e en Ia forma normal
(no
ti l dada) o en Ia for-
ma de cbmpl emento
(ti l dada). como una vari abl e en una expresi n de
Bool e puede aparecer ti l dada-
y no ti l dada es necesari o sumi ni strar un
i nversoi para ada l i teral
que no se obtenga en el termi nal de entrada.
Por otra parte, una vari abl e de entrada puede aparecer en dos termi nal es
sumi ni strando l as formas normal es
y de compl emento a l a entrada del
ci rcui to. Si este eS el caso, no es necesari o i ncl ui r l os i nversores a l as
entradas. EI ti po de cel das bi nari as usadas en l a mayora de l os si stemas
digitales son circuitos flip-flops
(Captulo 6) que tiengn salidas
nalg
Los
va"l ores normal es
y
"ompl e*entados
de l a vari abl e bi nari a acumul ada.
En el trabaj o subi i gui ente, se asume
que cada vari abl e de entrada apa-
rece en dos termi nal es, sumi ni strando si mul tneamente
l os val ores nor-
mal es
y de compl emento. Se debe tener en cuenta
que un ci rcui to i nversor
puede produci r el compl emento de l a vari abl e si se cuenta con un sol o
t ermi nal .
4- 2 PROCEDI MI ENTO DE DI SEO
El di seo de ci rcui tos combi naci onal es comi enza desde el enunci ado del
probl ema y termi na con el di agrama de ci rcui to l gi co, o con un conj unto
e funci ones de Bool e de l os cual es se puede obtener el di agrama l gi co
fci l mente. El procedi mi ento cubre l os si gui entes
pasos:
t 2l
http://libreria-universitaria.blogspot.com
I 22 LOGI CACOMEI NACI ONAL
CAP 4
1. Se enunci a el probl ema.
2. se determi na el nmero requeri do de vari abres de entrada v el n-
mero requeri do de vari abl es de sal i da.
3. Se l e asi gnan l etras a l as vari abl es de entrada y sal i da.
4. se deduce l a tabl a de verdad que defi ne l as rel aci ones entre l as
entradas y l as sal i das.
5. Se obti ene l a funci n de Bool e si mpl i fi cada para
cada sal i da.
6. Se di buj a el di agrama l gi co.
una tabl a de verdad para ci rcui tos combi naci onal es consi ste en co-
l umnas de entrada y
col umnas de sal i da. Los unos y
ceros en l as col umnas
de entrada se obti enen de l as 2n combi naci ones bi nari as di sponi bl es
para n vari abl es de entrada. Los val ores bi nari os para l as sal i das se de-
termi nan despus de un examen del probl ema
enunci ado. una sal i da puede
ser i gual a 0 1 para cada combi naci n vl i da de entrada. si n embargo,
l as especi fi caci ones podran i ndi car que al gunas combi naci ones de enti a-
da no ocurri rn. Estas combi naci ones se converti rn en condi ci ones de
no i mporta.
Las funci ones de sal i da especi fi cadas en l a tabl a de verdad darn l a
defi ni ci n exacta del ci rcui to combi naci onal . Es i mportante que l as espe-
ci fi caci ones enunci adas se i nterpreten correctamente en l a tabl a de ver-
dad. Al gunas veces el di seador debe usar su i ntui ci n y
experi enci a para
l l egar a l a i nt er pr et aci n cor r ect a. Las especi f i caci ones eunci adas- son
faa vez compl etas y exactas. Cual qui er i nterpretaci n errnea que pro-
duzca una t abl a de ver dad i ncor r ect a
dar como r esul t ado un i i . " Li t u
combi naci onal que
no cubra l as necesi dades establ eci das.
Las f unci ones de Bool e de sal i da de una t abl a de ver dad se s. mpl i f i -
can por cual qui er mt odo di sponi bl e, t al como mani pul aci n al gebr ai ca,
el mt odo del mapa o el pr ocedi mi ent o
del t abul ado. Nor mal ment e habr
una vari edad de expresi ones si mpl i fi cadas entre l os cual es se puede es-
Loger . Si n embar go, en una apl i caci n par t i cul ar ,
ci er t as r est r i cci ones,
l i mi t aci ones y cr i t er i os vi enen como gui a
en el pr oceso
de sel ecci n de
una expr esi n al gebr ai ca par t i cul ar .
Un mt odo pr ct i co de di seo t endr
que
consi der ar t al es condi ci ones obl i gat or i as como ( 1) nmer o m ni mo
de compuer t as, ( 2) nmer o m ni mo de ent r adas a una compuer t a, ( 3)
t i em-
po de pr opagaci n
m ni ma de una seal a t r avs del ci r cui t o, ( 4) nmer o
mi ni mo de i nt er conexi ones y ( 5) l i mi t aci ones de l a capaci dad de acci o-
nami ento de cada compuerta. Como todos estos cri teri os no pueden sati s-
f acer se si mul t neament e y como l a i mpor t anci a de l as condi ci ones obl i -
gat or i as
se di ct an par a l a apl i caci n par t i cul ar ,
es di f ci l hacer una
af i r maci n gener al en l o que r espect a a una si mpl i f i caci n acept abl e. En
l a mayor a de l os casos l a si mpl i f i caci n comi enza
wr
l ogr ar un obj et i r . r ,
el ement al , t al como pr oduci r
una f unci n de Bool e si mpl i f i cada en l a f br ma
nor mal i zada y de al l pr oceder
a l ogr ar l os ot r os cr i t er i os de compor t a-
mi ent o.
En Ia prcti ca, l os di seadores ti enden a i r de l as funci ones de Bool e
d una l i st a de t er mi nal es que muest r an l as i nt er conexi ones ent r e var i as
http://libreria-universitaria.blogspot.com
'l
$
*
*
!
i
F
SEC, 4' 3
SUMADORES I 23
compuer t as l gi cas n, r r mal i zadas. En est e caso el di seo no debe i r ms
al l de l as f unci ones de B<r ol e si mpl i f i cadas de sal i da. Si n embar go, el
di agr ama I gi co es t i l
par a r - i sual i zar l a ej ecuci n de l as expr esi ones ct . , t r
compuer t as.
4- : i SUMADORES
I
, o
cor npu" l t as i : i i gi t r l e' s hacen una var i edad de t t , eas t i e
t t , r t r t l samt ent <l
ck. i nf i r r macr r l n. ! l r r t r e l a. , l r r nci ones bsi cas enc( ) nt r adas est I l I as di f i
r ( ' r l t es oper r i ci ones ar i t mt i cas. La oper aci r l ar i t mt i ca ms bsi ca es
si duda l a suma de dos di gi t os bi nar i r - , s. Est a si mpl e adi ci n consi ; t e el l
cuat r o oper aci ones el ement al es
pt l si bl es asi : 0f U-
( ' ,
0 + I ' = 1. 1+ 0: 1 r
1+ 1: 10. Las pr i mer as t r es
oper aci ones pr oducen una su l l t cuya l ongi t ut l
es en un d gi t o,
per o, en el caso en que ambos sumandob sean i gual es a
I
i i suma
' t r i nar i a
cot t st st e en dos ci i gi t os. Fl l i r i t l f l : : ' i r : r i f i t ' at i ' i t ' i l n'
'
,.:srrl tado
Se l l ama bi t de
qrrrsl re (Acarre0).
Cuando l ' )s i l l trtu' t ' ' rS de ros
- - 1dcs
i ' , r l l t i enet t ms el i ; l i i , , . sl gl l r l i . , r t i r , r ; l - . ei i r
l t r r a s l t e q L
obt i ene cl e l a suma de dos bi t s se agr ega ai si gui er r t i '
i i : r
de bi t s st , . l l , r
t ' i cat i yr ; s de mayor or den. Un ci r cui t o combi naci or t l
que r eal i za l a st i , , l , ,
de dos bi t s se l l ama sumodor medi o. Aquel que r eal r za i a suma dt t r ' : s r l t s
( dos
bi t s si gni f i cat i vos ms el bi t de ar r ast r e) es un. s17{ ci r t r i ont pl , ' l u. l l l
n6mbr e del
pr i mer o se der i va del hecho de qr r e se ust l l dos st l l l r i r , i r : i ' i : ' S r , r
-
r l i os
par a hacer un sumador compl et o. Los cl os ci r cui t os suni acl or es r l r ) 5: ) r l
l os pr i mer os ci r cui t os combi naci onal es
que se van a di st . ' ar .
Sumador medi o
i ) t , I a r : xpl i caci n ver bal del sumador medi o se encuel r l r a
que est e t ' i r t r t i i , ,
ecesi t a dos ent r adas bi nar i as
y dr r s sal i das bi nar i as. Las var i al t l es cl e
ent r r da desi gnan l os bi t s de l os sumandos, l as var i al l l es de sal i da
l t r oci u-
cen l a suma v el bi t dc ar r ast r e. Es necesar i o especi f i car dc s r ' t r i abk' s
de sal i da
por que el r esul t ado puede consi st i r de dos d gi t , r s
l i nr r i os. Se'
asi gnan ar bi t r ar i ament e l os s mboLr s . I
] ' . 1
a l as cl l " t ' ni r adl s,
\ ' ( par a
i r
suma)
' C
( par a
el bi t de ar r ast r ei par i l as sr l i das'
Una vez que se haya est abl eci do el nr i mer , r 1' 1, , 1, r onr l t r es de l t s
t , , r -
r i abl es de ent r ada y sal i da se est l i st o par a f r r r i i ui t i l a t abl de r . er r l . r t i
par a i dent i f i car exact ament e l a f ' unci n del sumat l r r nr edi o. Est a l r i , i
'
de ver dad se muest r a a c' ont i nuaci n:
(t
0
I
I
0
0
0
1
t l l bi t de ar r asl r * e: .
r )
r epr esent a el bi t nr en, l s
r n( ; ser qr t e ambas enl
" i gni f
i cat i vo de l a suma.
u l . l , , i ; , t . i d . ,
http://libreria-universitaria.blogspot.com
-t '
)"
x'
.l
)'
.I
c; "
-fl-
--L-/
l__
I H
' - - ( - l -
t T - L
j' 1_/
-
]
1J
(a)
.l
:
.r)' : .r')
C=x l
(b)
J
-
(r
-,-
.v)
(r' {
-y')
C: . r )
r
.t
( c ) S- ( C* ' y ' ) '
C: x y
( d) S. ( f
r ) ' ( . r ' * r ' )
- : 1- r ' , ' , v' , ) ' ,
Y
v
n'N
=
i,*'
Figura 4-2 Varias configuraciones del sumador medio
Las funci ones de Bool e si mpl i fi cadas para l as dos sal i das pueden ob-
tenerse di rectamente de una tabl a de verdad. Las expresi ones si mpl i fi ca-
das en suma de productos son:
S: x, y i
r y,
C: x Y
El di agrama l gi co para esta confi guraci n se muestra en Ia Fi gura 4-2(a)
de Ia mi sma manera que otras cuatro formas para hacer un sumador me-
di o. Todas el l as l ogran el mi smo resul tado en cuanto al comportami ento
de entrada-sal i da. El l as muestran l a fl exi bi l i dad di sponi bl e
para el di se-
ador cuando se confi gura una funci n l gi ca combi naci onal si mpl e, tal
como st a.
La Fi gura 4-2(a), como se ha enunci ado antes, es Ia confi guraci n del
sumador medi o en suma de productos. La Fi gura 4-2(b) muestra l a confi -
guraci n en producto de sumas:
, S: ( x +y ) ( x, +y , )
c: r y
124
http://libreria-universitaria.blogspot.com
H
H
PI
+ir
n1
t.i
; t ' .
sEc. 4-3
SUMADORES
125
para
obtener l a confi guraci n
de l a Fi gura 4-2(c), se nota
que s es l a oR-
excl usi va de r
y y. El
"o-pl ement o
de s es el equi val ent e de
y: ' (sec-
ci n 2-6):
S' : x Y+x ' Y'
pero como c: xy se obti ene:
S:
( C + , , y' ) '
En l a Fi gura 4-2(d) se usa l a confi guraci n
del producto de sumas con c
deri vado como si gue:
C: x y: ( x, +1, ) ,
El sumador medi o
puede ser confi gurado
con una OR-excl usi va
y una com-
nuerta AND de l a manera mostrada en l a Fi gura 4-2(e). Esta forma se usa
i l a. i "r.
para demostrar
que se necesi tan dos sumadores medi os
para
construi r un ci rcui to sumador compl eto.
Sumador comPl et o
Un sumador completo
es un circuito combinacional
que forma la suma
ari tmti ca de tre
' bi t.
d" entrada. Este consi ste en tres entradas
y dos
sal i das. Dos de l as vari abl es de entrada denotadas
por I
y y representan
l os dos bi ts si gni fi cati vos
que se agl egan. La tercera entrada z representa
el bi t de arrasl re de l a poi i ci n previ a menos si gni fi cati va' Se necesi tan
dos sal i das
porque l a suma ari tmti ca de tres dgi tos bi nari os vara en
val or de 0 a-3 y-l os bi nari os 2 3 necesi tan dos dgi tos. Las dos sal i das se
desi gnan
por l s smbol os S
para l a suma
y C para el bi t de arrastre. La
vari bl e bi nari a S da el val or de l a suma del bi t menos si gni fi cati vo'
La
'
vari abl e bi nari a C da el bi t de arrastre de sal i da. La tabl a de verdad del
sumador compl eto es como si gue a conti nuaci n:
Las ocho fi l as debaj o de l as vari abl es de entrada desi gnan
todas l as com-
bi *.i one.
posi bl es <l e unos
y ceros
que pueden tener esas vari abl es' Los
""o.
V
""ro,
d" l as vari abl es- de sal i d se determi nan
por l a suma ari tm-
ti ca de l os bi ts de entrada. Cuando todos l os bi ts de entrada sean ceros'
l a sal i da es cero. La sal i da S es i gual a 1 cuando sol amente una entrada
00
01
0l
l 0
0l
l 0
l 0
l l
000
001
010
0l l
100
l 0l
110
l l l
http://libreria-universitaria.blogspot.com
I
.{
0
f
. l l
I
00 0r r 0
I
. S . r ' r , ' : - r ' - l : ' r . r ) ' : ' - , r l , :
C
-
. r r .
I
. t . \ :
Fi gur a 4- B Mapas Ce un sumador comDl et o
es i gual a I cuando todas l as tres entradas sean i gual es a uno. La sal i -
da c ti ene un bi t de arrastre de I s,i dos de l as tres" entradas son i gual es
. . 1
c t .
Los bi t s de ent r ada y sal i da de l os ci r cui t os combi naci onal es
t i enen
di l er ent es i nt er pr et aci ones
en l os di f er ent es est ados del pr obl ema.
F si ca-
ment e. l as seal es bi nar i as de l os t er mi nal es de ent r aa se consi der an
dgi tos bi nari os agregados ari tmti camente para
formar una suma de dos
di gi t os en l os t er mi nal es de sal i da.
por
ot r par t e,
I os mi smos val or es bi -
nari os se consi deran vari abl es de l as funci ones de Bool e cuando ."\ u"p."-
san en I a t abl a de ver dad o cuando se ej ecut an l os ci r cui t os con compuer r as
l gi cas. Es i mpor t ant e
t ener en cuent a que se dan dos i nt er pr et aci ones
di f er e' t es a l os val or es de l os bi t s encont t ado.
en est e ci r cui t o.
- La
r el aci n l gi ca de ent r ada- sal i da
del ci r cui t o del sumador c mp ' t .
puede ser expresada con dos funci ones de Bool e, una para
cada vari al ,l e
cl e sal i da. cada funci n
de Bool e de sal i da requi ere un rnopu ni co para
str
si mpl i f i caci n.
cada mapa debe t ener ocho cuadr ados ya que cada , , l r , l ; i
es una funci n de l as tres vari abl es de entrada. Los mapas de l a l .' i gura
{ 3 se usan para si mpl i fi car l as dos funci ones de sal i da. Los unrrs err l or;
cuadr ados de l os mapas par a s y
c se det er mi nan di r ect ament e cl e l a t abl a
de ' er dad. L. s cuadr ados con unos par a
l a sal i da s, no combi r an en cua-
dr ados adyacent es, par a
_dar
una expr esi n si mpl i f i cada en suma de pr o.
duct os. La sl i da c puede
si mpl i f i cr se
a una expr esi n de 6l i t er al es. El
di agrama l gi co para
el sumador compl eto ej ecutado en suma de productos
se muest r a en l a Fi gur a 4- 4. Est a conf i gur aci n
usa l as si gui ent es expr e-
si ones de Rnl e.
S: x ' y ' z * x ' y z ' * x y ' z ' * x ' :
C: x y +x z +y z
Se pueden
desar r ol l ar ot r as conf i gur aci . nr , s par a
el sumador
compl e_
to. La ej ecuci n
del producto
de suma.s reqrri ere .l -i ..rr-,,, ri rmero de com-
puer t as que
l a conf i gur aci n
de l a Fi gur a 4- ' 1. c. n el gr up' de
cor ni i u, , r t as
AND y
oR i ntercambi adas.
un sumador compl eto p"a"
confi gurarse con
dos sumadores
medi os y
una compuerta
oR, ctmo se muestra e., l u Fi gu.u
4-5. La sal i da s del segundo sumador medo es l a apl i caci n
de una oR-
excl usi va
de z y l a sal i da del pri mer
sumador medi o dando:
t 26
http://libreria-universitaria.blogspot.com
E
1, f f i
F,l
$,i
'
t -
i i
Fi gura 4-4 Conf i guraci n de un sumador compl et o en suma de product os
Fi gur a 4- 5 Conf i gur qci n de un sumador compl et o con t i l r s sumador es medi os
y una codrpuerta
OR
S: z O ( r
Oy )
:
z' (x/ ' + x' y) I z(xy' * x' y)'
:
z' (xt ' + x' y) + z(xy + x' y' )
:
xy' z' + x' yz' * xyz * x' y' z
y el bi t de arrast re de sal i da ser:
C: z( xy' + x' y) * xy: xy' z * x' yz i xy
4- 4 SUSTRACTORES
La sustracci n de dos nmeros bi nari os pueden l ograrse tomando el com-
pl ement o del sust raendo para agregarl o al mi nuendo (secci n
1-b). Me-
di ant e est e mt odo, l a operaci n de sust racci n se convi ert e en operaci n
de suma que necesi t a sumadores compl et os para su ej ecuci n en una m-
qui na. Es posi bl e ej ecut ar l a sust racci n con ci rcui t os l gi cos de una ma-
nera di recta como se hace con l pi z y papel . Medi ante este mtod<i , cada
bi t de sustraendo del nmero se resta de su correspondi ente bi t si gni fi -
cat i vo del mi nuendo para f ormar el bi t de I a di f erenci a. Si eL bi t del mi -
nuendo es menor que
el bi t del sustraendo, se presta
un 1 de Ia si gui ente
posi ci n
si gni fi cati va. EI hecho de que se ha prestado
un 1 debe l l evarse
i l
i t
i 1
i t
ii
t:l
i1
* t
F I
bt
&i
127
http://libreria-universitaria.blogspot.com
128 LOGTCA
coMBt NACt ONAL
c AP. 4
al si gui ente par
de bi ts mayorgs por
medi o
de Ias seares
bi nari as que
vi enen (sal i da)
de un estadl
a"g-y
van al (entrada)
si gui ente
estado
mayor'
De l a mi sma
manera que
hay sumadores
;;Ji *' y
compl etos.
Hay
sustractores
medi os y
compl etos.
Sust r act or
medi o
un sustractor
medi o es un ci rcui to
combi naci onal
que
resta
dos bi ts y
produce
su di ferenc_i a.
Este tambi n
ti .n,
unu sal i da que
especi fi ca
si se
ha prestado
un 1' se desi gna
"r
bi ; det mi ' ue' do
con r y
el bi t del sus-
traendo
con
v.
para
reari r.x-y
r" a"u l "r;],Ji l
l agni tudes
rel a_
t i vas de x y y. Si
r l y,
t endr ems
t r es posi bi l i dades:
O_: 0,
1_6: 1 y
1-1: 0.
El resul t ado
se l l ama
er bi t d"' dl ; ; ; ; , -
"s-r,
se t i ene 0_1,
y se hace
necesai o prestar
un 1 der si gui ente
"stro-rnay"i .
u 1 prestado
del estad<
siguiente.mavor
asresa
2 ar b;^;i'-:;;;;;Hi"
misma forma
que
en el sistema
decimal
un r,,o".o pre-stado
agrega
10 al dgito der mi_
nuendo'
Con el mi nuendo
i gual a 2l ai i f eren"i "
*L"ri Ji t "
"r,
2-I : I .
El sustractor
medi o necesi t
o. .ui i u..
una sal i da genera
l a di ferenci a
y se desi gna
medi ante
el smbol o
D. l a segunda
sal i di
aesi gnaaa
como B
(B
vi ene
de Borrow), genera
l a seal
bi .rari a que
i nforma
al si gui ente
esta-
do que
se ha prestado
un uno. r," luia de verdad para
las reraciones
de
ffj;:;ir"'ida
de un sustractor
medio
se puede
drivar
de la siguienre
0
I
0
0
00
0l
l 0
l l
La sal i da pr est ada
B: : 0. si empr e
y
cuando x. 2y.
Ser l par a
: 0y y: 1.
La sal i da D es el resul tado
" i u ourucrn
ari tmti
ca 28
+
x
_
y.
Las f unci ones
de B-ool e pur
l a, ao, , una,
o. r l ur, ru. t or
medi o se
deri van
di rectamente
de l a tabi a de ueraad,
D: x, y |
r y, .
B: x ' y
Es i nteresante
notar
g"g
J"
l gi ca para
D es exactamente
l a mi sma que
l a
l gi ca para
Ia sal i da
S "1 ."ri uaoi -.Ai ".
sust ract or
compl et o
Un sustractor
compl eto
es un ci rcui to
combi naci onal
que
real i za
una resta
entre dos bi ts, tomando
en consi deraci on
que
se ha prestado
un 1 de un
estado
menos si mi fi cati vo.
Este
ci .cui to
ti ei e tres ;";;;; y
dos sal i das.
Las tres entradas,
x,
_y
y
e denotan
J- -i r,u".rdo,
el sustraendo y
el bi t de
arrastre
o bit prestado
respectivamente.
r,". o. *liJ"., 'v B, represen-
http://libreria-universitaria.blogspot.com
E
H
lii
s:1
s.
SEC. 4- 4 SUSTRACTORES 129
tan l a di ferenci a y l a sal i da del bi t prestado respecti vamente. La tabl a de
verdad para este ci rcui to es Ia si gui ente:
Las ocho fi l as debaj o de l as vari abl es de entrada desi gnan todas l as com-
bi naci ones posi bl es
de unos y ceros que pueden adoptar l as vari abl es bi na-
ri as. Los unos y ceros para l as vari abl es de sal i da se determi nan por l a
resta de x
-y -
z. Las combi naci ones que ti enen entrada prestada z:0
se reducen a l as mi smas cuatro condi ci ones del sumador medi o. Para :0,
y:0 y e: 1 es necesari o prestar un 1 del si gui ente estado, l o cual hace
B
:
\ y agr egar 2 a x. Yaque 2- 0- 1
:
l , D
:
1. Par a
:
0y yz :
l 1. , es nece-
s ar i o pr est ar de nuevo hac i endo B: l y x : 2. Ya que 2- 1- 1: 0. D: 0.
Par a r : I y yz: 01, s e t i ene r
- y - z : 0l o
cual hac e B: 0 y D: 0. Fi nal -
ment e par a
: l y y: I , z: 1 se t i ene que pr est ar 1, haci endo B: l y x: 3
par a 3- 1
-
1: t haci endo D
:
I .
Las funci ones de Bpol e si mpl i fi cadas para l as dos sal i das del sustrac-
tor compl eto se deri van de l os mapas de l a Fi gura 4-6. Las funci ones si m-
pl i fi cadas en suma de productos sern:
D
:
x'y'z + x'yz' I
ry'z'
* xyz
B: x ' y* x ' z* y z
De nuevo se nota que l a funci n l gi ca para l a sal i da D en un sustractor
compl eto es exactamente l a mi sma que l a sal i da S en el sumador compl eto.
Si n embargo, l a sal i da B se parece a l a funci n C en el sumador compl eto,
excepto que l a vari abl e de entrada r se compl ementa. Debi do a estas si -
mi l i tudes, es posi bl e converti r un sumador compl eto a un sustractor
00
l l
l t
l 0
0l
00
00
l l
000
001
010
0l l
100
l 0l
l l 0
l l l
i
i
;
t .
r ' { I
t
0
f
{ l
I
+
7.
D: x' y' zl x' yzl xy' z' * xyz
t r
l -
-R
I r t l
L{---++ -I
7.
B : x ' y + x ' z r y a
0 0
I
Figura 4-6 Mapas para un sumadsr completo
http://libreria-universitaria.blogspot.com
l 3O LOGI CA COMBI NACI ONAL
CAp. 4
compl eto si mpl emente compl ementando l a entrada antes de su apl i caci n
a l as compuertas que forman el bi t de arrastre de sal i da.
4. 5 CONVERSI ON ENTRE CODI GOS
La di sponi bi l i dad de una gran
vari edad de cdi gos para l os mi smos el e-
mentos di scretos de i nformaci n da como resul tado el uso de cdi gos di fe-
rentes para
di ferentes si stemas di gi tal es. Es necesari o al gunas veces usar
Ia sal i da de un si stema como entrada de otro. Un ci rcui to de conversi n
debe col ocarse entre l os dos si stemas, si cada uno usa di ferentes cdi gos
para l a mi sma i nformaci n. De esta forma un conversor de cdi go
".
un
ci rcui to que hace compati bl es dos si stemas a pesar de que ambo- tengan
di f erent e cdi go bi nari o.
Para converti r el cdi go bi nari o A al cdi go bi nari o B, l as l neas de
entrada deben dar una combi naci n de bi ts de l os el ementos, tal como se
especi fi ca por el cdi go A y l as l neas de sal i da deben generar l a correspon-
di ente combi naci n de bi ts del cdi go B. Un ci rcui to cmbi naci onal rel i za
e-sta trasformaci n por medi o de compuertas l gi cas. El procedi mi ento' de
di seo de l os conversores de cdi go se i l ustra medi ante Ln ej empl o espe-
cfi co de conversi n de BDC a cdi go de exceso 3.
Las combi naci ones de bi ts del BDC y
el exceso 3 se l i stan en l a Tabl a
1-2 (secci n
1-6). como cada cdi go usa cuatro bi ts para representar un
dgito decimal, debe habe cuatro variables de entrada y
cuatro variables
de sal i da. Es cpnveni ente desi gnar l as cuatro vari abl es bi nari as de entrada
medi ante l os smbol os A, B, c y D y l as cuatro vari abl es de sal i da con u,,
-r, y, y z. La tabl a de verdad que rel aci ona l as vari abl es de entrada y sal i da
se muestran en l a Tabl a 4-1. Las combi naci ones de bi ts para
l as entradas
v sus correspondi entes sal i das se obti enen di rectamente de l a Tabl a 1-2.
Se nota que cuatro vari abl es bi nari as pueden
tener 16 combi naci ones
Tabl a 4- 1 Tabl a de ver dad par a el ej empl o de conver si n de cdi eo
Ent rada
BDC
Sal i da
cdi go exceso 3
I
I
0
0
0
0
0
0
0
0
I
I
0
0
0
0
00
0l
t 0
l l
00
0l
l 0
l l
00
0l
0
0
0
0
0
I
I
I
I
I
l l
00
0l
r 0
t l
00
0l
l 0
l l
00
0
0
0
0
I
http://libreria-universitaria.blogspot.com
SEC. 4. 5 CONVERSI ON ENTRE CODI GOS I 3I
de bi ts de l as cual es se l i stan 10 en l a tabl a de verdad. Las sei s combi na-
ci ones de bi ts no l i stadas para l as vari abl es entrada son l as combi naci o-
nes de no i mporta. Como el l as nunca ocurren, se ti ene l a l i bertad de asi g-
nar un 1 un 0, a l as vari abl es de sal i da, de acuerdo a Ia que d un ci rcui to
ms si mpl e.
Los mapas de Ia Fi gura 4-7 se di buj an
para obtener una funci n de
Bool e si mpl i fi cada para cada sal i da. Cada uno de l os cuatro mapas de l a
Fi gura 4-? representa una de l as cuatro sal i das de este ci rcui to como fun-
ci n de l as cuatro vari abl es de entrada. Los unos marcados dentro de l os
cuadrados, se obti enen de dos trmi nos mni mos que hacen que l a sal i da
sea i gual a 1. Los unos se obti enen de l a tabl a de verdad observando l as
col umnas de sal i da una
por una. Por ej empl o, l a col umna baj o l a sal i da e
ti ene 5 unos, por tanto, el mapa para z debe tener ci nco unos cada uno de
l os cual es debe ser un cuadrado
que corresponde al trmi no mni mo
que
hace z i gual a 1. Las sei s combi naci ones de no i mporta se marcan con X.
Una posi bl e forma de si mpl i fi car l as funci ones en suma de productos se
l i sta baj o el mapa de cada vari abl e.
Se puede obtener un di agrarrra l gi co de dos ni vel es di rectamente de
l as expresi ones de Bool e deri vadas de l os mapas. Hay otras posi bi l i dades
para el di agrama l gi co que ej ecuta este ci rcui to. Las expresi ones obteni -
!
r-
F
CD
AB
OO
00
C
ol
- l l
' l o- CD
t)
(
^l'
I'
I
, l
AI
I
t
]'
^j
I
I
I
l B
I
I
I
I'
I
J'
^u
CD
B
I
l l l
-T
r l
[ . ]
Ir
^ ' \
I
=
l xl
r l
D
D'
L
D
\ ' - CD
i C' D'
CD
00
D
t B' C
-
I ] ' D BC' D'
D
v'
-.
A BC BD
lt I
x X ^
l_l
X
LB
00
0 1
l l
0
r-l ta
I I
X ^
I
l-r
l x
L
B
0 l l l
0 l
l l
I
f-
l i
' t
f
^ ^
A
I I ^
Fi gur a 4- 7 Mapas par a el cr nvc' t sor de ct i di go de BDC exceso 3
http://libreria-universitaria.blogspot.com
I 32 LoGI cA coMBI NACI oNAL
CAP.
4
das en l a Fi gura 4-T pueden
mani pul arse
al gebrai camente
con er propsi to
de usa compuertas
comunes par
do. o ms sal i das. Esta mani puraci n
mostrada a conti nuaci n,
i l ustra
l a fl exi bi l i dad
obteni da
con l os si stemas
de ml ti pl es sal i das cuando se ej ecutan
con tres o ms ni vel es de com_
puertas.
z: D' ,
y:
CD + C' D'
:
CD + ( C
+ D\ ,
X: B' C + B' D + BC' D'
:
B' ( C + D) + BC' D'
:
B' (C + D) + B(C + D),
w: A+BC+BD: A+B( C+D)
Pl
diagrama lgico que
configura la expresin anterior se muestra en ra
Fi gura 4-8. En este se_observa que l a compuerta
oR cuya sal i da es c+D
se ha_usado para
confi gu.a. pa.ci al mente
cada una de i as tres sal i das.
No teniendo en cuenta los inversores
de entraar,--"j""rrcin
en suma
de productos
requiere siete compurtas
AND y
tre. colpuJas
oR. La con-
guracin de la Figura 4-8 requiere
cuatro compuertas
AND, cuatro com_
puertas
oR y
un i nversor.
si estn di sponi bl es
sol amente' l as
entradas
normales,
la primera
ejecucin requerir
inversores p".u
, variables B, c
-v.D.
Mietras que
la segunda ejecucin requiere inversores para ras varia-
bl es B y D.
Fi gura 4-8 Di agrama l gi co para
el converso
de cdi go BDC a exceso 3
http://libreria-universitaria.blogspot.com
4- 6 PROCEDI MI ENTO
DE ANALI SI S
El di seo de l os ci rcui tos combi naci onal es
comi enza con l as especi fi caci o-
nes enunciadas de una funcin requerida
y culmina con un conjunto de
funciones de Boole de salida o un diagrama lgico. El anlisis de un cir-
cui to combi naci onal es de ci erta manera el proceso i nverso. Este comi enza
"o" ""
di agrama l gi co dado
y cul mi na con un conj unto,de
funci ones de
Bool ", una"tabl a dJverdad o una expl i caci n verbal de l a operaci n del
ci rcui i o. Si el di agrama
l gi co
que se va a anal i zar se acompaa del nom-
bre de l a funci n, o una expl i caci n de l o que se asume
que l ogre, entonc-es
rt u.teti ri * del
probl ema se i educe a l a veri fi caci n de l a funci n enunci ada'
El pri mer paso en el anl i si s es asegurarse
que. el ci rcui to dado sea
combi naci onal
y no secuenci al . El di agrama de un ci rcui to
combi naci onal
ti ene compuerts l gi cas si n cami nos de real i mentaci n o el ementos de
memori a. .t cami tto de real i mentaci n
es una conexi n de l a sal i da de una
compuerta a la entrada de una segunda compuerta
que forma
parte de
_la
entrada de l a pri mera compuerta. Los cami nos de real i mentaci n o el e-
mentos de memori a en un ci rcui to di gi tal defi nen un ci rcui to secuenci al
V
"U"" ser anal i zados de acuerdo a l os procedi mi entos esbozados en el
Captul o 6.
una vez
que se veri fi que el di agrama Igi co como ci rcui to combi naci o-
nal , se puede proceder a obtener l as funci ones de sal i da
y l a tabl a de ver-
aa. Si -el ci rcui to se acompaa de una expl i caci n verbal de esta funci n,
entonces las funciones de Boole o la tabla de verdad son suficientes
para
l a veri fi caci n. Si l a funci n del ci rcui to est baj o i nvesti gaci n, entonces
es necesari o i nterpretar l a operaci n del ci rcui to de l a tabl a de verdad
deri vada. El xi to de tal i nvesti gaci n se faci l i ta si se ti ene experi enci a
previ a y fami l i ari dad con' una
gran vari edad de ci rcui tos di gi tal es. La ha-
i U"a- de correl aci onar una tabl a de vqrdad con una tarea de
procesa-
mi ento de i nformaci n es un arte que se adqui ere con Ia experi enci a.
Para obtener l as funci ones de Bbol e de sal i da de un di agrama l gi co,
se
procede de l a si gui ente manera:
1. sel ese con smbol os arbi trari os todas l as sal i das de l as compuer-
tas que son fpnci n de l as vari abl es de entrada. Obtngase l as
funciones de Boole para cada compuerta'
2. Mrquesb con otros smbolos arbitrarios aquellas compuertas
que
son una funci n de l as vari abl es de entrada
y l as compuertas mar-
cadas anteri ormente. Encuntrese l as funci ones de Bool e para
el l as.
3. Reptase el proceso esbozado en el paso 2 hasta que se obtengan
l as sal i das del ci rcui to'
4. obtngase l as funci ones de Bool e de sal i da en trmi nos de l as va-
ri abl eJ de entrada sol amente,
por susti tuci n repeti da de l as fun-
ci ones defi ni das anteri ormente.
El anl i si s del ci rcui to combi naci onal en l a Fi gura 4-9 i l ustra el pro-
cedi mi ento
propuesto. Se nota que el ci rcui to ti ene tres entradas bi nari as,
u
p,l
h.i
ilr
* i
F:'
' :
r i
i l
r f
i i
t
ii
il
133
http://libreria-universitaria.blogspot.com
I 34 LoGI cAcoMBI NACI oNAL
CAP. 4
A, B y
c y
dos sal i das bi nari as, F, y Fz. Las sal i das de l as di ferentes
compuertas se marcan con smbol os i ntermedi os. Las sal i das de l as com-
puertas que son funci ones de l as vari abl es de entrada son sol amente F2
,
Tt y Tz. Las funci ones de Bool e para
estas tres sal i das son:
Fz : AB+AC+BC
Tt : A+B+C
TZ: ABC
En segui da se consi deran l as compuertas de sal i da que
son funci ones de
l os smbol os ya
defi ni dos:
Tt : Fi T,
F' : T' + T'
La funci n de Bool e de sal i da F, est ya expresada como una funci n de
l as entradas sol amente. Para obtener F, com funci n de A, B y c se for-
man una seri e de susti tuci ones
como si gue a conti nuaci n:
Ft
:
Tt * Tr : F; Tt + ABC
:
( AB + AC + BC) , ( A + B + C) + ABC
:
( A' + B, ) ( A, + C, ) ( 8, + C, ) ( A + B + C) + ABC
:
( A' + B' C' ) ( AB' + AC' + BC, + B, C) + ABC
:
A, BC, + A, B, C + AB, C, + ABC
si se qui ere
conti nuar con l a i nvesti gaci n y determi nar l a taea de
i nfbrmaci n-trasformaci n
l ograda po. esi e ci rci to se puede
deri var l a
tabl a de verdad di rectamente
de l as funci ones
de Bool e y tratar de reco-
C
A
B
C
A
B
(-
B
B
C
Fi gur a 4- 9 Di agr ama l gi co par a el ej empl o de anl i si s
http://libreria-universitaria.blogspot.com
SEC. 4. 6
PROCEDI MI ENTO DE ANALI STS 135
nocer una operaci n fami l i ar. Para este ej empl o ntese que el ci rcui to es
un sumador compl eto, con Fr si endo Ia suma de sal i da y Fz el bi t de
arrastre de sal i da. A, B y C son l as tres entradas sumadas al gebrai ca-
ment e.
La deri vaci n de l a tabl a de verdad para el ci rcui to es un proceso di -
recto una vez que se reconozcan l as funci ones de Bool e de sal i da. Para
obtener l a tabl a de verdad di rectamente del di agrama l gi co si n pasar por
l as deri vaci ones de Ias funci ones de Bool e, se procede de l a si gui ente
manera:
1. Determnese el nmero de vari abl es de entrada del ci rcui to. Para
n entradas, frmese l as 2n posi bl es combi naci ones de entrada de
unos
y
ceros l i stando l os nmeros bi nari os desde 0 hasta 2"
-
I'
2. Mrquese l as sal i das de l as compuertas sel ecci onadas con smbo-
l os arbi trari os.
3. Obtngase l a tabl a de verdad para l as sal i das de aquel l as compuer-
tas que son una funci n de l as vari abl es de entrada sol amente.
4. Procdase a obtener l a tabl a de verdad para l as sal i das de aquel l as
c' ,mpuertas
que son una funci n de l os val ores defi ni dos
previ a-
r r ent e hast a que se det er mi nen l as ccl umnas par a t odas l as sal i das.
Este proceso puede i l ustrarse usando el ci rcui to de l a Fi gura 4-9. En
Ia Tabl a 4-2 se forman l as ocho combi naci ones
posi bl es para l as tres en-
tradas vari abl es. La tabl a de verdad para F, se determi na di rectamente
de l os val ores de A, B y C con F, i gual a 1 para cual qui er combi naci c,n
que
ti ene dos o tres entradas i gual es a l . La tabl a de verdad para Fj es el com-
pl emento de Fr. Las tabl as de verdad para T1 y ?2 son l as funci ones OR
y AND de l as vari abl es de entrada respecti vamente. Los val ores para T3 se
deri van de ?, y Fj : T, es i gual a l cuando T' '
y F:t son i gual es a uno, y a
cero de otra manera. Fi nal mente, F, es i gual a 1, para aquel l as combi na-
ci ones en l as cual es T2 o T3 o ambas sean i gual es a 1. Por i nspecci n de
l as combi naci ones de l a tabl a de verdad para A, B, C, Ft y F, de l a Tabl a
4-2 se muestra que son i dnti cas a l a tabl a de verdad del sumador com-
pl eto dado en l a Secci n 4-3 para r, y, z, S y C respecti vamente.
Tabl a 4-2 Tabl a de verdad para el di agrama l gi co de l a Fi gura 4-9
Fl F2
0
0
0
I
0
I
I
I
T3 Tl
000
00r
010
0t l
r 00
l 0l
r t 0
l l l
0
I
0
0
0
000
0l l
0l l
000
0l l
000
000
r 0l
l
li
http://libreria-universitaria.blogspot.com
r
I 3 6 L OGI CACOMBI NACI ONAL
CAP. 4
Consi drese ahora un ci rcui to combi naci onal que ti ene combi naci ones
de entrada de no i mporta. Cuando se di sea un ci rcui to como este, se mar-
can l as combi naci ones de no i mporta con una X en el mapa y se l es asi gna
un 1 o un 0, segn sea l o ms conveni ente para l a si mpl i fi caci n de l a fun-
ci n de Bool e de sal i da. Cuando se anal i za un ci rcui to con combi naci ones
de no i mporta se ti ene una si tuaci n total mente di ferente. Aunque se
asume que l as combi naci ones de entrada de no i mporta nunca ocurren,
el hecho es que si cual qui era de estas combi naci ones se apl i ca a l as en-
tradas (i ntenci onal mente
o por
error) se tendr presente
una sal i da bi -
nari a. El val or de l a sal i da depender de l a escogenci a de l a X durante
el di seo. Parte del anl i si s de tal ci rcui to puede i nvol ucrar l a.determi -
naci n de l os val ores de sal i da para l as combi naci ones de entrai a de no
i mporta. como ej empl o, consi drese el conversor de cdi go de BDC a cdi go
de exceso 3 di seado en l a Secci n 4-b. Las sal i das obteni das cuando e
apl i can l as sei s combi naci ones no usadas del cdi go BDC a l as entradas
son:
Entradas BDC no usadas
ABCD
SaLi das
x
I
I
I
I
I
I
I
I
0
0
I
I
0
I
0
I
0
I
0
0
0
Estas sal i das pueden deri varse por
medi o del mtodo del anl i si s de l a
tabl a de verdad esbozado en esta secci n. En este caso parti cul ar, l as sa-
l i das pueden
obtenerse di rectamente de l os mapas de l a Fi gura 4-7.
por
i nspecci n de l os mapas, se determi na cuando l as X en l os i uadrados de
l os trmi nos mni mos correspondi entes a cada sal i da, han si do i ncl ui dos
como unos o ceros. Por ej empl o, el cuadrado del trmi no mni mo m,6 (1010)
se ha i ncl ui do con l os unos para
dar sal i das w, x y z pero tro pa y.
por
t ant o, l as sal i das par a
mr o son wxyz: 1101 t al como est n l i st adas en l a
tabl a anteri or. Se nota que l as pri meras
tres sal i das en l a tabl a no ti enen
si gni fi cado en el cdi go de exceso 3 y por l o menos tres sal i das correspon-
den al deci mal 5, 6 y 7 respecti vamente.
Esta coi nci denci a es total mnte
una funci n de Ia escogenci a de X durante el di seo.
4- 7 CI RCUI TOS NAND DE MULTI NI VEL
Los circuitos combinacionales se construyen ms frecuentemente
con com-
pygfl l s NAND y NOR en vez de compuertas AND y
OR. Las compuertas
NAND y NoR son ms comunes desde el punto
de vi l ta del materi ai
(trard-
ware) ya que se obtienen en la forma de circuitos integrados. Debido a la
importancia de las compuertas NAND y NoR en el iseo de circuitos
combi naci onal es, es i mportante poder reconocer l a rel aci n que exi ste entre
0
I
I
0
0
I
I
0
I
0
I
0
http://libreria-universitaria.blogspot.com
I
,
sEc. 4- 7 CI RCUI TOS NAND DE MULTI NI VEL 137
los circuitos construidos con compuertas AND-OR y sus diagramas NAND
o NOR equi val entes.
La ejecucin de los diagramas lgicos de dos niveles NAND y NOR
fue presentada en la Seccin 3-6. Aqu se considera el caso ms general de
l os ci rcui tos de mul ti ni vel . El procedi mi ento para obtener ci rcui tos NAND
se presenta en esta secci n y para l os ci rcui tos NOR en l a si gui ente secci n.
Compuer t a uni ver sal
La compuerta NAND se conoce como l a compuerta uni versal
ya que cual -
quier sistema digital se puede configurar con ella. Los circuitos combina-
ci onal es
y secuenci al es pueden construi rse tambi n con esta compuerta
ya que el circuito flip-flop
(el elemento de memoria usado ms frecuente-
mente en l os ci rcui tos secuenci al es) puede construi rse a parti r de dos com-
puertas NAND conectadas especialmente como se muestra en la Seccin
6- 2.
Para demostrar
que cualquier funcin de Boole puede configurarse con
compuertas NAND, se necesita no solamente mostrar que las operaciones
l gi cas AND, OR
y NOT pueden ser confi guradas con compuertas NAND.
La confi guraci n de l as operaci ones AND, OR
y NOT con compuertas NAND
se muestra en l a Fi gura 4-10. La operaci n NOT se obti ene de una compuer-
ta NAND de una sol a entrada, l o cual consti tuye otro smbol o para el i nver-
sor. La operacin AND requiere dos compuertas NAND. La primera produce
la AND invertida y la segunda acta como un inversor para producir la sa-
l i da normal . La operaci n OR se l ogra medi ante una compuerta NAND
con inversores adicionales en cada entrada.
Una manera conveni ente de confi gurar un ci rcui to combi naci onal con
compuertas NAND es obtener las funciones de Boole simplificadas en tr-
mi nos de AND, OR
y NOT y converti r l as funci oncs a l gi ca NAND. La con-
NOT (i nversor)
AND
( A' , B' ) ' , :
A
*
u
oR
Figura 4-1O Configuracin del NOT, AND y OR por medio de compuetas NAND
http://libreria-universitaria.blogspot.com
I 3 8 L o GI c ACo MBI NACI o NAL
CAP. 4
versi n de expresi ones al gebrai cas de operaci ones AND, oR, Nor a opera-
ci ones NAND son comnmente muy compl i cadas ya que
envuel ve un gran
nmero de apl i caci ones del teorema de De Morgan. La di fi cul tad se el ude
medi ante el uso de mani pul aci ones de ci rcui tos y regl as senci l l as l as cual es
se esbozan a conti nuaci n:
Conf i gur ac i n de l as f unc i ones de Bool e-
Mt odo del di agr ama de bl oque
I,a
confi guraci n de funci ones de Bool e con compuertas NAND pueden
obtenerse por medi o de una tcni ca de mani pul aci n del di agrama de bl o-
que. Este mtodo requi ere que se di buj en otros dos di agramas l gi cos antes
de obtener el di agrama l gi co NAND. si n embargo el procedi mi ento
es muy
si mpl e y
di recto:

A parti r
de una expresi n al gebrai ca, di bj ese el di agrama l gi co con
compuertas AND, OR y NOT. Asmase que se ti enen di sponi bl es
l as entradas normal es y sus compuertas.
Di bj ese un segundo di agrama l gi co con l a l gi ca NAND equi va-
l ente, como se da en Ia Fi gura 4-10 y
susti tyase para cada com-
puert a AND, OR y NOT.
Qutese
cual qui er par
de i nversores en cascada del di agrama ya
que Ia dobl e i nversi n no produce
una funci n l gi ca.
eutese
l os
i nversores conectados a entradas externas si mpl es y compl emn-
tese l a vari abl e de entrada correspondi ente. El nuevo di agrama
l gi co obteni do es l a confi guraci n con compuertas NAND reque-
ri do.
Este procedi mi ento
se i l ustra en l a Fi gura 4-II para l a funci n:
F: A( B + CD) + BC'
La ej ecuci n AND-OR de esta funci n se muestra en el di agrama l gi co de
l a Fi gura 4-11(a). Para cada compuerta AND, se susti tuye una compuerta
NAND segui da de un i nversor; para cada compuerta oR se susti tuyen i n-
versores de sal i da segui dos de una compuerta NAND. Esta susti tuci n se
desprende di rectamente de Ias equi val enci as l gi cas de l a Fi gura 4-10 y se
muestra en el di agrama de l a Fi gura 4-11(b). Este di agrama ti ene si ete i n-
versores y ci nco compuertas NAND de dos entradas con sus respecti vos
nmeros dentro del smbol o de Ia compuerta. El par de i nversores conecta-
dos en cascada (de
cada recuadro AND a cada i ecuadro oR) se el i mi nan
ya que forman dobl e i nversi n. EI i nversor conectado a l a entrada B se qui -
ta y se asi gna Ia vari abl e de entrada como B' . El resul tado es el di agrama
l gi co NAND mostrado en l a Fi gura 4-11(c), con el nmero dentro de cada
smbol o i denti fi cando l a compuerta de l a Fi gura 4-11(b).
Este ej empl o demuestra que
el nmero de compuertas NAND necesa-
ri as para
ej ecutar l a funci n de Bool e es i gual al nmero de compuertas
AND-OR si se cuenta con l as entradas normal es y su compl emento. si se
2.

http://libreria-universitaria.blogspot.com
(
D
B
A
R
(' '
C
D
R
A
A
B
( '
(a)
Conf i guraci n AND-OR
(b)
Sust i t uyendo f unci ones NAND equi val ent es de l a Fi zura 5-8
(c)
Conf i guraci n con NAND
Fi gur a 4- l l Conf i gur aci n de I ' : A
( B
+
( ' l ) t t
B( ' con compuer t as NAND
I J J
http://libreria-universitaria.blogspot.com
(a) Conf i guraci n AND-OR
(b)
Sustituyendo funciones NAND equivalentes
(c) Configuracin NAND
Fi gura 4-12 Conf i guraci n de (A+
B' )(CD
*E) con compuert as NAND
cuenta solamente con las entradas normales, se deben usar inversores para
generar las entradas complementadas necesarias.
Un segundo ejemplo de configuracin con NAND se muestra en la Fi-
garu 4-12. La funcin de Boole que se va a ejecutar es:
F: ( A+B, ) ( CD+E)
La confi guraci n AND-OR se muestra en l a Fi gura 4-12(a), y su susti tuci n
con l gi ca NAND, en l a Fi gura 4-12(b). Se pueden qui tar
un par de i nver-
t40
http://libreria-universitaria.blogspot.com
sEc. 4- 7
CI RCUI TOS NAND DE MULTI NI VEL ' 4'
sores en cascada. Las tres entradas externas E, A y B' que van di rectamen-
te a los inversores se complementan
y se quitan los correspondientes
inverso-
res. La confi graci n fi nl con compuertas NAND est en l a Fi gura 4-12(c).
El nmro de compuertas NAND del segundo ejemplo es igual al n-
mero de compuertas AD-OR ms un i nversor adi ci onal en l a sal i da
(com-
puerta NANb 5). En general , el nmero de compuertas NAND necesari as
para configurar una funcin es igual al nmero de compuertas AND-OR,
fxcepto
po*r algun inversor ocasional. Esto es verdad si se cuenta con las
entrdas-norml es
y su compl emento
ya que l a conversi n hace que se com-
pl ementen ci ertas vari abl es de entrada.
El mtodo del di agrama de bl oque es al go aburri do de usar
ya que re-
quiere el dibujo de dos diagramas lgicos
para obteaer la respuesta en el
tercero. Con l guna experi nci a es posi bl e reduci r l a canti dad de trabaj o
anticipndo.e J los pares de inversores en cascada
y a los inversores en
l as eni radas. Comenzando
con el procedi mi ento esbozado, no es muy di fi -
ci l deri var l as regl as general es para l a ej ecuci n de funci ones de Bool e
con compuertas NAND directamente de una expresin algebraica.
Pr ocedi mi ent o de anl i si s
El procedimiento anterior considera el problema de derivar un diagrama
togico NAND de una funcin de Boole dada. El proceso inverso es el anli-
siJdel problema que comienza con un diagrama lgico
N4ND
dado
y que
cul mi na con una expresi n de Bool e o una tabl a de verdad. El anl i si s de
l os di agramas l gi coi NAND si gue el mi smo
procedi mi ento presentado.en
l a Secc-i n 4-6 pra el anl i si s de l os ci rcui tos combi naci onal es.
La ni ca
diferencia
"t
qul la lgica NAND requiere una aplicacin repetida-del teo-
rema de De Morgan.
-se
demostrar
la deduccin de la funcin de Boole
a partir de un dlagrama lgico. Luego se demostrar la deduccin de la
tala de verdad diiectamente del diagrama lgico NAND. Finalmente, se
presentar un mtodo
para converti ur diagrama lgco- NAND a un dia-
gr"*u lgico AND-OR
por medio de la manipulacin de un diagrama de
bl oque.
Deducci n de l a f unci n de Bool e
a
par t i r de l a mani pul aci n al gebr ai ca
El procedi mi ento para deduci r l a funci n de Bool e a parti r de un di agrama
lgco se esboza e la Seccin 4-6. Este procedimiento se demuestra
para el
digrama lgico NAND mostrado en la Figura 4-13, el cual es el mismo
que
"q,r"l
d" l a Fi gura 4-11(c). Pri mero, todas l as sal i das de l as compuertas
""
-"r."tt con smbolos aritmticos. Segundo se derivan de las funciones
de Boole
para las salidas de las compuertas
que reciben solamente entra-
das externas:
Tt : ( CD\ '
:
C' + D'
Tr : ( BC' ) '
:
B' * C
La segunda forma se desprende directamente del teorema de De Morgan
y prr"" a veces ser ms conveniente de usar. Tercero, las funciones de
http://libreria-universitaria.blogspot.com
t
t
I
Fi gur a 4- 13 Ej empl o de anl i si s
Bool e de compuertas que
ti enen entradas
de funci ones anteri ormente de-
r i vadas se det er mi nan en . r den consecut i vo hast a que l a sal i da se expr ese
en t r mi nos de var i abl es de ent r adas:
\ :
( B' 7, ) ' :
( B' C' + B' D' ) '
: ( B+CXB+
D) : B+CD
T: ( ATr ) '
: l
A( B + CD) j ,
p:
(rrra)' :
1rcf n
+ col l ' \ ,
:
BC' , + A( B + CD)
Deduc c i n de l a t abl a de v er dao
El procedi mi ento para obtener I^. tabl a de verdad di rectamente de un di a-
gr ama
l gi co se esboza en l a Secci n 4- 6. Est e pr ocedi mi ent o
se demuest r a
por
e! di agrama l gi co NAND de l a Fi gura 4-13.
pri mero
se l i stan l as cuatro
var i abl es
de ent r ada conj unt ament e
on l as 16 combi naci ones de unos v
cer os como se muest r a en l a Tabl a 4- 8. Segundo se mar can l as sal i da. s
de t odas l as, compuer t as
con s mbol os ar i t mt i cos como en l a Fi gur a 4- 13.
Tercero se obti enen l as tabl as de verdad para l as sal i das de aquel l as com-
puer t as que son f unci n de l as var i abl es de ent r ada sol ament e. Est as son
T, y
- T. Tt :
( cD) ' ,
ent onces se mar can cer os en aquel l as f i l as donde am-
F. 9
y D
sean i gual es a 1y se l l ena el r est o de l as f i l as de ?, con unos.
Tambi n Tr : ( BC
) '
de t al maner a que se mar can cer os en uqr r " i r u, col um-
nas- donde B: \ y
c: 0 y se l l ena el r est o de l as f i l as de T, con unos. Se-
gui damente
se procede
a obi ener l a tabl a de verdad para
l as sal i das de
aquel l as
compuertas que
son funci n de l as sal i das dei i ni das previ amente
hast a que se det er mi ne l a col umna par a l a sal i da F. Es posi bl ,
ahor a, ob-
t ener una expr esi n al gebr ai ca a par t i r
de l a t abl a de ver dad der i vada.
El mapa most r ado en l a Fi gur a 4- r 4 se obt i ene di r ect ament e de l a Tabl a
4-3 y
ti ene unos en l os cuadrados de aquel l os trmi nos mni mos para krs
142
t
http://libreria-universitaria.blogspot.com
{
5
f
Tabl a 4- 3 Tabl a de ver dad par a el ci r cui t o de l a Fi gur a 4- 13
AB
00
0 l
I
l '
I
cual es
ser:
D
F : AI J T I . J C, _ ACt )
Fi gura 4-14 Deducci n de F a part i r de l a Tabl a 4-3
F es i gual a 1. La expresi n si mpl i f i cada
que se obt i ene del mapa
F: AB + ACD + BC'
:
A( B + CD) + BC'
Esta es l a mi sma expresi n de l a Fi gura 4-l l , veri fi cando as l a respuesta
correcta.
Tr asf or maci n
del di agr ama de bl oque
l 0
l 0
l 0
l 0
l l
l l
l 0
l 0
l 0
l 0
t 0
0l
0l
0l
0l
0l
T3
0
0
0
I
I
I
I
I
0
0
0
T2
0
I
I
I
0
0000
0001
0010
00l l
0100
0101
0l l 0
0l l l
1000
l 00l
l 0l 0
l 0l l
l l 00
l l 0l
l l l 0
l l l l
T4
l
I
t
Es conveni ente
al gunas veces converti r un di agrama l gi co NAND a
equi val ente
di agral a
l _gi co AND-OR
para faci l i tar el
procedi mi ento
143
SU
de
http://libreria-universitaria.blogspot.com
I 44 LOGTCACOMEI NACTONAL
{
I
r
CAP. 4
anlisis.
Al hacer esto, la funcin de Boole puede
derivarse muy fcilmente
mediante
el uso del teorema
de De Morgan. La conversin
de diagramas
]$co-s
se logra a travs.del proceso
inve-rso
ur u.ua pr"
la ejecucin
de
los mismos. En la seccin 3--6 se mostaon
o.;r"bi;*grri.o.
alternos
para
la compuerta NAND. Estos smboros
se repitieron
en litr'igura
-rlp.i
conveniencia.
Po medio de un conciente
uso dL ambos trminJs,
".
po.i'blu
convertir
un diagrama
NAND a una forma equivalente
AND-ot.
La convesi n
de un di agrama l gi co NAD ;;;;i ;srama
AND-OR
se logra a travs de un cambio de smibros
de un o lr,u"urtido
a oR in_
vertido
en niveles de^compuertas
arternas.
El primer;;;i
que
debe cam_
biarse a un smbolo oR invertido
debe ser el ltimo nivJ Estos cambios
producen
pares
de crculos en ra misma lnea, t",
"""r"r'iu".r,
eliminarse
ya que
representan
doble complementacin.
una comp,r"rt"
AND u oR de
y.ry
.ol1 e"t.rgqa pu:{e
tambin quitarse
ya que
no hace ninguna
funcin
lgica.
una AND u oR de una sora entrada con u"
"ir.rr"r,
la entrada o la
salida se cambia a un circuito inversor.
, 1 - \ a
B--------{ *- ABC i
A---{
)-'
-
B' - C
c----l _J
--4_z
,
, nurr'
(a)
AND invertido
(b)
OR invertido
Figura 4-15 Dos smbolos para
una compuerta NAND
Este procedimiento
se demuestra
en la Figrrra 4-16. El diagrama lgico
.N+NP
de la Figura 4-16(a) se conviert"
"
.rr,-di"gr"_"
.AO_OR.
El sm_
bolo-de-la gompuerta
en el ltimo nivel se cambia a un oR invertido.
obser_
vando los diferentes niveles,
se encuent-ra
otra compuerta que
requiere
un
cambio de smbolo como se muestra
en la.Figur;
a_i6"
Cualquier par
de
crculos en la misma lnea se eliminan.
crcil,os q""l1,
a entadas
exter_
nas se eliminan siempre y
cuando la variable
e'e"traa
correspondiente
est complementada.
El diagrama
lgico ,No-on
;q;;"id" se dibuja en la
Fi gura 4-16(c).
4. 8 CI RCUI TOS
NOR DE MULTI NI VEL
La funcin NoR es el dual de la funcin NAND.
por
esta razn todos los
procedimientos
y reglas para la lgica NoR forma"
el-u"l de los corres-
pondientes
procedimientos
y
regla-s desarrolla;-;;
tgi""-.
Esta seccin enumera varios mtodos pa-ra la
"o"irg.ir*io.
co., togica NoR
y
el anlisis mediante el seguimiento
dg una listi -lpi"o. ,rdo, p"o
la lgica NAND. sin_embargo
no se incluye
una
"rpri""."
ms detallada
para prevenir
repeticin
de lo expuesto en la Seccin 4-2.
Compuert a
uni versal
Laconlpuerta
NoR es universr.ya que se puede
ejecutar cuarquier funcin
de Boole con ella incluyendo
el ciicuiio flip-of
-=J;"d,
"i
t"'se""ir,
o-2.
La conversin
de AND, oR y
NoT a tR
-o".i."
"r,
t" rig,r,a a--fi'.
http://libreria-universitaria.blogspot.com
L '
D'
B' .
A
B
(a) Diagama lgico NAND
l
D
B'
A
B
D
B
A
B
(b)
Sustitucin de smbolos OR invertido
en niveles alternos
( c
)
Diagrama lgico AND-OR
Figura 4-16 Conversin de un diagrama lgico NAND a AND-OR
B
NOT
(inversor)
A: B
(A'
-r
B' )' - AB
AND
AND
por medio de compuertas NOR
t 45
---l
f f A'
t
OR
Figura 4-L7 Configuracin
de NOT' OR
Y
http://libreria-universitaria.blogspot.com
1 4 6
L OG CACOMBI NACI ONAL
CAP 4
La operaci n
NoT se obti ene
de una compuerta
NoR
de una sora entrada
l "^:::::l :ti ^tuve
orro smbol o p"."
"t
i nversor.^La
operaci n
OR requi ere
dos compuertas
NoR.
La pri mera
produce
l a oR i nu"l i i a,
y l a segunda
acta como
un inversor
para
obtener
la sarida
;";;;1."i;
operacin
AND
:il""t#
por
medio de la omp"e.ta
oR
.on i"rl-r...,
u^icior,"re.
en cada
Conf i gur aci n
de l as f unci ones
de Bool e_
Mt odo
del di agr ama
de bl oque
El procedimiento
del diagrama
de bloque para
configurar
f.unciones
de
1:t"
con compuertas
No
"".i-iiut
"l;;"l;i""io?llo"uo
en la sec_
crn previ a
para
l as compuertas
NANID.
--- --
1' Di bj ese
el di agrama
rgi co
AND-OR
a parti r
de una expresi n
al ge_
brai ca.
Asmase que
se cuenta
con l as errti adas
normal es y
su
compl emento.
2' Di bj ese
un segundo
di agrama
l gi co_con
l gi ca
NoR equi val ente,
de l a maney ,l Tdu
e" t fi gu, a 4_17,
susti ti rye.rdo
cada compuer_
t a AND,
OR y
NOT
3' El i mnese
ros pares
de i nversores
en cascada
del di agrama.
eutese
l os i nversores
conectados
a entradas
.*t"i rr",
-.".r.i l t*
y
compl e-
mntese
l a vari abl e
de entrada
correspondi ente.
El procedi mi ento
se i l ustra
en l a Fi gura
4-rg para
l a funci n:
F: A( B + cD) + BC'
La ej ecuci n
AND-oR
de ra funci n
se_muestra
en el di agrama
rgi co
de l a
Fi gura
4-18(a).
Por cada-c"-p""i i "
h ." susti tuye
.rr,"
"o*p.rerta
NoR
seguida
de un inverso'
por
cda com-puerta
AND ie ,,rJiirryu'
invesores
en las entradas
de una compuerta
Nory.
rt pa,
ae-r;;;;.;.",
en cascada
de l a oR enmarcada y l a ANb
""-"t"
se el i mi na.
Los cuatro i nversores
conectados
a ras entradas
externas
se remueven y
se comprementan
l as
variables
de entrada
Er resultado
".
.l aiagram;
tri."
n
mostrado
en
l a Fi gura
4-18(c).
El nume19-*
l "_-o*rtas
NOR
"i
e.te eempto es i gual
1-l
numerg
d:.:l-p.""fras
AND_OR
*. .r. inveso
adicional
a la salida
(compuerta
NoR 6). En general
el nmeo
au
"o*p,,,"i .-on
necesai as
para
la ejecucin
de funciones
e Bool es iguar
"i
;,1;;;
de compuertas
AND-OR
excepto por
un i nversor
o"".i on"l ."Lo
a.,teri o.
J vl i do si empre
y
cuando
se cuente
con ras entradas
normal es y
*
""-pl "l ento
ya que
l a
mi sma
conversi n
i nduce
"
qu"
."
"o*pr"-".rten
ci ertas vari abl es.
Pr ocedi mi ent o
de anl i si s
El anl i si s
de l os di agramas
l gi cos
NoR si g' e l os mi smos procedi mi en-
tos presentados
en l a secci n
4--6 para-el
anl i si s
de l os ci rcui tos
combi _
naci onal es.
para
deduci r
una funci n
d" Bnrr;-;;;^;i ","a
l gi co
se
http://libreria-universitaria.blogspot.com
(.
D
D
A
u
C'
(a)
Conf i guraci n AND-OR
^
B,
C
(c) Conf i guraci n NOR
Fi gur a 4- 18 Conf i gur aci n de F- A( B+CD) +BC' con compuer t as NOR
marcan l as sal i das de vari as compuertas con smbol os arbi trari os. Me-
di ante vari as susti tuci ones se obti ene l a vari abl e de sal i da como funci n
de l as vai i abhs de entrada. Para obtener l a tabl a de verdad de un di agra-
ma l gi co si n pri mero deduci r l a funci n de Bool e, se forma una tabl a ha-
Sustituyendo las funciones NOR
equivalentes de la Figura 5-19
t 47
http://libreria-universitaria.blogspot.com
148 LOGI CA COMBI NACI ONAL
CAP.
4
c i endounal i st adel as nv ar i abl es con2' f i l as deunosy c er os. Lat abl a
de verdad
de las ,pii",
de las diferentes
compu-ertas
NoR se deducen
en cadena
hasta obtenrri"Lui"
de verdad de salida. La funcin
de salida
de una compuerta
NOR trp;,
r l u forma T
: (A+ B' ,
+C)"
de tal ma-
;;t;^*;
iutru
.
".ia"
para T se m.arca con un 0 para aquellas
combi-
naci ones en
que A: l 6: c
:
\ . El rest o de l as f i l as se l l ena con unos.
Tr asf or maci n
del di agr ama
de bl oque
para
convertir
un diagrama
lgico NOR a su equivalente
diagrama
lgico
AND_OR,
se usan
ior"i-iofor"para
las
"o-pr*itu*
NOR mostrados
en la
Fizura
4-1g. La OR i"";id"
". "l
smbolo noimal
para una compuerta
NOR
;'i;';,"f;;td;-;;-;;;
alternativa
convenienle
que utiliza
el teorema
.'b;^I'";;-;l;
convencin
de
pequeos crculos
en las entradas
que
denotan
comPlementacin'
, 4 ______S. t A_B+cy
a-
A' B' c'
- \ A- B- CI
3-J
' o
E-
--
(b)
AND invetida
{ a) OR invertida
Figura 4-19
Dos smbolos
para una compuerta
NOR
La conversi n
de un di agrama
l gi co NOR a un di agrama
AND-OR
se
logra
xrr
medio u
""
I"J;;
l;. .i-bolo.
de OR invertida
a AND
inver-
tida comenzando
,rr-"i rifti-o
nivel
y en niveles
alternos'
Los
pares de
c r cul ospequeos" nr r t t " mi smal neaseel i mi nan' sequi t anl ascompuer '
tas AND u OR de una .ola entrada
a no ser
que tengan un'pequeo
crculo
a t salida
o a Ia entrada, en cuyo caso se convierten
en un lnversor'
Este
procedi mi ento
se muestra
en l a Fi gu' 7!-?\tl d"
el di agama
l gi co NOR en
t"l
*-"r*i erte
a un di agrami ,NO-On.
El smbol o
para l a
;;"";;;;;-""
"f',iiti-
"l""lil.u
."-iu a un AND invertida'
Al observar
l os di ferentes
ni vel es,
se encuentra
una
"o-p""*"
en el ni vel 3 y dos en dl
nivel
1. Estas comp-iertas
sufren un cambio
de smbolos
como se muestra
en
(b). cualquier
p;; il circulos
en una misma
lnea se remueven.
Los
crculos
que van a entradas
externas
se
quitan siempre
y cuando
se hayan
;;;;*;;lado
las variables
de entrada
correspondientes,
La compuerta
enel ni vel sseconvi er t eenunacompuer t aANDdeunasol aent r adaypol
tanto
se elimina.
El diagrama
lgico -on
buscado,
se muestra
en la
Figura
4-20(c).
4-9
LAS
FUNCIONES
OR
EXCLUSIVA
Y DE
EOUIVALENCIA
L a o R
-
e xc lus iv a
v
.
de equ
iv
"l'-Y:,
$".1"ff
X1
#:"3.t"?.
ffiH
i:" ffitl;
|e, ;"
oPeraciones
binarias
que realt:
xOY: r y' +x' Y
xOY: r y* x' Y'
http://libreria-universitaria.blogspot.com
D'
C'
(c) Diagama lgico AND-OR
Figura 4-2O Convesin- de un diagrama Igico NOR a AND-OR
Las dos operaci ones son compl ement os ent re s . Cada una de el l as es aso-
ciativa
y commutativa. Debido a las dos anteriores
propiedades, una
funcin de tres o ms variables,
puede expresarse sin parntesis de la
si gui ente manera:
( A @ B) o c: A@( B e c)
:
A @ B @ c
Esto implicaa la posibilidad de usar compuertas OR-exclusiva
(o de equi-
valencia) con tres o ms entradas. Sin embargo las compuertas OR-exclu-
siva de entrada mltiple son antieconmicas desde el
punto de vistade
los materiales. De hecho, aun la funcin de dos entradas se construye con
otro tipo de compuertas. En la Figura 4-2I(a\, por ejemplo, se muestra la
ejecuci-n de la funcin OR-exclusiva de dos entradas con compuertas AND,
R
v
NOf . La Fi gura 4-21(b) l a muestra con compuertas NAND.
C'
D'
B
C'
(a) Diagama lgico NOR
(b)
Susti tuci n de smbol os AND i nverti da en ni vel es i nternos
t 49
http://libreria-universitaria.blogspot.com
I 5O LOGI CA COMBI NACI ONAL CAP. 4
Sol amente un nmero l i mi tado de funci ones de Bool e se pueden
expre-
sar excl usi vamente en trmi nos de operaci ones OR-excl usi vas o de equi va-
l enci a. Empero, estas funci ones resul tan a menudo durante el di seo de
si stemas di gi tal es. Las dos funci ones son parti cul armente
ti l es en ope-
raci ones ari tmti cas y en correcci n de detecci n de errores.
Una expresi n en OR-excl usi va de n vari abl es es i gual a una funci n de
Boole con 2"
/2
trmnos mnimos cuyos nmeros binarios equivalentes
tengan un nmero i mpar de unos. Esto se muestra en el mapa de l a Fi gura
4-22(a) para el caso de cuatro vari abl es. Hay 16 trmi nos mni mos para
cuatro vari abl es. La mi tad de l os trmi nos mni mos ti enen un val or nu-
mri co con un nmero i mpar de unos; l a otra mi tad ti ene un val or num-
ri co con un nmero par de unos. El val or numri co de un trmi no mni mo
se determi na a parti r de l as fi l as y
col umnas de l os cuadrados que repre-
sentan el trmi no mni mo. El mapa de l a Fi gura 4-22(a) ti ene unos en l os
cuadrados cuyos trmi nos mni mos ti enen un nmero i mpar de unos. La
funci n puede expresarse en trmi nos de operaci n OR-excl usi va con l as
cuatro vari abl es. Lo anteri or se
j usti fi ca
por medi o de l a si gui ente mani -
pul aci n al gebrai ca:
A @ B o c e,
:v,i
ii,1,4,ii,:i,'
1,,u, + A, ',,(cD, + c, D)
(a ) con compuert as AND-OR-NOT
( b) con compuer t as NAND
Fi gur a 4- 21 Conf i gur aci ones del OR- excl usr vo
r e)
http://libreria-universitaria.blogspot.com
B
0 l
0 0
I
I
I I
C
^1
l
I
l u
l
D
F - Aa Bf i Ce D
( 4 ,
D
F
. =
A ABOCAD
( b )
Figura 4-22 Mapa para cuatro variables
(a) funcin OR-exclusiva
y (b) f unci n de equi val enci a
una expresi n de equi val enci a de n vari abl es es i gual a l a funci n
de Bool e cn 2"
/2
trmi nos mni mos cuyos nmeros bi nari os equi val en-
tes ti enen un nmero
par de ceros. Esto se demuestra en el mapa de l a
Fi gura 4-22(b) para el caso de cuatro vari abl es. Los cuadrados, con unos
representan los ocho trminos mnimos con un nmero par de ceros
y la fun-
ci n puede expresarse en trmi nos de operaci ones de equi val enci a con l as
cuatro vari abl es.
cuando el nmero de vari abl es en una funci n es i mpar, l os trmi nos
mni mos con un nmero de par de ceros son l os mi smos que l os trmi nos
con un nmero i mpar de unos. Esto se puede demostrar en el mapa de tres
vari abl es de l a Fi gura 4-23(a). Por tanto, una expresi n de OR-excl usi va
es i gual a una expresi n de equi val enci a cuando ambas ti enen el mi smo
nmero i mpar de vari abl es. Si n embargo, el l as forman l os compl ementos
entre s cuando el nmero de vari abl es es par de l a manera como se mues-
tra en l os mapas de l a Fi gura 4-22(a)
y (b).
Cuando l os trmi nos mni mos de una funci n con un nmero i mpar
de vari abl es ti ene un nmero par de unos
(o por equi val enci a a un nmero
i mpar de ceros), l a funci n
puede expresarse como compl emento de una
expresi n de OR-excl usi va
o de equi val enci a. Por ej empl o, l a funci n de
trs vari abl es mostrada en el mapa de l a Fi gura 4-23(b) puede expresarse
de l a si gui ente manera:
( A@BOC) ' : A@BOC
( AoBoc) ' :
AoB @ c
La sal i da S de un sumador medi o
y l a sal i da D de un sumador com-
pl eto (Secci n 4-3) puede confi gurarse con funci ones OR-excl usi vas
ya que
ada funci n consi ste en cuatro trmi nos mni mos con val ores numri cos
que ti enen un nmero i mpar de unos. La funci n de OR-excl usi va se usa
t 5l
B
lc
0 l
l l
I 1
I
I
http://libreria-universitaria.blogspot.com
BC
00 0l
I Al l
t
I
L
( b l
F : A@B' . . C
:
A r BOC
BC
00
A
0
I
A l l
t

0
C
( a )
l : - A @B 0 c : A a B a , c
Figura 4-23 Mapa para funciones de tres variables
bastante en Ia ej ecuci n de operaci ones ari tmti cas di gi tal es debi do a que
estas l ti mas se ej ecutan por medi o de un proceso que requi ere una ope-
raci n de sumas o restas repeti ti vas
Las funci ones de OR-excl usi va
y de equi val pnci a son muy ti l es en
si stemas que requi eren cdi gos de detecci n y
correcci n de errores. Como
se trat en l a Secci n 1-6, un bi t de pari dad
es una forma de detectar
errores durante l a trasmi si n de i nformaci n bi nari a. Un bi t de pari dad
es un bi t extra i ncl ui do con un mensaj e bi nari o para hacer el nmero de
unos par o i mpar. El mensaj e, i ncl uyendo el bi t de pari dad, se trasmi te y
l uego se comprueba en el extremo de recepci n l os errores. Un error se
detecta si l a pari dad comprobada no corresponde a l a trasmi ti da. El ci r-
cui to que genera el bi t de pari dad
en un trasmi sor se l l ama generador
de
pari dad;
el ci rcui to que
comprueba l a pari dad
en el receptor se l l ama com-
probador
de paridad"
Como ej empl o, consi drese un mensaj e de tres bi ts para trasmi ti se
con un bi t de pari dad i mpar. La Tabl a 4-4 muestra l a tabl a de verdad para
el generador
de pari dad. Los tres bi ts x, y y z consti tuyen el mensaj e y
son l as entradas al ci rcui to. El bi t de pari dad P es l a sal i da. Para una
pari dad i mpar, el bi t P se genera para hacer el nmero total de unos i mpar
(P
i ncl ui do). De l a tabl a de verdad, se ve que P:1 cuando el nmero de
unos en x, y y z es par. Esto corresponde al mapa de Ia Fi gura 4-23(b);
as, l a funci n P puede expresarse de l a si gui ente manera:
p: x @yOz
El di agrama l gi co para el generador
de pari dad se muestra en l a Fi gura
4-24(a). Este consi ste en una compuerta OR-excl usi va de dos entradas y
una compuerta de equi val enci a de dos entradas. Las dos compuertas pue-
den ser i ntercambi adas y
aun produci r l a mi sma funci n ya que P es i gual a:
p: xOy@z
El mensaj e de tres bi ts y el bi t de pari dad se trasmi ten a su desti no
donde se apl i can a un ci rcui to de observaci n de pari dad. Durante l a
trasmi si n ocurre un error si l a pari dad
de l os cuatro bi ts es i mpar, ya
que l a i nformaci n bi nari a trasmi ti da fue ori gi nal mente i mpar. La sal i da
C del comprobador de paridad
debe ser un 1 cuando ocurre un error, es
152
http://libreria-universitaria.blogspot.com
Tabla 4-4 Generacin de
paridad impar
Bit de paridad
generado
P
t
(a) Generador de
Paridad
imPar
de tres bits
(b) Comprobador de pari dad i mPar
de cuatro bi ts
Fi gtra 4-24 Di agramas l gi cos
para l a generaci n y comprobaci n de l a pari dad
deci r, cuando el nmero de unos en l as cuatro,entradas
sea par. L,a Tabl a
a-5 es l a tabl a de verdad de un ci rcui to comprobador
de pari dad i mpar.
De l se observa
que l a funci n de C consi ste de ocho trmi nos mni mos
con val ores numri cos
que ti enen un nmero
pal de ceros. Esto correspon-
de al mapa de l a Fi gur -ZZ(V), de tal manera
que l a expresi n
puede ser
expresad con operadores de equi val enci a de l a si gui ente manera:
C: xOYOzOP
El diagrama
lgico de un comprobador de paridad se. muestra en la Figura
4-24b1y consiJte en tres compuertas de equivalencia de dos entradas.
Vat l a pena anotar
que el generador de
pari dad puede ej ecutarse con
el ci rcui to de ta Fi gura 4-24(b\ si l a entrada P se manti ene
permanente-
mente en l gi ca 0 y1a sal i da se marca P, l a ventaj a estri ba en el hecho de
q* u-bor
"circuiios
pueden ser usados
para generacin de paridad y
comprobaci n.
Es obvi o del presente ej empl o
que l os ci rcui tos de generaci n
y com-
p.obaci n de
pari ad tengan una funci n de sal i da
que i ncl uye l a mi tad
de l os trmi ns mni mos cuyos val ores numri cos tengan un nmero
par
o i mpar de unos. En consecuenci a
estos se
pueden ej ecutar con compuer-
tas de equi val enci a
y de OR-excl usi va'
153
http://libreria-universitaria.blogspot.com
Cuatro bi ts reci bi dos Comprobacin del
error-paridad
C
I
0
0
I
0
I
I
0
0
I
I
0
I
0
0
I
00
0t
t 0
l l
0 0
0 l
l 0
l l
0 0
0 l
l 0
l l
0 0
0 l
1 0
l l
,
f
Tabl a 4- 5 Compr obaci n de l a par i dad i mpar
6.
REFERENCI AS
Rhyne, Y. T., Fundamental s of Di gi tal S)' .stem.s Desi gn. Engl ewood Cl i ffs, N. J.:
Prenti ce-Hal l . Inc.. 1973.
Peatmn, J. P., The Desi gn of Di gtal Sysems. Nueva York: McGraw-Hi l l Book
Co. , 1972.
Nagl e, H. T. Jr . , B. D. Car r ol , y J. D. I r wi n, An I nt r oduct i on t o Comput er Logi c.
Engl ewood Cl i f f s, N. J. : Pr ent i ce- Hal l , I nc. , 1975.
Hi l l , F. . I . , y G. R. Pet er son, I nt r oduct i on t o Su, i t chi ng Thet n- and Logi cal De-
si gn, 2a. ed. Nueva York: John Wi l ey & Sons, Inc., 1974.
Mal ey, G.4., y J. Earl e, The Logc Desi gn of Transi stor Di gi taL Computers. En-
gl ewood Cl i f f s, N. J. : Pr ent i ce- Hal l , I nc. , 1963.
Fr i edman, A. D. , y P. R. Menon, Theor y and Desi gn of Sui t chi ng Ci r cui t s. Wood-
l and Hi l l s, Cal i f . : Comput er Sci ence Pr ess, I nc. , 1975.
PROBLEMAS
4-1. Un ci rcui t o combi naci onal t i ene cuat ro ent radas y una sal i da. La sal i da es
i gual a 1 cuando
(1)
t odas l as ent radas sean i gual es a 1 o
(2)
ni nguna de l as
ent radas sea i gual a 1 o (3)
un nmero i mpar de ent radas sea i gual a 1.
154
2.
http://libreria-universitaria.blogspot.com
I
H
'l
fr
il
ii
PROBLEMAS
I 55
(a)
Obt enga I a t abl a de verdad.
(b)
Encuent re l a f unci n de sal i da si mpl i f i cada en suma de product os'
(c) Encuent re l a f unci n de sal i da si mpl i f i cada en product o de sumas.
(d) Di buj e l os dos di agramas
l gi cos'
4-2. Di see un ci rcui t o combi naci onal
que acept e un nmero de t res bi t s y gene-
re un nmero bi nari o de sal i da i gual al cuadrado del nmero de ent rada'
4-3. Es necesari o mul t i pl i car
dos nmeros bi nari os, cada uno de dos bi t s para
f ormar su product o en bi nari os. Asuma I os dos nmeros represent ados
por
at , ao
!
, , b, , donde el suscri t o 0 denot e el bi t menos si gni f i cat i vo'
(a) Det ermi ne el nmero de l neas de sal i da necesari as'
(b)
Encuent re l as expresi ones de Bool e si mpl i f i cadas
para cada sal i da.
4-4. Repi t a el Probl ema 4-3 para f ormar l a suma
(en vez del
product o) de l os dos
nmeros bi nari os.
4-5. Di see un ci rcui t o combi naci onal
con cuat ro l neas de ent rada
que repre-
sent en un d gi t o deci mal en BDC
y cuat ro l neas de sal i da
que generan el
compl ement o
de 9 del d gi t o de ent rada'
4-6. Di see un ci rcui t o combi naci onal
cuya ent rada es un nmero de cuat ro bi t s
y cuya sal i da es el compl ement o
de 2 del nmero de ent rada'
4-7. Di see un crrcui t o combi naci onal
que mul t i pl i que
por 5 una ent rada en d -
gi t o deci mal represent ada en BDi . La sal i da debe ser t ambi n en BDC.
Demuest re
que l as sal i das
pueden obt enerse de l as l neas de ent rada si n
usar ni nguna compuer t a l gi ca'
4- 8. Di see un cr r cui t o combi naci onal
que det ect e un er r or en l a r epr esent aci r
de un d gi t o deci mal en BDC. En ot ras
pal abras obt enga un di agrama l gi co
cuya . al i da sea l gi ca 1 cuando l as ent radas t engan una combi naci n
poco
usual en el cdi go.
4- g. conf i gur e un sust r act or compl et o con dos sust r act or es
medi os y una com-
Duert a oR.
4-10. Demuest re cmo un sumador compl et o
puede ser convei t i do a un
compl et o con I a adi ci n de un ci rcui t o i nversor'
4-11. Di see un crrcui t o combi naci onal
que convi ert a un d gi t o deci mal
g o 8 , 4 , - 2 , - 1 a BDC.
4-12. Di se un ci rcui t o combi naci onal
que convi ert a un d gi t o deci mal del cdi go
2, 4, 2, 1 al cdi go 8, 4,
-
2'
-
l .
4-13. obt enga el di agrama
l gi co que convi ert e un nmero bi nari o de cuat ro d -
gi t os a r, , rr. , rrl n"ro deci mal n BDC. Nt ese
que se necesi t an dos d gi t os
eci mal es
ya que l os nmeros bi nari os van de 0 a 15'
4-14. un decodi f i cador BDC a si et e segment os es un ci rcui t o combi naci onal
que
acept a un nmero deci mal en BDC y genera l as sal i das apropi adas
para l a
sel cci n de segment os en un i ndi cador usado para most rar el d gi t o deci mal '
Las si et e sal i das del decodi f i cador
(o, b, c, d, e,
f , i l ,
sel ecci onan l os seg-
ment os correspondi ent es
en el i ndi cador como se muest ra en l a Fi gura P4-14
(a). La desi gnaci n
numri ca escogi da
para represent ar el . nmero deci mal
se muest ra en l a Fi gura P4-14(b). bi see el ci rcui t o decodi f i cador de BDC
a si et e segment os.
sustractor
del cdi -
http://libreria-universitaria.blogspot.com
I 56 LOGI CACOMBI NACI ONAL
rl l ,
| |
l sl
l l
.l
,
l.
l :
-t
I
t _t l l
CAP. 4
_-tt--l
_r_l
a
t_
_l
lo
l c
-l
-l _l
I
( b)
Desi gnaci n numr i ca par a el t abl er o numr i co
( a
t Desi gnaci n de segment os
Figura P4-14
Fi gura P4-15
4-15. Anal i ce l os dos ci rcui tos combi naci onal es mostrados en l a Fi gura P4-15.
Obtenga las funciones de Boole para las dos salidas y explique Ia operacin
del ci rcui to.
4-16. Deduzca l a tabl a de verdad del ci rcui to mostrado en l a Fi gura P4-15.
4-I7. Mediante el uso del diagrama de bloque, convierta el diagrama lgico de la
Figura 4-8 a una configuracin con NAND.
4-18. Repita el Problema 4-I7 para una configuracin con NOR.
4-19. Obtenga el diagrama lgico NAND de un sumador completo de las funciones
de Boole.
C: x l +x z +y z
S. : C' ( x +y +z ) +r y 2
4-20. Determine la funcin de Boole para la salida F del circuito de la Figura
P4-20. Obtenga un circuito equivalente con menos compuertas NOR.
http://libreria-universitaria.blogspot.com
A'
C
B
B
Figura P4-20
4-21. Det ermi ne l as f unci ones de Bool e de sal i da de l os ci rcui t os en I a Fi zura
P4-21.
4-22. Obt enga l a t abl a de verdad para l os ci rcui t os en l a Fi gura P4-21.
4-23. Obt enga el di agrama l gi co equi val ent e AND-OR de l a Fi gura P4-21(a).
( b )
Fi gur a P4- 21
157
http://libreria-universitaria.blogspot.com
I 58 LoGI c Ac oMBI NACI oNAL
CAP. 4
4-24. obtenga el di agrama l gi co equi val ente AND-oR de l a Fi gura
p4-21(b).
4-25. obtenga el di agrama l gi co de una funci n de equi val enci a de dos entradas
usando (a)
compuertas AND, OR y NOT: (b)
compuertas NOR y (c)
compuer_
t as NAND.
4-26. Demuestre que
el ci rcui to en l a Fi gura 4-2L(b) es una oR-excl usi va.
4- 2i . Demues t r e que I OBOCOD:
X0, 3, 5, 6, 9, 10,
12, l 5) .
128' Di see un ci rcui to combi naci onal que convi erta un nmero de cuatro bi ts
en cdi go refl ej ado (Tabl a
1-4) a un nmero bi nari o de cuatro bi ts. Ej ecute
el ci rcui to con compuertas OR-excl usi va.
1-29. Di see un ci rcui to combi naci onal para
comprobar l a pari dad par de cuatro
bi ts. Se requi ere una sal i da de Igi ca 1 cuando l os cuatro bi ts no consti tu-
yen
una pari dad par.
' I
30' Ej ecute l as cuatro funci ones
de Bool e l i stadas usando l os tres ci rcui tos su-
madores medi os (Fi gura
4_2e).
D: AOOC
E: A' BC + AB' C
F: ABC' + ( A'
+ B' ) C
G: ABC
4-31. Ej ecute l a funci n de Bool e:
F: AB, CD, + A, BCD, + AB, C, D + A, BC, D
con compuertas OR-excl usi va
v AND.
http://libreria-universitaria.blogspot.com
*
IF
I C
il
'f
Lgi ca
combi naci onal
con
MSI
Y
LSI
-:_
4
N
.)
:!
5- 1 I NTRODUCCI ON
El pr ops i t odel as i mpl i f i c ac i ndel as f unc i ones deBool ees obt ener una
expresi n
al gebrai ca
ql -r"
"uuttao.
se confi gure
resul te
en rrn ci rcui to
de
bai o cost o. st n emoar Jo,
eL cr i t er i o
que det er mi na
un ci r cui t o
de baj o cos-
;;' ; i l ;;"' L.
a"ni ' i rse
si se va a eval uar el xi to de l a si mpl i fi caci n
i ;g;";.
-i i i
proc.di -i unto
de di seo
para l os ci rcui tos
combi naci onal es
p.?r."i ra" en l a secci n 4_2 mi ni mi za
el nmero de l as compuertas
nece-
s ar i as par aej ec ut u, - unuf unc i ndada. Es t epr oc edi mi ent oc l s i c oas ume
que, dados do, ci r"ui i ot
qu" tuuti "an
,l a
mi sma
funci n' aquel
que uti l i ce
menos compuertas
et
pte^feti bl e debi do a que cuesta
menos' Esto no es
.,u".ru.i urnnte
ci erto cuando
se usan ci rcui tos
i ntegrados'
Comos ei nc l uy env ar i as c ompuer t as l gi c as enunas ol apas t i l l a. . de
CI se vuel v"
".onO--i "";;;;
l a myora
de l s compuertas
de una
pasti l l a
ut i l i z adaaunqueal hac er l os eaument eel t ot al dec ompuer t as . Ms an'
al gunas de l as i "t";;;;;;i ones
entre l as
.
compuert,as
,en
muchos
CI son
i nt er nas
a l a past i l l a
y es ms econmi co
usar t ant as
i nt er conexi ones
i ,.,i ".rru.
posi bl s
pu.u
Sd"t
mi ni mi zar
el nmero de conexi ones
entre
pa-
t i l l as ex t er nas . Oonl os c i r c ui t os i nt egr ados ' noes l ac ant i daddec ompuer .
tas l o que determl "un
.i o"l o,
.i no e"l nmero
y ti po de cI usado
y el n-
mer o de i nt er conexi ones
ext er nas
necesar i u*
put ej ecut ar
una f unci n
dada.
Hay numer os as oc as i ones c uandoel mt odoc l s i c odel aSec c i n4- 2
no
pr oduce el mej or ci r cui t o
combi naci onal
par a ej ecut ar
. una
f unci n da-
da. Adems,
l a tabl a e verdad
y el procedi mi ento
de si mpl i fi caci n
en este
mtodo se vuel ve -"v1t-pfi cado,
ti
"l
n-"to de vari abl es
de entrada
es
excesi vame.rt"
gru.,J. El ci rcui to
fi nal obteni do di ce si debe ser confi gu-
rado con ,rnu .or,"*i n
al eatori a
de compuertas
SSI'
' l as.
cual es
podran
ut i l i zar
un nmer o r el at i vament e
gr ande de cI
y cabl eado
de i nt er cone-
xi n. En l a mayor a
" t o' casos l a apl i caci n
de un
pr ocedi mi ent o
de di -
seo al terno
prr"d"*ptoautl '
un ci rcul to
combi naci onal
para una funci n
dada aun -eo,
q.re-el obteni do
al segui r el mtodo
de di seo cl si co.
La
pos i bi l i daddeunp, o" " ai , , ' i " ' ' t odedi s eoal t er nodependedeunpr obl ema
il;;;;ilii;l
i""g"" "r diseador.
El mtodo clsico
constituve
un
procedi mi ento
genel tal ,
que si se usa se garanti za
que se
producen resul -
t 59
http://libreria-universitaria.blogspot.com
I 60 LOGI CA COMBI NACI ONAL
CON MSI Y LSI
Suscri to i
4321
CAP. 5
tados.. si n-embargo,
-cuando
se ampl a el mtodo cl si co es aconsej abl e
investigar la posibilidad
de un mtodo alterno que sea ms eficient" p"r,
el probl ema parti cul ar
entre manos.
Lg
Rqi mera
pregunta que
debe contestarse antes de pasar por un di -
seo detal l ado de un ci rcui to combi naci onal ,
es si l a funci n st di spo_
ni bl e e una pasti l l a
de cI. La mayora de ci rcui tos MSI se obti enen co-
merci al mente. Estos ci rcui tos real i zan funci ones
di gi tal es especfi cas
comnmente usadas en el di seo de si stemas de compi rt"do.r,
di gi t"l ".r.
Si no se encuentra un componente MSI que produ"ca
exactamente l a
funci n necesari a, un di seador recursi vo
dete poder
formul ar un mtodo
para
i ncorporar un MSI en un ci cui to. La sel ecci n de componentes MSI
con preferenci a
sobre l as compuertas
SSI es extremadameni e i mportante
ya que i nvari abl emente
dar como resul tado una reducci n consi derabl e
de pasti l l as
de CI y
de cabl es de i nterconexi n.
La pri mera
mi tad de este captul o presenta
ej empl os de ci rcui tos
combi naci onal es
di seados por
mtodos di ferentes l or pto"edi mi entos
cl si cos. Todos l os ej empl os demuestran l a construcci n i nterna de l as
funci ones MSI exi stentes. As se presentan
nuevas herrami entas de di -
seo y al mi smo ti empo se fami l i ari za
el l ector con l as funci ones MSI exi s-
tentes. Es muy i mportante
conocer l as funci ones MSI exi stentes no sol a-
mente en el di seo de ci rcui tos combi naci onal es,
si no tambi n en el di seo
de si stemas de computadores di gi tal es ms compl i cados.
ocasi onal mente se encuentran
ci rcui tos MSI y LSI que pueden
apl i -
carse di rectamente al di seo y ej ecuci n de cual qui er ci i cui l o combi na-
ci onal . Cuatro tcni cas de di seo de l gi ca combi naci onal medi ante MSI
y LSI se i ntroducen
en l a segunda mi tad de este captul o. Estas tcni cas
hacen uso de l as propi edades general es
de l os decohi fi cadores, mul ti pl e-
xores' memori as de programaci n (RoM)
y arregl os l gi cos p.ogru-rl e,
(PLU).
Estos cuatro componentes de cI ti nen u"n gran"n-.ro
" apl i ca-
ci ones. Su uso en l a confi guraci n
de ci rcui to"
"o*bi ttu"i onal es
descri tos
aqu es una de l as muchas apl i caci ones.
5- 2 SUMADOR PARALELO
BI NARI O
EI sumado compl eto i ntroduci do
en l a secci n 4-3 forma l a suma de dos
bi ts y
un bi t de arrastre previ o.
Dos nmeros
bi nari os de n bi ts pueden
sumarse por
medi o de este ci rcui to.
para
demostrar con un ej empl o espe-
c f i co consi drese dos nmeros bi nari os, A: 1011 y
8: 0011
". ryu ". r*u
s:1110' cuando se- agregan un par
de bi ts de u.r sumador compl eto
el
ci rcui to produce
un bi t de arranque que
se usa con el par
de bi ts de una
posi ci n
ms si gni fi cati va. Esto se muestra en l a si gui ente tabl a:
Arrastre de entrada
Sumando
Sumando
Suma
Arrastre de sal i da
0t l 0
10l l
00l l
l l l 0
00l l
ci
Ai
Bi
^T
c, *,
Sumador compl eto
de la Figura 4-S
http://libreria-universitaria.blogspot.com
sEc. 5- 2
SUMADOR PARALELO BI NARI O 161
u
$
ill
ll
.!r
*
Los bi ts Se suman con sumadores compl etos, comenzando con el bi t
menos si gni fi cati vo
(suscri to) para formar el bi t de suma y el bi t de arras-
tre. Las ntradas
y l as sal i das del ci rcui to sumador compl eto de l a Ei gura
4-5 se i ndi can a conti nuaci n. El arrastre de entrada C' en l a posi ci n
menos si gni f i cat i va debe ser 0. El val or de C, a n una posi ci n si gni f i ca-
ti va dada es el arrastre de sal i da del sumador compl eto. Este val or se tras-
fi ere al bi t de arrastre de entrada del sumador compl eto
que agrega l os
bi ts a una posi ci n si gni fi cati va de mayor
posi ci n a Ia i zqui erda. La suma
de bi ts es
generada at, co*ertrando desde l a posi ci n de l a extrema dere-
cha
y es Ji sponi bl e tan pronto como se genere el bi t de arrastre
previ o
correspondi ente.
L suma de dos nmeros bi nari os de n bi ts, A y B pueden generarse
de dos maneras: en seri e o en paral el o. El mtodo de l a suma en seri e usa
sol amente un ci rcui to sumador compl eto
y un el emento acumul ador
para
conservar el arrastre de sal i da
generado. El par de bi ts en A
y B se tras-
fi ere en seri e, uno a l a vez a travs del sol o sumador compl eto
para produ-
ci r una cadena de bi ts sal i da de Ia suma. El bi t de arrastre de sal i da acu-
mul ado de un par de bi ts se usa como bi t de arrastre de entrada
para el
si gui ente
put d-" bi ts. El mtodo en
paral el o usa n ci rcui tos sumadores
cJmpl etos
y todos l os bi ts de A y B se apl i can si mul tneamente.
El bi t de
u.rr.tr. de sal i da de un sumador compl eto se conecta al arrastre de en-
trada del sumador compl eto de l a posi ci n si gui ente a Ia i zqui erda' Una
vez se hayan
generado l os bi ts de arrastre, l os bi ts de l a suma correcta
sal en por l as sal i das de suma de l os sumadores compl etos'
l Jn
sumador
paral el o bi nari o es una funci n di gi tal
que produce una
suma aritmtica de dos nmeros binarios en paralelo. Este consiste en
r"i i i "a.!,-compl etos
conectados en cascada con l a sal i da de arrastre de
un sumador compl eto conectado al arrastre de entrada del si gui ente su-
mador compl eto.
La Fi gura 5-1 muestra Ia i nterconexi n de cuatro ci rcui tos sumadores
compl etos
(FA) para dar un sumador
paral el o bi nari o de cuatro bi ts. Los
rrr*do.". de A y los bits sumadores de B se designan
por medio de n-
meros suscri tos de derecha a i zqui erda con el suscri to 1 denotando el bi t
de ms baj o orden. Los arrastrs se conectan en cadena a travs de l os
sumadores compl etos. El arrastre de entrada del sumador es C1
y l a sa-
l i da de arrastre es C5. Las sal i das S generan l os bi ts de suma requeri dos.
Cuando el ci rcui to sumador compl eto de cuatro bi ts se encapsul a dentro
de una pasti l l a CI tendr cuatro termi nal es
para un sumando, cuatro ter-
mi nal es
para otro sumando, cuatro termi nal es
para l os bi ts de suma
y dos
termi nal s
para l os arrastres de entrada
y sal i da.*
un sumador compl eto de n bi ts requi ere n sumadores compl etos.
Pue-
de construi rse a parti r de l as CI sumadores compl etos de 4, 2 y 1 bi t conec-
tando en cascada vari as
pasti l l as. La sal i da de arrastre de una pasti l l a
debe conectarse a l a entrada de arrastre de aquel l a con Ios si gui entes bi ts
de mayor orden.
Los sumadores compl etos de 4 bi ts son un ej empl o ti pi co de una fun-
ci n-MSI. Pueden usarse en muchas apl i caci ones que i ncl uyen operaci ones
ari tmti cas. Obsrvese
que el di seo de este ci rcui to
por medi o del m-
*
Un ejemplo de un sumador completo de cuatro bits es el CI TTL tipo 74%3.
http://libreria-universitaria.blogspot.com
s3 s2
Fi gur a 5- 1 Sumador es compl et os de 4 bi t s
todo cl si co necesi tara una tabl a de verdad con 2e
:
512
gn*,edq6, ya
que hay nueve-entradas al ci rcui to. Medi ante el uso de un mtodo i terati vo
de col ocar en cascada una funci n ya conoci da se puede obtener una con-
f i gur aci n si mpl e y
bi en or gani zada.
La apl i caci n de est a f unci n MSI al di seo de un ci r cui t o combi na-
ci onal se demuestra con el si gui ente ej empl o:
EJEMPLO 5-I: Di sese un conversor de cdi go BDC a
exceso 3.
Este ci rcui to fue di seado en Ia Secci n 4-5 por medi o del
mtodo cl si co. El ci rcui to obteni do de este di seo se muestra en
Ia Fi gura 4-8 y requi ere 11 compuertas. Cuando se ej ecuta con
compuertas SSI requi ere 3 ci rcui tos i ntegrados y 14 conexi ones
i nt er nas
( si n i ncl ui r l as conexi ones de ent r ada y de sal i da) . La
i nspecci n de l as tabl as de verdad revel a que el cdi go equi va-
l ente de exceso 3 puede obtenerse del cdi go BDC medi ante l a
suma del bi nari o 0011. Esta suma puede ej ecutarse fci l mente
medi ante el ci rcui to MSI de sumadores compl etos de 4 bi ts mos-
trado en l a Fi gura 5-2. El dgi to BDC se apl i ca a l as entradas A,
'
l as ent r adas B se col ocan a 0011 const ant e. Est o se l ogr a apl i can-
do l gi c a 1a 81 y Bz y l gi c a 0aBj , Bt y Ct . La l gi c a 1y l a
l gi ca 0 son seal es fsi cas cuyos val ores dependen de l a cl ase de
fami l i a de l os CI usados. Para l os ci rcui tos TTL, l gi ca 1 equi val e
a 3, 5 vol t i os y l gi ca 0 equi val e a t i er r a. Las sal i das S del ci r cui t o
darn el cdi go equi val ente de exceso 3 del dgi to de entrada en
BDC. Est a conf i gur aci n r equi er e un CI y 5 conexi ones, si n i n-
cl ui r l as conexi ones de ent r ada v sal i da.
Pr opagaci n del ar r ast r e
La suma de dos nmeros bi nari os en paral el o i mpl i ca que todos l os bi ts de
l os sumandos estn di sponi bl es para el cl cul o al mi smo ti empo. Como en
cual qui er ci rcui to combi naci onal , l a seal debe propagarse por l as com-
t 62
http://libreria-universitaria.blogspot.com
No se usa
a .
L 5
A 2
^
^ 1

B l
B2
B3
D
-
L l
Ent r ada
B DC
Sal i da de
exceso 3
I
Fi gur a 5- 2 Conver t i dor de cdi go de BDC a exceso 3
puertas antes
que Ia suma de sal i da correcta est di sponi bl e en Ios termi -
i rte, " sal i d;. El ti empo de
propagaci n total es i gual al retardo de
propagaci n de una compuerta
tpi ca mul ti pl i cando
por el nmero de ni ve-
i es' de compuertas en el ci rcui to. El mayor ti empo de propagaci n en un
sumador
paral el o es el ti empo
que se toma el bi t de arrastre en propagarse
p, to. ,rr*adore. compl eto.
Cbmo cada bi t de l a sal i da de suma depende
del val or del arrastre i e entrada, el val or de S, en cual qui er estado dado
en el sumador, estar en su val or fi nal establ e sol amente
hasta que el bi t
de arrastre de entrada a este estado se haya propagado. Consi drese
Ia
. t i du S, en l a Fi gura b-1. Las ent radas A,
y Bt al canzan un val or est a-
bl e tan pronto como l as seal es de entrada se apl i quen al sumador. Pero l a
entrada de arrastre C., no va a su estado establ e fi nal hasta
que est di s-
poni bl e c3 en su val oi de estado establ e. De manera si mi l ar, c, ti ene
que
; . ; ; . ; ; i , C,
t
as sucesi vament e
hast a C1' As , i rn l a sal i da Sr
y el
arrastre C, a un val or fi nal de estado establ e hasta
que se propague el
arrastre a travs de todos l os estados.
El nmero de ni vel es de compuertas
para l a propagaci n del arrastre
se puede deduci r del ci rcui to del sumador compl eto.
Este ci rcui to es de-
ucl do en l a Fi gura 4-5
y redi buj ado en l a Fi gura 5-3
por conveni enci a'
Las vari abl es de entrada
y sal i da usan el suscri to i para denotar un estado
ti pi co de un sumador
pu.l "l o. Las seal es en P, y G, l l egan a su val or de
esi ado establ e despui de l a propagaci n por sus compuertas
respecti vas'
Estas dos seal es i o.t
"om,rnei
a todos l os sumadores compl etos
y depen-
den sol amente de l os bi ts de entrada de l os sumandos. La seal del arras-
t re de ent rada, C, , se propaga al arrast re de sal i da, C+t a t ravs de una
compuerta AND
y una ompuerta OR, lo cual constituye dos niveles de com-
p""ri u. Si hay cuatro sumadores compl etos en el sumador
paral el o, l a
sal i da de arrast re Cu t endr 2X4: 8 ni vel es de compuert as desde C1
hasta Cr. El ti empo d" p.oprguci n total en el sumador ser el ti empo de
t 63
http://libreria-universitaria.blogspot.com
Fi gura 5-3 Ci rcui to sumador compl et'
propagaci n en un sumador medi o, ms ocho ni vel es ci e : rpuertas. Para
un s.r-aAor
paral el o de n bi ts, hay 2 n ni vel es de comp.;e:l ' s
para el bi t
de arrastre por los cuales se debe
propagar.
El t i empo de pr opagaci n del ar r ast r e es un f act , - , : . : r ni t ant e de l a
vel oci dad con l a cual se suman dos nmeros en paral ei , .\unque un su-
mador
parai el o, o un ci rcui to convenci onal , tengan si er:.pre un val or en
sus t er mi nal es de sal i da, l as sal i das no ser n l as cor ect a. . l no se I es
da a l as seal e$ el ti empo sufi ci ente para propagarse a tFa\' trs de l as com-
puertas conectadas desde l as entradas hasta l as sal i das. C, mo todas l as
operaci ones ari trnti cas se ej ecutan con sumas Sucesi vas. el ttempo com-
pi endi do durante el proceso de suma es muy crti co. Una sc' i uci n obvi a
para reduci r el ti empo de demora de propagaci n del arrastre es l a de usar
compuertas ms rpi das con demoras reduci das a pesar de
que l os ci rcui -
tos hsi cos tengan un l mi te de su capaci dad.' Otra sol uci on es Ia de au-
mentar l a compl ej i dad del equi po de tal manera
que se reduzca el ti empo
de demora del arrastre.Hay otras tcni cas para reduci r el ti empo de pro-
pagaci n del arrastre en un sumador paral el o. La tcni ca usada ms ex-
tensamente empl ea el pri nci pi o de obseruaci n del arrostre
,seri or
y se
descri be a conti nuaci n.
Consi drese el ci rcui to del sumador compl eto mostrado en Ia Fi gura
5-3. Si se defi nen dos vari abl es bi nai as nuevas:
P, : A, @ B,
G, : A, B,
1a suma de sal i da
y el arrastre puede expresarse como:
S, : 4Oq
C * t : Gi + Pi Ci
C, se l l ama el arrastre
generado" y produce un arrastre de sal i da cuando
A, y B, son 1 si n tener en cuenta el arrastre de entrada. ,f se l l ama el
arrastre propagado ya que es el trmino asociado con la propagacin de
C, has t a C, * 1.
Se escri be l a funci n de Bool e para l a sal i da de arrastre de cada esta-
do y se susti tuye
para cada C, su val or a parti r de l as ecuaci ones
previ as:
t 64
http://libreria-universitaria.blogspot.com
Fi gur a 5' 4 Di agr ama l gi co del gener ador del bi t de ar r ast r e
[ nst er r or
Cz : Gt + Pt Cl
Ct : Gz + P2C2: Gz * Pz( Gt + P, C, )
:
G2 + P2Gt + PzPt Cl
Cq: Gt + P3C3: Gt I P3G2 + P3P2G: + P3PzPt Cl
Como l as funci ones de Bool e
para cada arrastre de sal i da se expresan en
suma de productos, cada funi n debe ser confi gurada con un ni vel de
compuerta; AND seguidas de una compuerta
OR
(o mediante
dos niveles de
NAND). Las tres fi i nci ones de Bool e
para C2, Ct
Y
Ca se confi guran
con
el
generador del arrastre
pri mari o mostrado en l a Fi gura 5-4. Ntese
que
Ca no ti ene
que esperar u C,
y C2
para propagarse; de hecho C' , se pro-
paga al mi smo ti emPo
que C:
Y
C;.*
La construcci n de un sumador en
paral el o de 4 bi ts con un arrastre
posteri or se muestra en l a Fi gura 5-5. cada sal i da de suma requi ere dos
compuertas
OR-excl usi vas.
La sal i da de l a pri mera OR-excl usi va
genera l a
vari ;bl e
4
y l a compuerta AND
genera l a vari abl e G. Todas l as P y G se
generan en i os .ri uei es de compurtas.
Los arrastres se
propagan a travs
*Un
generador de arrastre
posteri or es el CI ti po 74782. Se c-ompone de compuertas
AND-OR i l nverti da. Ti ene tambi n dos sal i das
para generar Cs
:
G +
PC
t.
t 65
l i - -
http://libreria-universitaria.blogspot.com
Gener ador
de bi t de
ar r ast r e
posteri or
D
^ 2
Fi gura 5-5 sumadores compl etos de 4 bi ts con bi t de arrastre posteri or
del gener ador
de ar r ast r e post er i or ( si mi l ar
al de l a Fi gur a b- 4) y se apl i -
can como entradas a una segunda compuerta
oR-excl si va. Despu, q,r"
l as seal es P y G se establ ezcan a sus val ores de estado establ e, i oao, i o,
arrastres
de sal i da se generarn
despus de una demora de dos ni vel es de
compuertas. As, l as sal i das s2 hasta sn ti enen i gual es ti empos de de_
mora de propagaci n.
El ci rcui to de dos ni vel es par
el arrastr de sal i da
c' ' no se demuestra en Ia Fi gura b-4. Este ci rcul to puede
deri varse fci l -
ment e por el mt odo de ecuaci n sust i t uci n
como i e hi zo ant er i or ment e
( ver
Pr obl ema 5- 4) .
5- 3 SUMADOR DECI MAL
!.u.
computadores o cal cul adoras que real i zan operaci ones ari tmti cas
di rectamente
en el si stema de nmeros deci mal es representan nmeros
deci mal es en l a forma de bi nari os codi fi cados.
un sumador para
tal com-
t6
http://libreria-universitaria.blogspot.com
sEc. 5-3
SUMADOR DECI MAL 167
putador debe usar ci rcui tos ari tmti cos
que aceptan nmeros deci mal es
codi fi cados
y presentan resul tados en el cdi go aceptado. Para suma bi -
nari a, fue sufi ci ente consi derar un par de bi ts si gni fi cati vos al ti empo'
conj unt ament e con el ar r ast r e ant er i or . Un sumador deci mal r equi er e un
m ni mo de nueve ent r adas
y ci nco sal i das,
ya que se r equi er en cuat r o bi t s
para codi fi car cada dgi to deci mal
y el ci rcui to debe tener un arrastre de
ent r ada
y uno de sal i da. Por supuest o, hay una gr an var i edad de ci r cui t os
de suma deci mal
que dependen del cdi go usado
para representar l os d-
gi t os deci mal es.
El di seo de un ci r cui t o combi naci onal de nueve ent r adas
y ci nco sa-
I i das por el mt odo cl si co r equi er e una t abl a de ver dad con 2e
: 512
ent r a-
das. La mayora de l as combi naci ones de entrada son condi ci ones de no
i mpor t a,
ya que cada ent r ada de cdi go bi nar i o t i ene sei s combi naci ones
que son vl i das. Las funci ones de Bool e si mpl i fi cadas
por el ci rcui to pue-
den obtenerse por un mtodo de tabul ado generado por un computador
y el
resul tado
podra ser probabl emente una conexi n de compuertas formando
un patrn i rregul ar. Un procedi mi ento al terno, es sumar l os nmeros con
ci rcui tos sumadores compl etos, teni endo en cuenta el hecho de que no se
usan sei s combi naci ones en cada ent r ada de 4 bi t s. La sal i da debe ser
modi f i cada de t al maner a que sol ament e aquel l as combi naci ones bi nar i as,
vl i das del cdi go deci mal , se generen.
Sumador BDC
Consi dr ese I a suma ar i t mt i ca de dos d gi t os deci mal es en BDC, con un
arrastre
posi bl e de un estado anteri or. Como cada dgi to de entrada no
excede a l suma de sal i da no puede ser mayor
que 9+9+1: 19,
si endo
el 1 en l a suma, el arrastre de sal i da. Al suponer
que se apl i can dos dgi tos
BDC a un sumador bi nar i o de 4 bi t s, el sumador f or mar l a suma enbi na'
ri o y produci r un resul tado
que puede vari ar entre 0 y 19. Estos nmeros
deci " mal es se l i st an en l a Tabl a 5- 1 y se mar can con s mbol os K, Z*, Zr ,
Z y 2,. K es el arrastre
y l os s\scri tos baj o l a Ietra Z representan l os
p* s,' 4, 2 y 1 que deben ser asi gnados a l os cuatro bi ts en el cdi g<r
bl C. La pri mera ol umna en Ia tabl a Ii sta l as sumas bi nari as a medi da
que aparec;n en l as sal i das de un sumador bi naro de 4 bi ts. La suma de
sal i da de dos dgtos deci mal es debe representarse en BDC y debe apa-
recer en l a forma l i stada en l a segunda col umna de l a tabl a. El probl ema
es encontrar una regl a si mpl e
por medi o de l a cual el nmero bi nari o en l a
pri mera col umna
puede converti rse a l a correcta representaci n de dgi -
tos BDC del nmero en l a segunda col umna.
Al exami nar el conteni do de l a tabl a, es aparente
que cuando l a suma
bi nari a sea i gal o menor
que 1001, el correspondi ente nmero BDC es
i dnti co
y por tanto no se necesi ta conversi n. Cuando el nmero bi nari r,r
es mayor que 1001 se obt i ene una r epr esent aci n BDC no vl i da. La suma
del bi nar i o 6
( 0110) a I a suma bi nar i a I o convi er t e a l a r epr esent aci n BDC
correcta
y tambi n
produce el arrastre de sal i da requeri do.
El ci rcui to l gi co que detecta Ia correcci n necesari a puede deri varse
de l as entradas de l a tabl a. Es obvi o que se necesi ta una correcci n cuando
http://libreria-universitaria.blogspot.com
168 LOGI CA COMBI NACI ONAL
CON MSI Y LSI
Tabl a 5-1 Deducci n de un sumador BDC
CAP. 5
Ia suma bi nari a ti ene un arrastre de sal i da K:1. Las otras sei s combi na-
ci ones desde 1010 hast a 1111 que necesi t an una correcci n t i enen un 1 en
l a. posi ci n zr. Para di st i ngui rl os
del nmero bi nari o 1000 y 1001 que
t am-
bi n t i enen un 1 en l a_posi ci n zr, se especi f i car ms adl ant e
[ ue
z,
zt deben tener un 1. La condi ci n para que
una correcci n y
un anastre
de sal i da pueda ser expresada por
*.di o d. u.,u funci n de Bool e:
C: K + ZBZ4+ Z8Z2
cuando c: l , es necesari o agregar 0110 a I a suma bi nari a y sumi ni st rar un
arrastre de sal i da a Ia si gui ente etapa.
I
Suma bi nari a
Suma BDC
Deci mal
,sr s2 s4 ,s8 C
zl z2 z4 z8 K
00000
00001
00010
00011
00100
00101
00110
00l l l
01000
01001
0
I
2
A
5
6
7
8
9
0
I
0
I
0
I
0
I
0
I
I
0
0
I
I
0
0
I
I
0
0
0
0
0
0
I
I
I
I
0
0
0'
0
0
0
0
0
0
0
0
0
0
0
U
0
0
0
0
0
I
I
0
0
0
0
0
0
I
I
I
I
0
0
0
I
0
I
0
I
0
I
0
I
r0
l l
t 2
l 3
l 4
l 5
l
t 7
l 8
l 9
0
0
0
0
r 000
r 000
l 00l
l 00l
l 0l 0
l 0l 0
l 0l l
l 0t l
r l 00
1100
l 0
l l
00
0l
r 0
r l
00
0l
t 0
l l
0
0
0
0
l Jn sumador BD.c. es un ci rcui to que
agrega dos dgi tos BDC en para-
l el o y pr oduce
un d gi t o suma en BDC. unsr i mador
gbc
dene i ncl ui r I a
cor r ecci n l gi ca en su const r ucci n i nt er na.
par a
agr egar 0110 en l a suma
bi nari a, se usa un segundo sumador bi nari o de 4 bi l s o*o ." muesrra en
l a Fi gura 5-6. Los dos dgi tos deci mal es,
conj untamente
con un arrastre
de entrada, se agregan pri mero
en el sumadoi
bi nari o de 4 bi ts superi or
par a pr oduci r
l a suma bi nar i a. cuando el ar r ast r e de sal i da es i gual u
" u. o,
no se agr ega nada a l a suma bi nar i a. cuando es i gual a 1 se agr ega el bi -
nari o 0110 a l a suma bi nari a por
medi o del sumadr bi nari o de ? bi ts l nre_
http://libreria-universitaria.blogspot.com
Sumando
Sumando
n
I
!
1
' , }
Ent r ada
de arrastre
Bi t de
arrast re
de saiida
l ' i gura 5-6 Di agrama de bl oque de un sumador BDC
ri or. El arrastre de sal i da
generado a parti r del sumador bi nari o
superi or
puede i gnorarse
porque Ju i "ro.-aci n
ya di sponi bl e
en el termi nal
de
arrastre de sal i da.
El sumador
BDC
puede const r ui r se
con t r es CI ' Cada uno de l os su-
madores de 4 bi ts u.
""u
funci n
MSI
y Jas tres compuertas
para l a l e]c1
dec or r ec c i nc aben" " " " " pas t i l l as s l . s i nembar go, el s umador BDC
se obti ene en un .i ..,-,i to
St.- Para al canzar demoras
de
propagaci n
ms cor t as, un sumador
MSI BDC i ncl uye l os ci r cui t os
necesar i os
par a
i o. u.rurtr"s
posteri ores. El ci rcui to
sumador
para l a corecci n
no nece-
si ta todos l os cuatro sumadores compl etos
y este ci rcui to
puede opti mi -
zar se dent r o de una
Past i l l a
de CI '
Un sumador
paral el o deci mal
que suma n dgi tos deci mal es
necesi ta
" "t;;;t
" rrrrrru' dores
BDC. El arrastre de sal i da de una etapa debe co-
nectarse
al arrastre de entrada de l a si gui ente etapa de mayor orden'
*El
CI TTL ti po 82583 es una sumador BDC'
169
Sal i da de
ar r ast r e
Sumador bi nar i o de 4 bi t s
28 24 22 zl
Sumador bi nar i o de 4 bi t s
http://libreria-universitaria.blogspot.com
5_4 COMPARADOR
DE MAGNI TUDES
-' . , . : --paracron
de dos nmeros
es una operaci n que
det ermi na
si un n_
r"cr e: ma-\' or qu'
menor que
o i gual
a otro nmero.
Un comparador
d.e ' "n: i t rd
es un ci rcui t o
combi naci nal _q-u.
. o-pul ul oL, t -". os,
A y
B
r
det ermi na
sus magni t udes
rerat i vas.
Bi resurt do
d; L comparaci n
se
especi fi ca por
medi o de tres vari abl es
bi nari as
l u-i "Ji *, cuando A > B,
A: B, A<8.
.
El ci rcui to para
comparar
dos nmeros
de n bi ts ti ene 22, entradas
en l a tabl a de verdad y se vuerve
muy compl i cado
aun pu.u
n
:
3.
por
otra
part e,
como es de sospechar,
un ci rcui t o
comparador
t i ene ci ert a cant i dad
de regul ari dad.
Las funci ones
di gi tai es que poseen
una regurari dad
i nhe-
rtntt bi0n dufinidg
$u"J"r, J,r"lu.." po-r
medio de un procedimiento
algo_
iltlltlr0
si t0 utttlontr
su *,,i"n"lu
tl;;[;;;;
J ;;;;;;;l;
que
especi fi ca
un conj unto
.fi ni to
de pasos,
l o"s cual es du' uru sol uci n
al
pr obl ema
si se si guen.
Se i l ust r ar
st e mt odo
deduci endo
un ar gor i t mo
para
el di seo de un comparador
de magni tud
de 4 bi ts.
El al gor i t mo
es una apr i caci n
di r : ect a " ; ; p. t " ear - r " nt o
que
usa
una persona
para
comparar-ras
magni tudes
rerati vas^de
dos nmeros.
con_
si drese
l os nmeros
A y B cada
"rr.ro
"or.
4 dgi t.s y escrbase
l os coefi -
ci entes
de l os nmeros
en orden si gni fi cati vn
dJr""r,e"t"
a" l a si gui ente
manera:
A
:
A, A, A, Ao
B
:
BrB2BrBo
donde cada suscri to
de l etra representa
uno de ros dgi tos
der nmero.
Los
dos nmeros
son i gual es
si toos t,or- pu.",
de nmeros
si gni fi cati vos
son
i gual es,
es deci r si - 41 :
p:
. ,
i r : " j
,
At : Bt y
Ao: . 8u.
Cuando l os
nmeros
son bi nari os
ros dgi tos
son 1 0 y ra rel aci n
de i gual dad para
ca_
[X"Tl
de bi ts puede
.*pr.u.."
l gi camente
con una furrci n
de equi va-
x ,
:
A, B, + A' i B,
i
:
0, 1, 2, 3
donde x : l sol ament e
si el par
de bi t s en l a posi ci n
l son i guar es es deci r
si ambos son unos o ceros.
La i gual dad
de dos nmeros
A y B se i ndi ca
en un ci rcui to combi na_
ci onal por
rrfedi o
de una vari abl e
bi nari a
ae sal i aa q;; ;; desi gna
con el
s mbol o ( A:
B) . Est a var i abl e
bi nar i a
es i gual a 1 si l os nmer os
de ent r a_
da A y
B son i gual es;
de l o contra.l o
s". i gual a 0.
para
que
exi sta
esta
condi ci n
de i guardad,
todas l as ,rari al l e.
;:;;;
."i ' i ?rul ",
a 1. Esto
produce
una operaci n
AND de todas l as vai abl es:
( A: B) : x r x r x r x o
l a vari abl e
bi nari a (A
--
B) es i gual
a 1 sol amente
si todos l os pares
de d-
gi tos
de l os dos nmeros
son i zul es.
Para determi nar
si A es ml yor
o menor.qug_B
se i nspecci onan
l as mag_
ni tudes
rel ati vas
de.l os pu."..
" dgi tos
si gni fi cati vo,
"o-"rrr"ndo
cl esde
l a posi ci n
si gni fi cati va
ms arta.
si i os dostgi r""
i g""i "r,
se compara
t 70
http://libreria-universitaria.blogspot.com
F
it
I
i l
i
I
i.l
l
l .
I
il
D

I
sEc. 5- 5
DECODI FI CADORES I 71
el si gui ente par de dgi tos si gui entes menos si gni fi cati vos. Esta compara-
ci n conti na hasta que se encuentre un par de dgi tos desi gual es. Si el
correspondi ente dgi to de A es 1 y el de B es 0, se concl uye
que A > B. Si
el cor r espondi ent e d gi t o de A es 0 y el de B es 1 se t i ene
que A 18.
La
compar aci n secuenci al
puede expr esar se l gi cament e por l as dos si gui en-
tes funci ones de Bool e:
( A
> B) :
4i
* xr Ar B' r * xr xr Ar B' , * x3xr xr AsB' ,
( A
< B)
:
A' zBt I xl A' r B2 r x' r xr A'
r B,
* xr xr xr A' oBo
l os s mbol os
( A
> B) y ( A
< B) son var i abl es de sal i da bi nar i as que son i g' t r a-
l es a 1 cuando A> B A < B r espect i vament e.
La ej ecuci n con compuer t as de l as t r es var i abl es de sal i da der i vadas
es ms si mpl e de l o que par ece ya que t i ene ci er t a cant i dad de r epet i ci n.
Las sal i das " desi gual es" pueden usar l as mi smas compuer t as
que se ne-
cesi t an
par a gener ar una sal i da " i gual " . EI di agr ama l gi co del compar a-
dor de magni t ud de 4 bi t s se muest r a en I a Fi gur a 5- i . * Las cuat r o de
sal i da se gener an con ci r cui t os de equi val enci a
( NOR- excl usi va) y se apl i -
can a una compuer t a AND par a dar l a var i abl e bi nar i a de sal i da
( A: B) .
Las otras dos sal i das usan l as vari abl es f para generar l as f' unci ones de
Bool e l i st adas a cont i nuaci n. Est a es una conf i gur aci n de mul t i ni vel
y
como se puede ver t i ene un pat r n r egul ar . El pr ocedi mi ent o par a obt ener
ci rcui tos comparadores de magni tud para nmeros bi nari os de ms de
cuat r o bi t s debe ser obvi o par a est e ej empl o. EI mi smo ci r cui t o
puede
usar se
par a compar ar l as magni t udes r el at i vas de dos d gi t os BDC.
5- 5 DECODI FI CADORES
Cant i dades di scret as de i nf ormaci n se present an en si st emas di gi t al es
con cdi gos bi nar i os. Un cdi go bi nar i o de n bi t s es capaz de r epr esent ar
hast a 2" el ement os di f ' er ent es de i nf or maci n codi l i cada. Un decod f i ca-
dor es un ci r cui t o combi naci onal
que convi er t e I a i nf or maci n bi nar i a de
n l neas de ent r ada a un mxi mo de 2n l neas ni cas de sal i da. Si l a i n-
f or maci n decodi f i cada de n bi t s t i ene combi naci ones no usadas o de no
i mpor t a, l a sal i da del decodi f i cador t endr menos de 2' sal i das.
Los decodi fi cadores
presentados aqu se l l aman decodi fi cadores en
l nea de n a m. En donde m12" . Su
pr opsi t o es genet ar 2" ( o menos)
t r mi nos m ni mos de n var i abl es de ent r ada. EI nombr e decodi f i cador se
usa conj unt ament e con ci er t o t i po de conver t i dor es de cdi go t al como el
decodi f i cador BDC a si et e segment os
( ver Pr obl ema 4- l 4l '
Como ej empl o, consi drese el ci rcui to decodi fi cador en l nea de 3 a 8
de l a Fi gur a 5- 8. Las t r es ent r adas se decodi f i can en ocho sal i das
y cada
sal i da representa uno de l os trmi nos mni mos de l as vari abl es de 3 en-
tradas. Los tres i nversores generan el compl emento de l as entradas
y cada
una de l as ocho compuertas AND generan uno de l os trmi nos mni mos. Una
*El
TTL t i po 7485 en un compar ador de magni t ud de 4 bi t s. Ti ene t r es ent r adas ms
par a conect ar l os compar ador es en cascada
( ver Pr obl ema 5- 14) .
t
http://libreria-universitaria.blogspot.com
Fi gura b-Z Comparador de magni tudes de 4 bi ts
apl i caci n parti cul ar
de este decodi fi cador sera una conversi n bi nari a
a octal . Las vari abl es de entrada podran representar un nmero bi nari o
y l as sal i das reprsentaran l os ocho dgi tos en el si stema de numeraci n
octal . Si n embargo un decodi fi cador en l nea de 3 a 8 puede ser usado para
decodi fi car cual qui er cdi go de 3 bi ts para genera.
ocho sal i das, unu para
cada el emento del cdi go.
La operaci n del decodi fi cador ser cl asi fi cada ms adel ante a parti r
de l as r el aci ones de ent r ada sal i da l i st adas en l a Tabl a 5- 2. obsr vese
172
http://libreria-universitaria.blogspot.com
Do
-
'
r:'
Fi gur a
b- 8 Decodi f i cador
en l nea de 3 a
g
Tabl a
b- 2 Tabl a de ve dad
del decodi f i cador
de l nea
d e 3 a 8
Ent radas
x y z
Do Dt D2 D3 D4 Ds D6 D1
10000000
01000000
00100000
00010000
00001000
00000100
00000010
00000001
000
001
010
0l I
r 00
l 0l
r l 0
I l l
173
http://libreria-universitaria.blogspot.com
I 74 LOGI cA coMBI NACI oNAL c oN MSI Y LSI
CAP. 5
que l as vari abl es de sal i da son mutuamente excl usi vas ya que
sol amente
una de l as sal i das es i gual a 1 en cual qui er moment o. La l nea de sal i da
cuyo val or corresponde a 1 representa el trmi no mni mo equi val ente al
nmero bi nari o que se presenta
en l as l neas de entrada.*
EJEMPLO 5-2.. Di sear un decodi fi cador BDC a deci mal .
Los el ementos de i nformaci n en este caso son l os di ez dgi tos
deci mal es representados por
el cdi go BDC. El cdi go en s mi smo
t i ene cuat r o bi t s, por
t ant o, el decodi f i cador deber a t ener cuat r o
entradas para aceptar el dgi to codi fi cado y l as di ez sal i das para
cada uno de l os dgi tos deci mal es. Esto dar un decodi fi cador de
4 a l 0l neas de BDC a deci mal .
No es necesari o di sear este decodi fi cador ya que se puede
encont r ar en l a f or ma de cI como una f unci n MSI . De t odas ma-
ner as se va a di sear por dos r azones: pr i mer o
dar un conoci -
mi ento de Io que se debe esperar de tal fnci n MSI; segundo,
esto consti tuye un buen ej empl o para
mostrar l as consecuenci as
pr ct i cas de l as condi ci ones de no i moor t a.
como el ci r cui t o t i ene di ez sadas, ser a necesar i o di bui ar
di ez mapas par a si mpl i f i car cada una de l as f unci ones de sar i a.
Hay sei s funci ones de no i mporta que deben consi derarse para l a
si mpl i f i caci n de cada una de l as f unci ones de sal i da. En vez de
di buj ar di ez mapas, se di buj ar sol ament e un mapa y se escr i bi -
r n cada una de l as var i abl es de sal i da D, , hast a D" . dent r o de
su cuadr ado de t r mi no m ni mo, de l a maner a most r ada en l a Fi -
gura 5-9. Hay sei s combi naci ones de entrada que nunca ocurren
de t al maner a que
se mar can l os cuadr ados de i os t r mi nos m ni -
mos correspondi entes
con X.
Es r esponsabi l i dad
del di seador deci di r cmo t r at ar l as con-
di ci ones de no i mpor t a. se asume que ha deci di do usar l as de t al
naner a que se si mpl i f i can l as f unci ones al nmer o m ni mo de
,l
_] :
o n n l r r r n
l l \
Y
Fi gur a 5- 9 Mapa par a si mpl i f i car un decodi f i cador BDC a deci mal
l El
CI t i po 74138 es un decodi f i cador en l nea de 3 a 8. Se const r uye con compuer r as
NAND. Las sal i das son l os compl emertos de l os val ores mostrados en l a Tatl a b-2.
"
t,,
i.
0 t
D, , Dl D\ D2
D4 D\ D1 Db
X X X X
Dr De X X
http://libreria-universitaria.blogspot.com
E1
de
I
I
I
I
I
L
SEC. 5- 5
DECODI FI CADORES
I 75
l i t er al es. D
Y
Dt no pueden combi nar se con ni ngn t r mr no
m ni mo de no i mpor t a. D2 puede combi nar se con el t r mi no
m ni -
mo m
r , ,
de no i mPor t a
Par a
dar :
Dz: x' Yz'
cuadrado con D,, puede combi narse con otros tres cuadrados
no i mporta
para dar:
Ds : wz
Usando l os t r mi nos de no i mpor t a
par a l as ot r as sal i das, se ob-
t i ene el ci r cui t o most r ado en i a Fi gur a 5- 10. De est a maner a l os
t r mi nos denoi mpor t ac aus anunar educ c i nenel nmer ode
entradas en Ia mayora de l as compuertas
AND'
un di seador cui dadoso
deber a i nvest i gar el ef ect o de l a mi ni mi za-
ci n ant er i or . A pesar de
que baj o l as condi ci ones
de oper aci n
nor mal l as
sei s combi naci ons
i nvl i das nunca ocur r en.
Qu
pasar a si hay una f al l a
y ocur r en? un anl i si s del ci r cui t o de l a Fi gur a 5- 10 muest r a
que l as sei s
combi naci ones
no vl i das de ent r ada
pr oduci r n l as sal i das l i st adas en
l a Tabl a 5- 3. El l ect or puede mi r ar l a t abl a
y deci di r si ei di seo es buencr
o mal o.
Do: w' r'
)"'
: '
D
|
:
w' x' Y' i
Dr : r ' Y: , '
Dl : x ' ) z
Fi gur a 5- 1O Decodi f i cador BDC a deci mal
D B :
t ' '
http://libreria-universitaria.blogspot.com
Tabl a 5- 3 Tabl a par ci al de ve dad par a el ci r cui t o de l a Fi zur a 5- 10
Entradas
wx y z
Sal i das
Do Dt D2 D3 D4 D5 D6 D7 D8 Ds
otra deci si n de di seo razonabl e podra
ser el hacer todas l as sal i -
das i gual es a 0 cuando ocurre una combi naci n de entrada no vl i da.* Es-
to requeri ra dez compuertas AND de cuatro entradas. Se deben consi derar
otras posi bi l i dades pero
de todas maneras no se deben tratar l as condi ci o-
nes de no i mporta i ndi scri mi nadamente,
si no que se debe tratar de i nves-
ti gar su efecto una vez que el ci rcui to est en operaci n.
Conf i gur aci n de ci r cui t os con l gi ca combi naci onal
un decodi fi cador produce
2" trmi nos mni mos de n vari abl es de entrada.
Como cual qui er funci n de Bool e puede
expresarse en suma de trmi nos
mni mos en l a forma canni ca, se puede
usar un decodi fi cador para gene-
rar l os tmi nos mni mos y
una compuerta oR externa para formr l a Juma.
De est a maner a cual qui er ci r cui t o combi naci onal
con n ent r adas y
m sa-
l i das puede
confi gurarse con un decodi fi cador en l nea de n a 2n
y
m com_
puer t as
OR.
El procedi mi ento
para
confi gurar un ci rcui to combi naci onal por medi o
4",
r1 codificador y
compuertas oR requiere que las funciones de Boole
del ci rcui to se expresen en suma de trmi nos mni mos. Esta forma puede
obtenerse fci l mente de l a tabl a de verdad o por expansi n de l as funci o-
nes a su suma de trmi nos mni mos (ver
Secci n 2-b). Luego se escoge un
decodi fi cador que genere
todos l os trmi nos mni mos de l as n vari abl es de
entada. Las entradas a cada compuerta oR se sel ecci onan de l as sal i das
del decodi fi cador de acuerdo a l a l i sta de trmi nos mni mos en cada funci n.
EJEMPLO
5-J: construi r un ci rcui to sumador compl eto
con un decodificador y
dos compuertas OR.
De l a t abl a de ver dad del sumador compl et o ( secci n
4- 3) se
obti enen l as funci ones para
este ci rcui to combi naci onal
en suma
de t r mi nos m ni mos:
S(", . y, z)
:
>(1, 2, 4, i )
C( *, y, z, )
:
) ( 3, 5, 6, 7)
*
El CI t i po 7442 es un decodi f i cador BDC a deci mal . Las sal i das sel ecci onadas est n
en el est ado de 0 y t odas l as combi naci ones i nvl i das dar n una sal i da de sol o unos.
176
0
I
0
I
0
I
0010000010
0001000001
0000100010
0000010001
0000001010
0000000101
http://libreria-universitaria.blogspot.com
ig
i q
'rt.
$
Fi gur a 5- 11 Conf i gur aci n de un sumador compl et o a par t i r de un decodi f i cador
Como hay t r es ent r adas
y un t ot al de ocho t r mi nos m ni mos se
necesi t a un decodi f i cador en l nea de 3 a 8. Su ej ecuci n se mues-
t r a en I a Fi gur a 5- 11. El decodi f i cador gener a l os ocho t r mi nos
mni mos de x, y, z. La compuerta OR para l a sal i da S forma Ia suma
de l os t r mi nos m ni mos 1, 2, 4 y 7. La compuer t a OR par a l a sal i da
C forma l a suma de l os trmi nos mni mos 3, 5, 6 y' 7.
Una f unci n con una l i st a I ar ga de t r mi nos m ni mos r equi er e una
compuerta OR con un gran nmero de entradas. Una funci n F que ti ene
una l i sta de ft trmi nos mni mos puede expresarse en fbrma de compl emen-
t o F con 2"
- k
t r mi nos m ni mos. Si ei nmer o de t r mi nos m ni mos de una
funci n es mayor que 2"/2 entonces F' puede expresarse con menores tr-
mi nos m ni mos que l os que necesi t a F. En t al caso, es vent aj oso usar una
compuerta NOR para sumar l os trmi nos mni mos de F' . La sal i da de un
compuerta NOR genera una sal i da normal F.
El mtodo del decodi fi cador se puede usar para ei ecutar cual qui er
ci r cui t o combi naci onal . Si n embar go su r eal i zaci n se debe compar ar con
otras confi guraci ones
posi bl es para determi nar l a mej or sol uci n. En ai gu-
nos casos este mtodo podra dar l a mej or combi naci n, especi al mente si
I os ci r cui t os combi naci onal es t i enen muchas sal das y si cada f unci ' de
sal i da
( o
su compl ement o) se expr esa con una pequea cant i dad de t r -
mi nos m ni mos.
Demul t i pl ex or es
Al gunos CI se construyen con compuertas NAND. Como una compuerta
NAND produce una operaci n AND con una sal i da i nverti da, es ms eco-
nmi co generar l os trmi nos mni mos del decodi fi cador en su forma compl e-
mentada. La mayora si no todos l os CI decodi fi cadores, i ncl uyen una o ms
entradas de acti uqcdn
(enabl e), para control ar l a operaci n del ci rcui to.
Un decodi fi cador en l nea de 2 a 4 con una entrada de acti vaci n
y
construi -
do con compuertas NAND se muestra en l a Fi gura 5-12. Todas l as sal i -
das son i gual es a 1 si l a entrada de acti vaci n E es 1, no i mportando l os
val or es de l as ent r adas A
v
B. Cuando l a ent r ada de act i vaci n es i gual a
177
r l
decofi fi cador
- '
3x I
http://libreria-universitaria.blogspot.com
(
a) Di agr ama l gi co.
( b) Tabl a de ver dad
Fi gur a 5- 12 Un decodi f i cador de l nea 2 a 4 con ent ada act i vador a
( E)
0, el ci r cui t o oper a como decodi f i cador con sal i das compl ement adas. La
tabl a de verdad l i sta estas condi ci ones. Las X debaj o de A y B son condi -
ci ones de no i mporta. La operaci n normal del decodi fi cador ocurre sol a-
ment e con E: 0 y l as sal i das se sel ecci onan cuando su est ado es 0.
El di agrama de bl oque del decodi fi cador se muestra en l a Fi gura
5- 13( a) . El ci r cui t o pequeo en l a ent r ada E i ndi ca que el decodi f i cador
se act i va cuando E: 0. El pequeo c r cul o a l a sal i da i ndi ca que t odas
I as sal i das est n compl ement adas.
Un decodi fi cador con una entrada de habi l i taci n puede f' unci onar
como demul ti pl exor.
IJn
demul ti pLexor es un ci rcui to que reci be i nforma-
ci n por una sol a l nea y trasmi te esta i nformaci n en una de l as 2" l neas
posi bl es de sal i da. La sel ecci n de una l nea de sal i da especfi ca se con-
trol a por l os val ores de l os bi ts de n l neas de sel ecci n. El decodi fi cador
de Ia Fi gura 5-12 puede funci onar como demul ti pl exor si l a l nea E se toma
como l nea de entrada de datos y l as l neas A y B como l neas de sel ecci n
t al como se muest r a en I a Fi gur a 5- 13( b) . La sol a var i abl e de ent r ada E
E
Act i vaci n
Sel ecci n
(b) Demul t i pl exor
para el ci rcui t o de l a Fi gura 5-12
Do
Dl
u 2
t)-
Do
Dl
D2
D3
( a)
Decodi f i cador con act i vado
Fi gur a 5- 13 Di agr amas de bl oque
178
decodi fi cador
2 x 4
demul ti pl exor
2x 4
http://libreria-universitaria.blogspot.com
I
:a
-;
,i
s Ec . 5- 5
DECODI FI CADORES I 79
t i ene un cami no a t odas l as sal i das, per o l a i nf or maci n de ent r ada se di r i -
ge sol ament e a una de l as l neas de sal i da de acuer do al val or bi nar i o de
l as dos l neas de sel ecci n A y B. Est o puede ver i f i car se de l a t abl a de est e
ci r cui t o most r ada en l a Fi gur a 5- 12( b) , Por ej empl o si l a sel ecci n de l as
l neas AB: I 0 l a sal i da D2 t endr el mi smo val or que I a ent r ada E, mi en-
t r as
que l as ot r as sal i das se mant i enen en 1. Como l as oper aci ones deco-
di f i cador
y demul t i pl exor se obt i enen del mi smo ci r cui t o, un decodi f i cador
con una entrada de acti vaci n se Il ama un decodt' i cador/demul ti pl exor.
Es l a entrada de acti vaci n l a que hace al ci rcui to un demuti pl exor; el de-
codi fi cador de por s puede usai -corri pertas
AND, NAND y NOR.
Los ci rcui tos decodi fi cador,/demul ti pl exor
pueden conectarse con-
j untamente para formar un ci rcui to decodi fi cador mayor. La Fi gura 5-14
muestra dos decodi fi cadores de 3 x 8 con entradas acti vadoras conectadas
par a f or mar un decodi f i cador de 4 x 16. Cuando w
: 0,
el decodi f i cador
super i or se habi l i t a y el ot r o se i nhabi l i t a. Las sal i das del decodi f i cador
i nferi or son todas ceros
y l as ocho sal i das superi ores generan l os trmi nos
m ni mos 0CC0 a 0111. Cuando u: 1 se i nvi er t en l as condi ci ones de habi l i -
t aci n; el decodi f i cador i nf er i or gener a l os t r mi nos m ni mos 1000 a 1111,
mi entras que l as sal i das del decodi fi cador superi or son todas ceros. Este
ej empl o demuestra l a uti l i dad de l as entradas acti vadoras de l os CI. En
general , Ias l neas acti vadoras son una caractersti ca conveni ente para
conect ar dos o ms CI con el pr opsi t o de expandi r l a f unci n di gi t al a una
f unci n si mi l ar con ms ent r adas
y sal i das.
Fi gur a 5- 14 Un decodi f i cador de 4X16 const ui do con dos decodi f i cador es de 3x8
Codi f i cador es
l Jn
codi fi cador es una funci n di gi tal
que produce una operaci n i nversa
a Ia del decodi fi cador. Un codi fi cador ti ene 2"
(o menos) l neas de entrada
v n l neas de sal i da. Las l neas de sal i da generan el cdi go bi nari o para l as
http://libreria-universitaria.blogspot.com
I 80 LoGI cA coMBI NACI oNAL
coN MSI Y LSI
Fi gura 5_l b Codi fi cador
octal a bi nai o
' Por
ei emrl o el CI ti po 24149.
CAP. 5
2n variables
de entada.
Un ejemplo
de un codificador
se muestra
en la
Fi gura
5-1s. El codi fi cador
octl a bi nari o
consi ste
u., oo entradas,
una
para
cada uno de los ocho dgitos y
tres .ariaa, pai"-;;;;;r,
er nmero
bi_
nario
correspondiente.
Este-se-"orrrtruy"
con conpuertas
oR, cuyas entra-
das se determinan
a partir
de la tabla de verdad
J"d" ;; tl r"utu b_4. Los
bits de salida de bajb orden e rorr-f.i
los dgitos octales
de entada
son
i mpares'
La sal i da y,
":
l para
ros dgi tos octal es
2,8,6 7. Lasal i da
es
l para
l os d gi t os oct al es-4,
5: 6 z. i . t ese q; ; . t ; ; ";
conect a a ni ngu_
nl.cgmprerta
oR; la salida binaria
debe sef slo"ceros
Ln este caso. una
sal i da de sl o ceros se obti ene
tambi n
cuando
todas l as entradas
sean
cero' Esta di screpanci a
puede
resol verse
agregando
una sal i da ms para
i ndi car
el hecho
e que
tdas l as entradas
no son ceros.
.El -c"o{i fi cador
en l a Fi gura
5-15 asume que
sol amente
una l nea de enj
trada puede
ser igual
_1.1
en cualquie-r
;il;;";";;*#f#ilT:;i;
no tiene significado..
Ntese qu.
l circuito
tiee ocho ;;r;;;.=' ;"Jili],
tene 28
:
2b6 combinaciones
de entrada po.ibi;..
s"i-."t"
ocho de es_
tas combi naci ones
ti enen si gni fi cado.
Las otras
combi naci ones
son condi -
ci ones de no i mpor t a.
vv r v r r qu !
Los codi fi cadoes
de este ti po (Fi gura
5-15) no se encuentran
en
clrya que
se pueden
construir
rcilmente
cor compuertas
oR. El tipo de
codificador que
se encuentra
en la forma
d"
"i;;;;;l"-
sru"
es el codi-
ficadol
de prioridad.*
Estos codificadores
establecen
d"
*r-l"j"-a;
entrada
-para
asegurar que
solamente
la lnea du
"rrliaal-i"
ru ms alta
pri ori dad
se codi fi ca. As, en l a Tabl a
5-4, si l a pri ori dad
es dada a una
entrada con un nmero
s' scri to
mayor con respecto
a un numero suscri to
menor, entonces
si ambos Dz y Ds son l gi ca 1-si mul tneamente,
l a sal i da
ser 101 porque
D". ti ene urr" -uyo. prl ori dad
."bt" b;.
por
supuesto,
la tabla de verdad
de .r' codificado"r
e prioridad
es difernte
de la Tabla
5-4 (ver
Probl ema
5-21).
x :
Di Dt l D6 r D|
t
:
D2i _ D' * Dul
O,
z : D1 l Dr l
D5 l D,
http://libreria-universitaria.blogspot.com
D.l D6 Ds
D2 Dl Do
Tabl a 5-4 Tabl a de verdad de codi fi cador octal a bi nari o
Entradas
D3 D4
0
0
0
0
0
I
0
0
5- 6 MULTI PLEXORES
Mul ti pl exar si gni fi ca trasmi ti r una
gran canti dad de uni dades de i nfor-
maci ;
por un n*e.o
pequeo de canal es o l neas.
IJn.mul ti pl exor di gi taL
es un ci rcui to combi naci onal
que sel ecci ona
i nformaci n bi nari a de una
de muchas l neas de entrada
pra di ri gi rl a a una sol a l nea de sal i da. La
sel ecci n de una l nea de entrada en parti cul ar es control ada
por un con-
j unto
de l neas de sel ecci n. Normal mente
hay 2" Ineas de entrada
y n
i n"u, de sel ecci n cuyas combi naci ones de bi ts determi nan cul entrada
se sel ecci ona.
un mul ti pl exor de 4 l neas a I l nea se muestra en l a Fi gura 5-16. cada
una de l as catro l neas de entrada Io a Ir, se apl i can a una entrada de
una compuerta AND. Las l neas de sel ecci n sr
Y
s6 se decodi fi can
para
seleccionr una compuerta AND en particular. La tabla de funcin en la fi-
gura lista el camino de entrada a salida
para cada comiinacin
posible de
ti t* d. l as l neas de sel ecci n. Cuando esta funci n MSI se usa en el di seo
de un sistema digital sta se representa en la forma de diagrama de bloque
como se muestra en l a Fi gura 5-16(c). Para demostrar l a operaci n del ci r-
cui to' consi drese el caso cuando srso:10' La compuerta AND asoci ada
con la entrada 12 tiene dos de sus entradas iguales a 1 y una tercera entra-
da conectada a 12. Las otras tres compuertas AND tienen al menos una
entrada igual a 0 lo cual hace su salida igual a 0. La salida de la compuerta
OR es
"ot"
igual al valor de /2 generando as un camino de la entrada
sel ecci onada al a sal i da. Un mul ti pl exor se l l ama tambi n un sel ector de
datos
ya que selecciona una de muchas entradas
y gua la informacin bi-
naria a la lnea de salida.
Las compuertas AND
y los inversores en un multiplexor se asemejan a
un circuito decodificador
y sin embargo ellos decodifican las lineas de selec-
ci n de entrada. En"general , un mul ti pl exor de 2" a I Inea se construye
con un decodificador de n a 2" agregndol 2" lneas de entrada, cada una
para cada compuerta AND. Las salidas de las compuertas AND se aplican
"
,rttu sola compuerta OR
para generar una salida de 1 lnea. El tamao del
multiplexor se Lspecifica
por el nmero 2" de sus lneas de entrada
y de la
00
00
00
00
00
00
l 0
0l
10000
01000
00100
00010
00001
00000
00000
00000
0
0
0
0
00
0l
l 0
l l
00
0l
l 0
l l
1
t
t 8 l
http://libreria-universitaria.blogspot.com
Ent radas
Y
Sal i da
( a)
Di agr ama l gi co
Sel ecci n
(
c
) Di agr ama de bl oque
(
b ) Tabl a de f unci on
Fi gur a b- 16 Un mul t i pl exor en l nea de 4 a 1
sol a l nea de sal i da, i mpl i cando
as que
conti ene n l neas de sel ecci n. un
mul ti pl exor es a menudo abrevi ado como MUX.
como en l os decodi fi cadores,
l os cI m*l ti pl exores pueden
tener una
entrada de acti vaci n para
controrar Ia operaci n
de l a uni dad. cuando l a
entrada de acti vaci n
est en un estado
-bi nari o
dado, Ias sal i das se i nha_
bi l i t an o cuando est en el ot r o est ado ( el
est ado de habi l i t aci n) er ci r -
cui t o f unci ona
como un mul t i pl exor
nor mal . La ent r ada de habi l i t aci n o
acti vaci n (al gunas
veces l l amada strobe) puede ser usada para
expandi r
dos o ms cI mul ti pl exores
a un murti pl exor
di gi tar co" .r., g."r,
nmero
de entradas.
En al gunos casos se encapsul an dos o ms mul ti pl exores dentro de
un cI . Las ent r adas de sel ecci n y
act i vaci n en l os cI de ml t i pl e uni dad
pueden
ser comunes a t odos l os mul t i pl exor es.
como i l ust r aci n se mues_
t r a en l a Fi gur a 5- 17* un cI mul t i pl exor cudr upl e de 2l neas a 1l nea.
Est e t i ene cuat r o mul t i pl exor es
cada uno de l os cual es puede
sel ecci onar
una de dos l neas de ent r ada. La sal i da
y,
puede
ser sel ecci onada par a
s- er i gual a At Br . De maner a si mi r ar , l a sai i da
y,
podr a
t ener er val or
de . . . B y as sucesi vament e.
una l nea de sel ecci n de ent r ada, s, es
suf i ci ent e par a sel ecci onar una de dos l neas en t odos l os cuat r o mul t i pl e_
xor es. La ent r ada de cont r ol E habi l i t a l os mul t i pl exor es
en el est ado 0 y
l os i nhabi l i t a en el est ado 1. Aunque ei ci r cui t o cont i ene cuat r o mul t i pl e_
xores se podra pensar que
es un ci rcui to que
sel ecci ona una en un pui
d"
*
Est e es si mi l ar al ci r cui t o i nt egr ado t i po 74157.
182
http://libreria-universitaria.blogspot.com
Tabl a de l unci n
' s Sal i da Y
l x
00
0l
todo 0
sel ecci n A
sel ecci n E
s
( sel ecci n )
L
( habi l i t a)
Fi gur a 5- 17 Mul t i pl exor es cudr upl es en l i nea de 2 a I
4 l neas de ent r ada. Como se ve en l a t abl a de l a f unci n, l a uni dad se
sel ecci ona cuando E: 0. Ent onces, si S: 0 l as cuat r o ent r adas A t i enen
una v a haci a l as sal i das. Por ot r a par t e, si S: I se sel ecci onan l as ot r as
cuat r o ent r adas B. Las sal i das ser n t odas cer os cuando E: 1 si n t ener en
cuent a el val or de S.
EI mul t i pl xor es una f unci n MSI muy t i l y' t i ene una mul t i t ud de
apl i caci ones. Se usa par a conect ar dos o ms f ent es a un sol o dest i nt r
ent r e l as uni dades del comput ador
y es t i l par a const r ui r un si st ema de
bus comn. Est os y ot r os usos del mul t i pl exor se di scut i r n en cap t ul os
post er i or es conj unt ament e con sus apl i caci ones
par t i cul ar es. Aqu se de-
muest r an l as pr opi edades gener al es de est e el ement o y se muest r a cm( )
puede ser usado
para ej ecutar una funci n de Bool e.
t 83
http://libreria-universitaria.blogspot.com
Ej ecuci n
de una f unci n
de Bool e
se habra demostrado
en l a secci n
anteri or que
el decodi fi cado, puedJ,
ser usado para
configurar
una funcin
ge- Boore- ;rol."t"
una compuerta
,
oR externa. un rpido
vistazo al multiprexi-.
i'" ir-igrr"
5-16 revera que,
es esencialmente
un decodificador
con una compuerta
oR
v"
i.p"""ilr;.
i;;
trmi nos
mni mos
fuera del decodi fi cad'
q;.:;;-;
"-.i ".."
pueden
con_.
)
trol arse
con l as l neas
de entrada. Los trmi n".
-i "i -ol i ;;;;;;i ;;ffi :
se con la funcin que.
se est ejecutando
se r.*g." l"liendo
sus lneas
,
de entrada correspondientes,
igutes
a
-
1 y aqueos
trminos
mnimos no
i
i ncl ui dos
en l a funci n
se i nhai l i tan
al ""r' i ;; i ;"*' a' "."tri l ;
a cero' Esto
presenta
un mtodo para
confi gurar
cuarl ui er funci n
de

Bool e de n vari abres


_": :;
l tTi re*o,
a"' i l ' u-i ]^si .,
embargo,
es
,
posi bl e
hacer al go meJor que
eso.
.
si se ti ene una funci n
de Bool e
de n
-
I vari abl es
se toman n de estas
vari abl es y
se conectan a l as rneas
a"..te.ci r,
' .ri i i prexor.
La vari a_
bl e restante
de l a
-funci n
se usa p"."
tr. entradas
del murti pl exor.
si A
es esta sola variable,
las entradas
del multipl."-
..]..'Jg.n pur"
ser A
A' | 0. Medi ante
un conci enzudo
uso d
"sto,
cu"i ro' val ores para
l as
entradas y
conectando
ras otras vari abl es
a r", ;;;;-
de sel ecci n,
se
puede
confi gurar
cuarqui er
funci n
ae
gool e
.o; u;-;;l ti pl e*or.
De esta
fgtp"
es
-posible
genett
cualquier
funcin
de n
*
1 variabres
con un mul_
ti pl exor
de 2." a l .
Para demostra
este procedi mi ento
con un ej empro
concreto,
consi d-
rese l a funci n
de tres vai i abl es:
F( A, B, C)
:
X1, 3, 5, 6)
La funci n puede
ser confi guada
con un mul ti pl exor
de 4 a ._como
se
muestra
en l a Fi gura
5-1g. Dos de l as vari abrer
a."
fri i .u' a
l as l neas
de sel ecci n
en ese orden,
es deci r, b s" conects I s1 y
c ase. Las entra-
das del mul ti pl exor
son 0,.1, ,l y ' , .-cl undo
BC
:
oo r .rti a" F: 0 ya que
I o
: 0.
Por t ant o, ambos d. i rri ".
* n"i i ros
mo_: A, B, C, y
mn: AB, C, pro-
ducen una sal i da 0, ya que
l a sal i da
es 0 cuando BC: 00 si n tener en cuen-
t a el val or de A. ; Cuandb
BC: 0t , l a
sal i da =
i ; "
o* 1,
: , . por
t ant o,
ambos trmi nos
mni mos
ryt_:^A,B,C
i
^u: AB,C producen
una sal i da de 1
ya que
l a sal i da es 1 cuando Bc
:0r
si n tener en cuenta er varor de A. cuan_
do BC:10
l a entrada /, es sel ecci onada.
como A se conecta a esta entra-
da, l a sal i da ser i gual 1, soramente para
el trmi no mni mo
ma:ABC,,
pero
no para
el t rmi no. m ni mo
rn2
: A, BC, ,
debi do
"
qu", :
t , ' ent onces
A
=
0
I
como r,
:
0 se ti ene enton"". F: 0. Fi nal mente
cuando BC: rl se
sel ecci ona
l a entrada r, . como A' se conecta a esta entrada, ra sal i da ser
igrral a 1 solamente para
el trmino
minimo ,{
:
t;e;;
no para
rnz
:
ABC. Esta i nformaci n
se sumari za
en l a Fi guru s-rsol ,-cr"l
""
ra tabl a
de verdad de la funcin que
se requiere
ejectar. .
'
"
--
La anteri or di scusi n
muestr por anl i si s que
er mul ti pl exor
confi -
gura
la funcin requerida.
se representar
ahora un procedimiento
generar
para
configurar
cualquier
funcin de Boole de n vaiiabll.-
"o.,
un multi_
pl exor
de 2"-1 a 1.
184
http://libreria-universitaria.blogspot.com
Io
I t
MUX
v
r
4 x l
'
1 3
J 1 s 6
00 0
001
010
0l . l
r 0. 0
l 0t
l l 0
l t l
( a) Conf i gur aci n del mul t i pl exor
( b) Tabl a de ver dad
A
(c ) Tabl a de conf i guraci n
Fi gura 5-18 Conf i gurando F (A, B, C
)
:
t
(1,
3, 5, 6) con un mul t i pl exor
Pri mero se expresa l a funci n en su forma de suma de trmi nos mni -
mos. Se asume
que l a secuenci a ordenada de vari abl es escogi das
para l os
trmi nos mni mos es ABCD . . ., donde A es Ia vari abl e de Ia extrema i z-
qui erda en una secuenci a ordenada de n vari abl es
y BCD . . . son l os n-1
vari abl es restantes. Se conectan l as n
-
1 vari abl es a l as l neas de sel ec-
ci n del mul ti pl exor con B conectada a una l nea de sel ecci n de mayor
orden, C a l a si gui ente l nea menor de sel ecci n
y as sucesi vamente hasta
l a l ti ni a vari abl e l a cual se conecta' a l a l nea de sel ecci ri ' de ms baj o
orden s6. Consi drese l a vari abl e A. Como est a vari abl e est en l a posi -
ci n de ms al to orden en una secuenci a de vari abl es, ser compl ementada
en l os trmi nos mni mos o hasta
(2"/2)
-
1 l os cual es comprenden Ia pri -
mera mi tad en Ia l i sta de l os trmi nos mni mos. La segunda mi tad de l os
trmi nos mni mos tendrn su vari abl e A si n compl ementar. Para una fun-
ci n de tres vari abl es, A, B, C se ti ene ocho trmi nos mni mos. La vari abl e
A se compl ementa en l os trmi nos mni mos 0 a 3 y no se compl ementa en l os
t r mi nosm ni mos4a7.
Lstese l as entradas del mul ti pl exor
i
baj o el l as l os trmi nos mni mos
en dos col umnas. La pri mera fi l a i ncl uye todos l os trmi nos mni mos en l os
cual es A es compl ementada
y l a segunda fi l a todos l os trmi nos mni mos
con A no compl ementada de l a manera mostrada en Ia Fi gura 5-18(c). En-
ci rrese en un crcul o todos l os trmi nos mni mos de Ia funci n e i nspec-
ci nese cada col umna separadamente.
Si l os dos trmi nos mni mos en una col umna no estn en crcul o apl -
quse 0 a l a entrada correspondi ente del mul ti pl exor.
185
http://libreria-universitaria.blogspot.com
186 LOGI CA COMBI NACI ONAL CON MSI Y LSI CAP. 5
Si l os dos trmi nos mni mos estn en un crcul o apl quese 1 a l a entra-
da cor r espondi ent e del mul t i pl exor .
Si el t r mi no m ni mo i nf er i or est encer r ado en un c r cul o
y
el super i or
no l o est apl quese A a l a ent r ada cor r espondi ent e del mul t i pl exor .
Si el t r mi no m ni mo super i or est encer r ado en un c r cul o y el i nf er i or
no l o est apl quese A' al a ent r ada cor r espondi ent e del mul t i pl exor .
Est e pr ocedi mi ent o se despr ende de l as condi ci ones est abl eci das dur ant e
el anl i si s pr evi o.
La Fi gur a 5- 18( c) muest r a l a conf i gur aci n de l a f unci n de Bool e:
F( A, B, C)
:
>( 1, 3, 5, 6)
de l a cual se obt i ene l as conexi ones del mul t i pl exor de l a Fi gura 5-18(a).
Nt ese que B debe conect ar se a sr y C a s, , .
No es necesar i o escoger l a var i abl e de l a ext r ema i zqui er da de l a se-
cuenci a or denada de una l i st a de var i abl es par a l as ent r adas del mul t i -
pl exor . De hecho, se pueden escoger cual qui er a de l as var i abl es par a l as
ent r adas del mul t i pl exor si se t i ene en cuent a l a modi f i caci n de l a t abl a
de ej ecuci n. Supngase que se va a confi gurar l a mi sma funci n con un
mul t i pl exor , per o usando l as var i abl es A y B par a l a l nea de sel ecci n s,
y so, y l a var i abl e C par a l as ent r adas del mul t i pl exor . La var i abl e C se
compl ementa en l os trmi nos mni mos pares y no se compl ementa para l os
i mpar es ya que es I a l t i ma var i abl e en l a secuenci a de l as var i abl es I i st a-
das. El arregl o de l as dos fi l as de trmi nos mni mos en este caso debe ser
como se muest r a en I a Fi gur a 5- 19( a) . Encer r ando en un c r cul o l os t r -
mi nos mni mos y usando l as regl as establ eci das anteri ormente se obti enen
l as conexi ones del mul ti pl exor para l a confi guraci n de l a funci n como se
ve en l a Fi gur a 5- 19( b) .
En f or ma si mi l ar , es posi bl e usar cual qui er var i abl e de l a f unci n en
I as ent r adas del mul t i pl exor . Se pueden f or mul ar var i as combi naci ones
para confi gurar una funci n de Bool e con mul ti pl exores. De cual qui er ma-
ner a, t odas l as var i abl es de ent r ada a excepci n de una, se apl i can a l as
l neas de sel ecci n. La var i abl e r est ant e o su compl ement o 0 1 se apl i -
can a l as ent r adas del mul t i pl exor .
( a ) Tabl a de conf i gur aci n
Fi gur a 5- 19 Conf i gur aci n al t er na
( b)
Conexi n del mul t i pl exor
p a r a F ( 4 , B ,
( ' ) :
I
( 1 , 3 , 5 , 6 )
I
I
I
li
C,
C
Io It 12 13
0 : 4-7d
coot
Io
/r
MUX
r.
,
4x l
1 3
J r J o
http://libreria-universitaria.blogspot.com
1, ,
l l
t .
,
MLj X
)
r r
8 r I
l 1
I ,
I t ,
/ r
. f : \ l . ! r ,
A,
A
Fi gur a 5- 20 Conf i gur aci n de F( A, B, C, D l :
I
( 0. 1' ; 1, 4' 8, 9' 15r
5- 4; Ej ecut ar l a si gui ent e f unci n col l ut r mul -
F( A, B, C, D)
:
>( 0,
l , 3, 4, 8, 9, 15)
Est a es una f ' unci n de cuat r o var i abl es
y por t ant o se necesl t t
un mul t i pl exor con t r es l neas de sel ecci n
y ocho ent r adas'
Se
escoge apl i car l as var i abl es B, C y D a l as l neas de sel ecci . r " r . Lr
t abl ds conf i gur aci n
es l a most r ada en l a l ' i gur a 5- 20. l , a pr i -
mer a mi t ad de l os t r mi nos m ni mos est I l asoci adgs c( ) n A' ' l a
Segunda mi t ad con A. Bncer r andcl en un c r cul o l os t r mi nos nr l -
ni mos de l a f unci n
y apl i cando l as r egl as par a enc( ) l l t r ar
l ( , s \ ' l -
l or es
par a l as ent r adas del mul t i pl exor ,
se obt i ene el ci r cui t r r
mostrado.
Compr ese ahgr a el mt odo del mul t i pl exor c1 t r el mt odo del codi t i -
cador
pai a conf i gur ar l os ci r cui t os combi naci o al es.
El mt odo del decodi -
fi cadoi requi ere .,na comprrerta
OR para cada funci n de sal i da, ms sl o
se necesi t un decodi f i caor
par a gener ar t odos l os t r mi ngs m ni mos' El
mt odo del mul t i pl exor
usa uni dades de menor t amao
per t ) r equl er e ul l
mul t i pl exor
par a cada t unci r l de sal i da. Podr i a 5' s 2ot t nbl e asumi r
que
l os ci r cui t os combi naci onal es
col l una
pequea cant i dad de sa I i cl as se
puedan r eal i zar con mul t i pl exor es.
Los ci r cui t os combi t l aci onal es
c( ) n mu-
chas f ' unci gnes de sal i da
pr obabl ement e usan menos CI con el met cl o del
decodi fi cador.
Aunque l os mul t i pl exor es
y decodi f i cador es
se pudi er an usar
par a l i r
ej ecuci n de l os ci r cui i os combi naci onal es,
debe t et r er se en cuent a
que l ( ) s
decodi f i cador es
se usan
pr i nci pal ment e
par a decodi f i car
l a i nf br maci t i
i r r ur i u
y, l os mul t i pl exor ei
par a f br mar un cami no sel ect o e t r e ml t i pl es
i ,entu.
y ,r., sol o
^desti no.
.Se deberan consi derar cuando se di sean
pe-
187
EJEMPLO
t i pl exor:
http://libreria-universitaria.blogspot.com
r
188 LOGI cA cOMBI NACI ONAL CON MSI Y LSI CAP. 5
queos ci rcui tos combi naci onal es especi al es que no se consi guen como
funci ones MSI. Para l os grandes ci rcui tos combi naci onal es con ml ti pl es
entradas y
sal i das, hay un componente de CI ms adecuado y este se pre-
senta en l a si gui ente secci n.
5- 7 MEMORI A DE SOLO LECTURA
( ROM)
Se vi en l a Secci n 5-5 que un decodi fi cador genera l os 2n trmi nos m-
nimos de las n entradas variables. Colocando las compuertas OR para sumar
l os trmi nos mni mos de l as funci ones de Bool e se podr generar cual qui er
ci rcui to combi naci onal . Una memori a de sol o l ectura
(ROM) que vi ene de
Read Onl y Memory) es un. el emento que i ncl uye el decodi fi cador y l as
compuertas OR dentro de una sola cpsula de CI. Las conexiones ente las
salidas del decodificador
y las entradas de las compuertas OR pueden espe-
ci fi carse para cada confi guraci n parti cul ar "programando" l a ROM. La
ROM se usa a menudo para confi gurar un ci rcui to combi naci onal compl ej o
en una cpsul a de CI
y as el i mi nar Ios cabl es de conexi n.
Una ROM es esencialmente un dispositivo
(o acumulador) de memoria
en el cual se al macena un conj unto fi j o de i nformaci n bi nari a. La i nfor-
maci n bi nari a debe especi fi carse por el usuari o y l uego encl avarse en Ia
uni dad para formar el patrn de i nterconexi n requeri da. Las ROM vi enen
con enl aces i nternos especi al es que pueden esta fusi onados o abi ertos. La
i nterconexi n deseada para una apl i caci n parti cul ar requi ere que ci ertos
enl aces estn fusi onados para formar l os cami nos del ci rcui to necesari os.
Una vez que se establ ezca un patrn para una ROM, este permanecer fi j o
aunque se haga un corte de corriente y luego se restablezca.
Un di agrama de bl oque de una ROM se muestra en l a Fi gura 5-21.
Este consi ste en n l neas de entrada y m l neas de sal i da. Cada combi na-
ci n de bi ts de l as vari abl es de entrada se l l ama una di reccrn. Cada com-
bi naci n de bi ts que sal e por l as l neas de sal i da se l l ama una pal abra. EI
nmero de bi tr por pal abra es i gual al nmero de l neas de sal i da m.Una
di recci n es esenci al mente un nmero bi nari o que denota uno de l os tr-
mi nos mni mos de n vari abl es. El nmero de di recci ones di ferentes posi -
bl es con n vari abl es de entrada es 2". Una pal abra de sal i da puede ser
sel ecci onada por una di recci n ni ca y como hay 2" di recci ones di ferentes
n salidas
6-21 Diagrama de bloque de una ROM
n entradas
Figura
http://libreria-universitaria.blogspot.com
MEMORI A DE SOLO LECTURA
( ROM) 189
128 enl aces
+
F r
f 1
1 3
Fi gura 5-22
Const ucci n
l gi ca de una ROM de 32x 4
il
h'l
H
:il
* l
ljl
f
l
l
enunaRoM, hay2" pal abr as di f er ent esqus edi ceque. est nac umul a.
u, u" l a uni dad.
i u pui uU.u di sponi bl e
en l as l neas de sal i da, en cual qui er
momento
aado, aeperi " del val or de l a di recci n
apl i cada
a l as l neas de
;;1;;d".
una O se caracterzapor
el nmero de
pal abras 2"
y el nme-
."-"-i t.
por pal abra m. Esta tei mi nol oga
se usa debi do
a l a si mi l i tud
""tr.
fu --o.i u
de sol o l ectura
y l a memori a de l ectura-escri tura
que se
presenta en l a Secci 6n
7-7.
Consi drese
u"u i i O de 32 X 8. La uni dad consi ste en 32
pal abras de 8
bi ts cada una. Esto ,i g"i fi "u
que hay ocho l neas de sal i da
y 32
pal abras
di sti ntas
al macenadas
i ' l a urri dud,
cada una de l as cual es
puede apl i car-
.r-u fu. Ineas de sal i da.
La pal abra
parti cul ar sel ecci onada
que est
pre-
sente en l as l neas " .ufi ao se determi nan
a parti r
4"
l ^1t ci nco l neas de
""i i "a".
Hay sol ume' te
ci nco entradas
en una ROM de 32 X 8
porque 2s
:
32
y con .l .r"o uui i "bl es
se
puede especi fi car
32 di recci ones
o trmi n.s
mni mos.
para
cada di recci n
de entrada
hay una
pal a-bra,ni ca
sel ecci o-
nada. As, si una Ji reccl n
de entrada
es 00000, se' sel ecci ona
l a
pal abra
nmero 0
y esta up"t."" ." l as l neas de sal i da. si l a di recci n
de entrada
es 11111, se sel ecci ona
l a pal abra nmero 31
y se apl i ca a l as l neas de sa-
l i da. Entre ta pri m"i u-y' tu tttti -a hay otras 30 di recci ones
que pueden
sel ecci onar
otras 30
Pal abras'
El nmero "
Jut"tut
di recci onadas
en una ROM se determi na del
hecho de
que r" r;;l ; n Ineas de entrada
para especi fi car
2"
pal a-
bras. una ROM se especi fi ca
al gunas veces
por el nm_ero total de bi ts
que
conti ene,
el cual ,"i a Z" x
-. o, ej empl o,
una ROM de 2048 bi ts
puede
.g".,i rut."
.o-o Si i
pututtu. de 4-bi ti cada una. Esto si gni fi ca
que l a
sEc. 5- 7
Di reccci n
de entrada
Trminos mnimos
0
I
decodi fi cador
2
5x 32
http://libreria-universitaria.blogspot.com
190 L OGI CA
COMBI NACI ONAL
CON MSI Y L SI
CAP, 5
uni dad ti ene 4 l neas
.de
sal i da y
9 rneas de entrada para
especi fi car
2s : 512
pal abr as.
El nmer o
t ot ar de bi t s en r a uni dal es 512X 4: 2. 04g.
I nt ernament e,
l a ROM es un ci rcui t o
combi naci o. , ui
. u. , compuert as
AND conectadas
como decodi fi cador
y
un nmero
de compuertas
oR i gual
al nmero
de sal i das
de l a uni dad. La Fi gur a !-22muestra
una construcci n
l gi ca
i nterna
de una RoM de
g2a.
Li s ci nco u;ri ;;
de enrrada
se de_
codi fi can
en 32 l neas por
medi o de 32 compuertas
AND y
5 i nversores.
cada sal i da
del decodi i i cador
. ep. ". ". , t u
uno de l os t rmi nos
m ni mos
de
una f unci n
de ci nco
vari abi es.
ada una de ras 32 di recci ones
sel ecci ona
una y
sl o una sal i da der decodi f i cador.
La di recci n
es un nmer.
de 5
bi t s apl i cado
a l as ent radas y
er t Jrmi r. ro
m ni mo sel ecci onado
por
f uera
del decodi f i cador
es er marcado
. on
"i
' u-u. o-". i -i ' . qri ral ent e.
Las
32 sal i das
del decodi f i cador
est n conect adas por
medi o de erroces a cada
compuerta
oR. sol amente
cuatro
de estos
".ri ucu.
se muestran
en el di a_
grama pero
real mente
cada compuerta
oR ti ene 1";tr;;. y
cada entrada
pasa
a t ravs de un enrace que puede
est ar cort ado si as se desea.
La RoM es u-na confi guraci n
de dos ni vel es
"r,-fo.-u
de suma
de
trmi nos
mni mos.
No ti ene qrru
su. una confi gu.u"i .,
-oR, pero puede
ser cual qui er
ot ra posi bl e
conf i guraci n
de t rmi no.
- . , i -o*
de dos ni ve_
l es. El segundo
ni vel es normari nenf"
,,.,, conexi n
de rgi ca
cabreada (ver
Secc_i n
3-7) para
f aci l i t ar
l a f nci n
de l os enl aces.
Las
RoM t i enen
muchas
apl i caci ones
i mport ant es
en el di seo de
si stemas
de computadores
di gi tas.
su uso para
ra confi guraci n
de ci r-
cui tos
combi naci ona-res-
-compl ej os
es
j ustamente
una de es apri caci ones.
ot ros usos de l as RoM se' prsent ari
en ot ras part es
del ri bro conj unt a-
ment e con apl i caci ones part i cul ares.
Conf i gur aci n
de l gi ca
combi nacr onal
Del di agrama
l gi co
de-l a RoM, es cl aro que
cada sal i da produce
ra su-
ma de todos l os tmi nos
mni mos
de n vari abl es
de urrtruu.
nucurdese que
una funci n
de Boore puede
ser expresada
en forma
d; .;rrru
de trmi nos
m ni mos'
Al romper,
ros enl aces. de aquei l os
t rmi nos
-*i ni -u,
que
no se
i ncl uyen
en l a f unci n,
cada sal i da, l e-i a
RoM puede
hacer represent ar
ra
funci n
de Bool e de una de l as va.i abl es
de sari a
"n
u,,
"i i "ui to
combi na_
ci onal . Para un ci rcui t o
combi naci onar
de n . nt rua.
v' . ' suri aa. se nece_
si t a una ROM de 2.
l , ^-. La
rupt ura
de l os enl aces
, , "l i ur" a l a progra_
maci n
de l a RoM. El di seador
necesi t a
. ol u-*i "-urp"i f i . ur
una t abl a
del programa
RoM que
da l a i nfo.maci n
para
l os cami nos necesari os
en
l a RoM. La programaci n
act ual ur-u. r pro""di mi ent o
del mat eri al (hard_
ware) que
si gue l as especi fi caci ones
ri stadas
en l a tabl ;
e programaci n.
Para acl arar er proceso
es necesai o
un ej empl o
especfi co.
La tabl a
9: -uut l ud,
en l a. Fi cu{g. 5-23_(a)
". p". i f i . ,
un ci rcui t o
combi naci onal
con
dos entradas y
dos sal i das.
Las frnci ones
de Bool e p".a""
expresarse
en
suma de t rmi nos
m ni mos:
F, ( A, , A)
:
>( 1, 2, 3)
Fr(Ar, Ao)
:
>(0, 2)
http://libreria-universitaria.blogspot.com
H
ti
*i
t;l
' ; ;
t ;
c
' i
z
C
E
a
:
'i
9 a
O - :
N
C , ^
(J
bc
F
t 9 l
http://libreria-universitaria.blogspot.com
192 LOGI cA coMBI NACI oNAL c oN MSI Y LSI
CAP. 5
Cuando se confi gura un ci rcui to combi naci onal por medi o de una ROM, l as
funciones deben expresarse en suma de trminos mnimos o mejor an por
una tabl a de verdad. Si l a sal i da de l as funci ones se si mpl i fi ca, se encuen-
tra que el cicuito necesita solamente una compuerta OR
y un inversor.
obvi amente, este es un ci rcui to combi naci onal si mpl e para ser ej ecutado
con una RoM. La ventaj a de l as RoM es su uso en ci rcui tos combi naci o-
nal es compl ej os. Este ej empl o sol amente demuestra el procedi mi ento y no
debe consi derarse en una si tuaci n prcti ca.
La ROM que confi gura el ci rcui to combi naci onal debe tener dos en-
tradas y dos sal i das de tal manera que su tamao deber ser 4 X 2. La
Fi gura 5-23(b) muestra l a construcci n i nterna de una ROM. Es necesa-
ri o determi nar cul de l os ocho enl aces di sponi bl es deben romperse y
cu-
les deben dejarse sin tocar. Esto puede hacerse fcilmente de las funciones
de sal i da l i stadas en l a tabl a de verdad. Aquel l os trmi nos mni mos que
especi fi can una sal i da de 0 no deben tener un cami no a l a sal i da a travs
de una compuerta OR. As, pera este caso particular la tabla de verdad
muestra tres ceros y sus correspondientes enlaces con las compuertas OR
que deben quitarse. Es obvio que se debe asumir que
un circuito abierto
a una compuerta OR se comporta como una entrada de 0.
Algunas ROM vienen con un inversor despus de cada una de las com-
puertas
OR y como consecuencia se especifica que inicialmente tienen todos
0 en sus entradas. El procedi mi ento
de programaci n
de tal es ROM re-
qui ere que se abran l os enl aces de l os trmi nos mni mos
(o
di recci ones)
que especi fi quen una sal i da de 1 en l a tabl a de verdad. La sal i da de l a com-
puerta
oR complementa la funcin una vez ms para producir
una salida
normal . Esto se muestra en l a ROM de l a Fi gura b-28(c).
El ej empl o anteri or demuestra el procedi mi ento general para ej ecutar
un ci rcui to combi naci onal con una ROM. A parti r del nmero de entradas
y sahdas en el ci rcui to combi naci onal , se determi na pri mero el tamao de
l a ROM requeri do. Luego se obti ene l a tabl a de verdad de programaci n
de
l a ROM; no se necesi ta ni nguna otra mani pul aci n o si mpl i fi eaci n. Los
ceros
(o
unos) en l as funci ones de sal i da de l a tabl a de verdad especi fi can
di rectamente aquel l os enl aces que deben ser removi dos para produci r el
ci rcui to combi naci onal requeri do en l a forma de suma de trmi nos mni mos.
En l a prcti ca, cuando se di sea un ci rcui to por medi o de una ROM,
no es necesari o mostrar enl aces de l as conexi ones de l as compuertas i n-
ternas dentro de l a uni dad como se hi zo en l a Fi gura 5-23; l o cual fue mos-
trado para propsitos
de demostracin solamente. Todo lo que el diseador
tiene que hacer es especificar la ROM (o
su nmero asignado) y dar la
tabl a de verdad de l a ROM como en l a Fi gura 5-23(a). La tabl a de verdad
da toda l a i nformaci n para programar l a ROM. No se necesi ta un di a-
grama interno que acompae la tabla de verdad.
EJEMPLO 5-5.' Disear un circuito combinacional usando
una ROM. El ci rcui to acepta un nmero de 3 bi ts y genera
un n-
mero binario de salida igual al cuadrado del nmero de entrada.
El primer paso
es deducir la tabla de verdad para el circuito
combinacional. En la mayora d los casos es todo lo que se nece-
http://libreria-universitaria.blogspot.com
Tabla 5-5 Tabla de verdad
para el circuito del Ejemplo 5-5
0
0
0
0
I
I
I
I
Entradas
At Ao
0
0
I
I
0
0
I
I
0
I
0
I
0
I
0
t
(a) Diagrama de bloque
A2 At Ao Fr F2 F3 F4
(b) Tabla de verdad de la ROM
0
I
4
9
l 6
25
36
49
r o
^
l ^ 2
0
0
0
0
0000
0000
000I
0010
0100
0l l 0
l 00l
l l 00
0 0
0 l
l 0
l l
0 0
0 l
1 0
1 l
D
B l B2 B3 B^ Bs
Figura 5-24 Configuracin
de la ROM del Ejemplo 5-5
si ta. En al gunos casos se
puede encaj ar una tabl a de verdad
ms
;";
p"i" r" ROM usndo ciertas
propiedades
-ttt
I.u. tabla de
uerd"d dl ci rcui to combi naci onal '
La Tabl a 5-5 es l a tabl a de ver-
aa
p"r" el ci rcui to combi naci onal .
se necesi tan l as tres entra-
il
;l-[
tres ralidas
para acomodar.
todos los nmeros
posibles.
S.
"otu
que l a sal i a o
",
si empre
i gual a l a entrada
Ao de tal
manera
que no es necesari o
genera-r 86 c9n l a ROM.ya
que es
i g";i ; i .ta l rari ubl e de entrada' Si n embargo'
l a sal i da B' es
"i"*p*
, d" t"l manera
que siempre es conocida'
Se necesita
g"""i ut sl amente
cuatro entradas con una ROM; l as otras dos
3e obti enen fci l mente'
El tamao mni mo de l a ROM debe tener
tres entradas
y cuatro sal i das.
Las tres entradas
especi fi can
ocho
p"furu, de ta manera
que el tamao de l a ROM debe ser 8X 4. La
i ""fi g*".i n
con ROM se muestra en l a Fi gura 5-24' Las tres
er,traas
especifican
ocho
palabras con cuatro bits cada una. Las
;l;;; d". ,"lidur de los clrcuitos combinacionales
son igrrales a

HI
l
I
i i
:.4
i?
Sal i das
85 84 83 82 Bt Bo
000000
000001
000100
001001
010000
0l l 00l
100100
l l 000l
ROM
8x 4
Ft F2 F3 F4
193
http://libreria-universitaria.blogspot.com
194 Locr cA coMBt NAct oNAL coN MSt
y
LSt
cAp. 5
0 y Au. La t abi a de ver dad de I a Fi gur a 5- 24 especi f i ca t oda I a
i nf or maci n necesar i a par a pr ogr amar
l a RoM y el di agr ama de
bl oque r uesi r e l as conexi ones r eouer i das.
Ti pos de ROM
Los cami nos necesari os en una RoM pueden
ser programados
de dos ma-
neras di ferentes. La pri mera
se l l ama programaci n por mascara y l a hace
el f abr i cant e dur ant e el l t i mo pr oceso
de f abr i caci n de l a uni da. El pr o-
cedi mi ent o par a f abr i car una RoM r equi er e que
el cl i ent e l l ene l a t bl a
de verdad segn l o que se desea que
l a RoM sati sfaga. La tabl a de verdad
debe ser entregada en una forma especi al sumi ni strada por el fabri cante.
Muy a menudo, se entrega en ci nta de papel
o tarj etas perfbradas
en el
formato especi fi cado en l a hoj a de datos de una RoM parfi cul ar.
El fabri -
cante hace Ia mscara correspondi ente para que l os cami nos produzcan
unos y cer os de acuer do a I a t abl a de ver dad del cl i ent e. Est e pr ocedi mi en-
to es muy costoso ya que el vendedor l e carga al cl i ente una tari fa especi al
por hacer l e una RoM con mscar a. Por est a r azn, I a pr ogr amaci n
con
mscar a es econmi ca sol ament e si se van a f abr i car gr andes
cant i dades
del mi smo t i po de conf i gur aci n de ROM.
Para pequeas
canti dades, es ms econmi co usar un segundo ti po
de RoM l l amado memor a pr ogr amabLe
de sol o l ect ur a o
pRoM
( de
p. ogr a-
mabl e r ead- onl y memor y) . cuando se or denan, l as uni dades
pRoM
. ont i . -
nen cer os ( o
unos) en cada bi t de l as pal abr as
al macenadas. Los enl aces
en el PROM se rompen por medi o de pul sos
de corri ente a travs de l os
t er mi nal es de sal i da. un enl ace r ot o def i ne un est ado bi nar i o y uno no
roto representa el otro estado. Esto l e permi te al usuari o programar
Ia
uni dad en su propi o l aboratori o para l ograr l a rel aci n deseda*entre l as
di r ecci ones de ent r ada y l as pal abr as
al macenadas. Comer ci al ment e se
obti enen uni dades especi al es l l amadas programadores
de
pVoM
para faci -
l i tar este procedi mi ento.
De todas formas, todos l os procedi mi entos para
programar
l as RoM son procedi mi entos
de l os materi al es (hardware)
aun-
que
se use l a pal abra programaci n.
EI procedi mi ento
de l os materi al es para programar
RoM o
pRoM
es
i rreversi bl e y
una vez programados
el patrn
dado es permanente y no
puede al terarse. una vez que se ha establ eci do un patrn de bi ts se debe
descartar l a uni dad si se qui ere cambi ar el patrn
de bi ts. Un tercer ti po
de uni dad es l a l l amada PROM bor r abl e o EpRoM ( de
er asabr e
pRoM) .
Las EPROM pueden ser recuperadas a su val or i ni ci al (todos
unos o todos
cer os) aunque se hayan cambi ado pr evi ament e.
cuando una EpRoM se
col oca baj o una l uz ul travi ol eta especi al por un peri odo
dado de ti empo, Ia
radi aci n de onda corta descarga l os puentes i nternos que si rven de con-
tactos. una vez borrada l a RoM regresa a su estado i ni ci al para
ser re-
programada.
Ci ertas RoM pueden
ser borradas con seal es el ctri cas en
vez de l uz ul t r avi ol et a y se l es l l ama al gunas veces ROM el ct r i cament e
ol t er abl e o EAROM.
La funci n de una RoM puede i nterpretarse de dos maneras di feren-
tes. La pri mera i nterpretaci n
es l a de una uni dad que
confi gura cual qui er
ci rcui to combi naci onal . Desde este punto
de vi sta, cada termi nal de sl i da
http://libreria-universitaria.blogspot.com
SEC. 5- B
ARREGLO LOGI CO PROGRAMABLE
( PLA)
195
se consi der a separ adament e
como una sal i da de una f ' unci n de Bool e ex-
pr esada . n . , , u de t r mi nos m ni mos. La segunda i nt er pr et aci n consi -
her a I a ROM como una uni dad de al macenami ent o
que t i ene un pat r on f i j o
de cadenas de bi t s l l amadas
paLabr as. Vi st o de est a f or ma, l as ent r adas
especi f i can una d r ecci n
pat a una pal abr a espec f i ca al macenada
que se
upi i . u l uego a l as sal i das. Por ej empl o, l a ROM de l a Fi gur a 5- 24 t i ene t r es
l neas de di r ecci n l as cual es especi f i can ocho pai abr as acumul adas de l a
maner a dada en l a t abl a de ver dad. Cada
pal abr a t i ene cuat r o bi t s de
I ongi t ud. Est a es I a r azn por I a cual se l e ha dado a l a uni dad ei nombr e
de" memor i a de sc, l o I ect ur a. l l t l p nt t r i o se usa comunment e
par a desi gnar
una uni dad de al macenami ent o.
Lect ur o se usa
par a i mpl i car
que el cot r -
t eni do de una
pai abr a especi f i cada
por una di r ecci n en una uni dad de
al macenami ent o se l ocal i za en l os t er mi nal es de sal i da. As ' una ROM es
una uni dad de memori a con un patrn fi j o de pal abra que puede ser l edtr
baj o l a apl i caci n de una di r ecci n dada. El pat r n de bi t s en l a ROM es
per manent e y no puede cambi ar se dur ant e l a oper aci n nor mal '
Las ROM se usan ext ensament e
par a ej ecut ar ci r cui t os combi naci o-
nal es compl ej os di r ect ament e de sus t abl as de ver dad. Son muy t i l es
par a
conver t i r " . r t t cdi go bi nar i o a ot r o
( t al como ASCI I a EBCDI C 9 vi ce- ,
ver sa) ,
par a f unci ones ar i t mt i cas como mul t i pl i cador es,
par a most r ar
car act er es en un t ubo de r ayos cat di cos,
y en cual qui er ot r a apl i caci n
que r equi er a un
gr an nmer o de er , t r adas
, ' sal i das.
Se empl ean t ambi r l
en el dl seo de uni dades de cont r ol de l os si st emas di gi t al es. Como t al es,
se usan
par a al macenar
pat r ones f i j os de bi t s
que r epr esent en una secuen-
ci a de vr i abl es de cont r ol necesar i os
par a habi l i t ar l as di f er ent es
oper a-
ci ones en el si st ema. Una uni dad de cont r ol
que ut i l i za una ROM
par a
al macenar i nf br maci n de cont r ol bi nar i o se l l ama una uni dad de c' t nt r t t l
m cr opr ogr amada.
El Cap t ul o 10 t r at ar est e t ema en ms det al l es.
5- 8 ARREGLO LOGI CO PROGRAMABLE
( PLA)
Un ci r cui t o combi naci onal
puede t ener ocasi onal ment e condi ci ones de nt r
i mpor t a. Cuando se conf i gur a con una ROM una condi ci n de no i mpor t a
se convi er t e en una di r ecci n de ent r ada
que nunca ocur r e. Las pai abr as
en l as di r ecci ones de no i mpor t a no necesi t an ser pr ogr amadas y pueden
dej ar se en su est ado or i gi nal
( t odos cer os o t odos unos) . El r esul t ado es
qr . no t odos l os pat r ones de bi t s di sponi bl es en l a ROM se usan, l o cual
se consi der a como un desper di ci o de equi po di sponi bl e.
Consi dr ese
por ej empl o, un ci r cui t o combi naci onal
que convi er t e ut r
cdi go de t ar j et a de 12 bi t s a un cdi go al f anumr i co i nt er no de 6 bi t s, co-
r no J" l i st a en I a Tabl a 1- 5. El cdi go de t ar j et a de ent r adas consi st e en
12 l neas desi gnadas
por 0, 1, 2, . .
,
9, 11, 12. El t amao de I a ROM
par a
conf i gur ar el onver sor de cdi go debe ser 4096 X 6, ya que hay 12 ent r adas
y O sal i das. Hay sol ament e 4? ent r adas vl i das
par a el cdi go de t ar j et a
y el r est o de cmbi naci ones son condi ci ones de no i mpor t a. Se usan as
i ol amente 47 pal abras de l as 4096 di sponi bl es. Las 4049 pal abras restantes
no se usan
y se desper di ci an.
Par a aquel l os casos en l os cual es el nmer o de condi ci ones de no i m-
porta es excesi vo, es ms econmi co usar un segundo ti po de componente
t!
?i
{i
1l
ir
1
f
,fi
:q
':i
;
http://libreria-universitaria.blogspot.com
I 96 LOGI CA
COMBI NACI ONAL
CON MSI Y LSI
Fi gura b-2b
* El
CI TTL t i po 82S100.
CAP. 5
LSI Il amado arregl o Igi co programabre
o
pLA
(vi ene
d,e programabre
rogi c
arrayl . un PLA es si mi l ara una RoM en concept o; si n embargo el
pl , A; l o
produce
l a decodi fi caci n
compl eta
de l as vari bl y no genera
todos l os
trmi nos
mni mos como en una RoM. En un
pLA,
ei decodi fi cador
se rem-
plaza
mediante
un grupo
de compuertas
AND, cada una de las cuales pue-
den ser programadas
para generar
un trmino'producto
e-las variables de
entrada. [,as
compuertas
AND y
oR dentro del
pLA
se fabri can i ni ci al men_
te con enlaces entre ellas. Las funciones especficas de Boole se ejecutan
en la forma de suma de productos
al abrir los enlaces adecuados y d;ja; ;
conexi ones
deseadas.
un diagrama de bloque de un
pLA
se muesta en la Figura 5-25. Este
consiste en n entradas, rn salidas, ft trminos de producto y rn trminos de
sunra. Los trminos de producto
constituyen
un grupo
de compuertas
AND
y los trminos de suma co-nstituyen
un g,upo
ae"-'comprr"rtu.
oR. Los en-
laces se colocan ente todas las entrad, ,, y ,us valores complementados.
otro grupo
de enlaces en ros inversores
de salida p"r-ii" q"e se genere
la
funci n de sal i da o en l a forma de AND-oR o
"r,
forma AND-O:R i nver_
ti da. con el enl ace del i nvesor
en su rugar, se puentea
"l ' i rr.r"rro.
dando
una confi guraci n
AND-OR. cuando se rompe el i nl ace el i nversor se vuel _
be parte
del ci rcui to y l a funci n se confi gura en l a forma AND-oR i nve_
t i da.
EI tamao del PLA se especi fi ca
oor el nmero de entradas, el nmero
de trmi nos de producto
y el nmero de sal i das (el
nmero de trmi nos de
suma es i gual al nmero
de sal i das).
Un tpi co
pLA
ti ene 16 entradas,
4g
trmi nos producto y
8 sal i das.* El nmero
de enl aces programados
es
2 t x k* hx m
f
r n mi ent r as que
l os de l a ROM son 2,
x; . '
La Fi gura 5-26 muestra una construcci n
i nterna de un
pLA
especfi -
co. Ti ene tes entradas,
tres trmi nos producto
y ao.
"uti us.
Tal
pLA
es
muy pequeo para
encontrarse
comerci al mente;
se presenta
aqu sol amente
para propsi to
de demostraci n.
cada entrada y
su tompl emento
se conecta
por
medio de enlaces a las entradas
de todas las compueitas-eN.
Las sali_
{as -de-
las compuertas
AND se conectan por
medio de enlaces a cada entra-
da de l as compuertas
oR. se sumi ni stran
dos enl aces ms con l os i nversores
de sa.l i da' Al romper l os enl aces sel ecci onados y
dej ar ol ro.
".r
rugar, es
posi bl e,
ej ecutar confi guraci ones
de funci ones de ool en l a fbrma de suma
oe product os.
De l a mi sma fo1l a que l a ROM, el
pLA
puede
ser programabl e
por
mscara o programabl e
por el usuari o (programaci n
de u,opol . con un
& t rmi nos
producto
(compuertas
AND)
n trminos
suma
(compuertas
oR)
Di agrama de bl oque del
pLA
http://libreria-universitaria.blogspot.com
o
(!

o
o
N
o
@
. i r
! 6
\o
a
.-/
6
; : -
6 o
<?
F O.
q E
)!z :
T
t r i ' 6
6
r
' =
b E
l l I
197
http://libreria-universitaria.blogspot.com
198 LOGTCA
CoMB| NAC| ONAL
CON MSt
y
LSt
CAp. 5
PLA programabl e
por
mscara,
el cl i ente
debe entregar
una tabl a
de pro_
gr amaci n
der
pLA
al f abr i cant e
Est . a t abr a. se, r . u
i o,
el f abr i cant e
par a pr oduci r
un
pLA
hecho pur u
ui - " t l ' nt e
con t o.
" u- i ' o.
r nr er nos
r equer i _ dos enr r e l as enr r adas
v' l as. l i " ] .
u" . " gr " i r _; ; ; " pLA
di sponi bl e se l l ama
arregto
tgryo
i roer"i i tt"2n
,t ,o,i p,
"
fi ,Te
tde fi el d prosram_
:i "b^1:-tl qt"
arrav.).
nt .pi e p""J"
ser.programuao
po.
"i
usuari o por
medi o oe crerros procedi mi entos
recomendads.
-H;;i l .i u0o.",
comerci al es
de materi al es (hardwa..l
pu.u-
u.u.-"onu.rta-e.,te-"o.,
ci ertos
F' LA.
Tabl a
de pr ogr ama
del
pLA
El uso de un PLA
debe ser consi derado
para
l os ci rcui t os
combi naci onal es
que
t i enen
un gr an
nmer o
de ent r aJas y
sar i das.
Es super i or
a una
RoM para
ci rcui tos que
ti enen
un gran
^i ^rro
de condi ci ,onl s
de no i mporta.
El ej empl o presentado
u .oni i nuu"i o"
"-r.r*;;;
se programa
un PLA'
Mant ngase
en ment e
cuando
se obse. rve
el ej empl o
que
t al ci rcui t o senci l l o
no necesi t a
un
pLA
vu
su conf i guraci n
puede
ej ecut arse
ms econmi cament e
con compuert as
SSI .
consi drese
ra
t abl a
d" ; ; . ; ; J' d-el
ci rcui t o
combi naci onal
most rado
en l a Fi gura
E-27(a).
Aunque
""u
u
"o.,ri gu."
r.' i ,]""l "rrs
en l a fbrma
de suma
de trmi nos
mni mos
un
pLA,
"otrri -,i a
r". r,i "."i "res
en ra forma
de suma
de productos.
cada ti ,' i no
" p.;;;;r' ;;^' u"p.".ron
requi ere
una compuerta
AND.
como
el nmero.
d"
"o-puu.l ".^ao
en un
pLA
es
fi ni to,
es necesari o
si mpl i fi car-u
r"""i "
";;-;i l ;
i ",_"
de trmi nos de producto
nara poder
m-i;i;";"i
r,,i-"ro
de compuertas
AND
usadas.
l":'J:'Ut"'ffiT*1$;;
,1,-"i
a" productos
."'oii""".,
de ros
ma-
Ft :
AB' + AC
Fz :
AC + BC
Hay t res t rmi nos
de product o
di st i nt os
en est e ci rcui t o
ccmbi naci onar:
AB" AC
v
BC. El ci rcui ro
ri "; ; -; . ; "; nrradas
y
dos sal i das;
as el
pLA
de l a Fi gura
b-26 puede
rrs.arse
;";;
;;;g".u.
"rt"-.rr"rl i J
""*i naci onal .
La programaci n-qe-l pL
.i g"i i i "u
que
se especi fi can
l os cami nos
en
su patrn
AND-oR-Nor.
una tal a de programa
e
pLA
tpi ca se muestra
en l a Fi gura
5-27(c).
Esta
consi st"
"r,
t.".
col umnas.
La pri mera
col umna
l i sta l os rrmi nos
de producto-;;;;i ;"-ente.
La ,"g";;
col umna
espe_
ci fi ca l os cami nos
necesari os
entre ras-l .rtradas
y
l as compuertas
AND.
La
t ercera
col umna
esneci f i ca
l os cami nos
ent re l as_ compuert s
AND y
l as oR.
Baj o cada vari abl e
de sal a,
-r"-^i l "ri "
una v (verdadero)
si ra funci n
debe compl ementarse
con el i .r,u"rro,
de sal i da.
Los trmi nos
de, Bool e
l i s_
tados a l a i zqui erda
no son parte
de l a tabra;
"l l ;.
;;^i ;;;uyen
sol amente
como eferenci a.
Para
cada trmi no producto,
se marcan
l as entradas
con 1,0,
-
(gui n).
si l a vari abl e
en
"11i t.-i "g
i ,."a""t" aparece
en su forma
normal (no ti l dada),
l a vai abl e
de..entrada
"o..".po.rai "rrt"
." ^u.i ;;;;
." 1. si apa_ rece
compl ementada
(ti rdada)
r" -u."i
"on
un 0. Si l a vari abre
est ausente
http://libreria-universitaria.blogspot.com
ARREGLO LOGI CO PROGRAMABLE
( PLA) 199
sEc. 5- 8
de tal forma
que Ft
con un
gui n
Para
el
enel t r mi nopr oduct osemar caconungui n. Cadat r mi nopr oduct ose
asocia con una .o-prrar
AND. Los caminos
entre las entradas
y las c,m-
puertas AND se
"!p;^fi ;;u:o
ru col umna
l l amada entradas.
un 1en l a
col umna
de entraoal -s;;;i l i ""
Ln cami no
desde
Ia correspondi ente
entrada
a la entrada
" ru
"o*pir"ilu-AND
que forma el trmino
producto. un 0 err la
col umna
de entrada;;;;i ft;";
a*i ' o
entre l a entrada
correspondi ente
complementada
y t"
"rri."d"
de la compuerta
AND' Un
guin no especifica
conexi n.
Los enl aces
adecuados
se rompen
.y
l os
que quedan forman
l os
caminos
deseados
como se muestra
"n
Figura 5--26- Se asurne
que los
terminales
abiertos
;;1"";";;"erta
AND se omportan
como una entrada
de 1.
Loscami nosent r el ascompuer t asANDyoRseespeci f i canbaj ol asco
l umn' rs
l l amadas
sat' i das.
Las vari abl es
de sal i da
se marcan
con unos
para
aquel l os
trmi nos
pr"J".t"
que formul an
l a f' unci n'
En el ej empl o
de l a
Fi gura
5-27
se t i ene:
F,
:
AB, + AC
se marca
con un 1 para l os trmi nos
producto 1 y 2 y
' i r-i ""
producto
g.
Cudu trmi no
producto
que ti ene
IJ
, {
\------Y---,
' 1
L
= AB, + AC
B
I
I
\_---r--J
C
: .
-_
AC
+ BC
(b) Si mpl i f i caci n Por
maPa
( c)
Tabl a de
Pr ogr ama
del PLA
Fi gur a 5- 27
Pasos necesar i os en l a conf i gur aci n
del PLA
, {
AB,
AC
BC
00
00
00
0l
l 0
1l
00
l 1
0 0
0 l
l 0
l l
0 0
OI
l 0
1 l
( a) Tabl a de ver dad
l ' r mr no
producto
Ent r adas
A B C
Sal i das
F, F)
I
l
3
1 0
l l
1 l
1
l 1
- l
TT
Ti C
http://libreria-universitaria.blogspot.com
LOGI CA COMBI NACI ONAL CON MSI Y LSI
CAP. 5
un 1 en la columna de salida requiere un camino desde la compuerta AND
correspondiente hasta la compuerta de salida OR. Aquellos marcados con
un gui n no especi fi can conexi n. Fi nal mente una sal i da V
(verdadera)
i ndi ca que
el enl ace a travs del i nversor de sal i da permanece
en su l ugar
y un c
(compl emento)
i ndi ca que el enl ace correspondi ente est roto. Los
cami nos i nternos del PLA para este ci rcui to se muestran en Ia Fi gura 5-26.
Se asume que un terminal abierto en una compuerta OR se comporta como
un 0 y que un corto ci rcui to a travs del i nversor de sal i da no daa el ci r-
cui t o.
cuando se di sea un si stema di gi tal con un PLA no es necesari o mos-
trar l as conexi ones de Ia uni dad como fue hecho en l a Fi gura b-26. Todo l o
que se necesi ta es una tabl a de programaci n
del PLA medi ante l a cual se
puede programar
el PLA para
dar l os cami nos adecuados.
cuando se confi gura un ci rcui to combi naci onal con
pLA,
se debe ha-
cer una i nvesti gaci n cui dadosa para poder reduci el nmero total de
trmi nos producto ya que un PLA podra
tener un nmero fi ni to de trmi -
nos AND. Esto puede
hacerse si mpl i fi cando
cada funci n al mni mo nmero
de trmi nos. El nmero de l i teral es en un trmi no no es i mportante ya que
se ti enen di sponi bl es todas l as vari abl es de entrada. Los val ore. ue.a-
deros y de compl emento de l a funci n deben si mpl i fi carse para ver cual se
puede expresar con menos trmi nos producto y
cual produce
trmi nos
producto que son comunes a otras funci ones.
EJEMPLO 5-6.. Un ci rcui to combi naci onal se defi ne nor l as
funci ones:
Ft ( A, B, C)
:
) ( 3, 5, 6, 7) .
F2( A, B, C) : ) ( 0, 2, 4, 7)
confi grese el ci rcui to con un PLA de 3 entradas cuatro trmi nos
producto y dos sal i das.
Las dos funci ones se mul ti pl i can en l os mapas de l a Fi gura
5-28. Ambos val ores verdaderos y compl ementos de Ia funci n se
si mpl i fi can. Las combi naci ones que
dan un nmero mni mo de
trmi nos producto
son:
Fr : ( B' C' + A, C, + A, B, ) ,
Fz: B' C' + A' C' + ABC
Esto produce sol amente cuatro trmi nos producto
di ferentes:
B' C'
,
A' C'
,
A' B' y ABC. La t abl a programa
del
pLA
para
est a combi -
naci n se muestra en l a Fi gura 5-28. Ntese que l a sal i da F, es l a
sal i da normal (verdadera)
aunque se marque una c baj o el l a. Esto
es debi do a que F se genera
antes del i nversor de sal i da. El i nver-
sor compl ementa l a funci n para produci r F, a l a sal i da.
El ci rcui to combi naci onal para este ej empl o es muy pequeo para una
confi guraci n prcti ca con un PLA. Este se ha presentado aqu sol amente
j,
200
http://libreria-universitaria.blogspot.com
A) '
L
B
I
--_-J
L
F 1 = AC+ AB+ BC
B
-r--/
L
Fi
=
s ' c ' + A' C' + A' B'
B,C,
A, C,
A' 8,
ABC
B
I
I I
-_
C
F I . = 8 , C, + A, C, + ABC
\____Y_/
Fi =B' C+A, C+ABC
o{
L
I
I
,t , {
Tabla de progama de un PLA
Trmi nos
productos
Entradas
ABC
Sal i {as
Ft F2
I
1
5
4
- 00
0- 0
00
t l l
l l
l l
l -
- l
CT t t L
Figura 5-28 Solucin del Ejemplo 5-6
para propsi tos
de demostraci n. Un PLA tpi co comerci al ti ene ms de 10
entradas y cerca de 50 trmi nos producto. La si mpl i fi caci n de l as funci o-
nes de Bool e con tantas vari abl es debe l l evarse a cabo por medi o del mto-
do de tabulado u otro mtodo de simplificacin a base de computador. Aqu
es donde el programa de computador puede ayudar al di seo compl ej o
de Ios si stemas di gi tal es. El programa
del computador debe si mpl i fi car
cada funci n del ci rcui to combi naci onal y su compl emento al mni mo n-
mero de trmi nos. El programa sel ecci ona el nmero mni mo de trmi nos
di ferentes para cubri r todas l as funci ones en su forma verdadera o de
compl emento.
5- 9 NOTAS CONCLUYENTES
Este captulo presenta una variedad de mtodos de diseo para los circui-
tos combi naci onal es. Tambi n presenta y expl i ca un nmero de ci rcui tos
MSI y LSI que pueden ser usados para di sear si stemas di gi tal es ms
U 0 0
0
0 0
0 0
201
http://libreria-universitaria.blogspot.com
202 LOGI cA coMBI NAcI oNAL CoN MSI Y LSI
CAP. 5
compl i cados. El nf asi s aqu f ue sobr e I a l gi ca combi naci onal MSI y l as
f ' unci c nes LSL Las f unci ones de l a l gi ca secuenci al MSI se di scut i r n en
el cap t ul o 7. El pr ocesador y cont r ol MSI y l as f unci ones LSI se pr esen-
tarn en l os captul os 9 y 10. Los componentes del mi crocomputador LSI
se i r i t r oduci r n en el Cap t ul o 12.
Las f unci ones MSI pr esent adas
aqu y ot r as di sponi bl es comer ci al -
mente se descri ben en l os l i bros de especi fi caci ones o catl ogos. Los l i bros
de CI cont i enen descr i pci ones exact as de muchos MSI y ot r os ci r cui t os
i ntegrados. Al gunos de estos l i bros de datos se l i stan en l as ref' erenci as
que se dar n ms adel ant e.
Los ci r cui t os MSI y LSI pueden usar se en una var i edad de apl i caci o-
nes. Al gunas de estas apl i caci ones fueron di scuti das a l o l argo de este
captul o, al gunas fueron i ncl ui das en probl emas y otros sern encontradas
en captul os si gui entes conj untamente con sus apl i caci ones parti cul ares.
Los di seadores recursi vos pueden
encontrar muchas otras apl i caci ones
que
se aj usten a sus necesi dades parti cul ares.
Los fabri cantes de ci rcui tos
i nt egr ados publ i can
numer osas not as de apl i caci n que sugi er en l a ut i l i -
zaci n posi bl e
de sus productos.
Una l i sta de notas de apl i caci n puede
obtenerse escri bi endo a l os fabri cantes di rectamente o sl i ci tndol a di -
r ect ament e a sus r epr esent ant es
l ocal es.
.
t
A,-
z -
3.
t .
REFE RENCI AS
Yu.l o' -M.
M., computer S-ysfem Archi tecture. Engl ewood cri ffs, N. J.:
prenti ce-
Hal l , I nc. , 1976.
Mor r i s, R. L. , y J. R. Mi l l er , eds. , Desl gn i ng wi t h r r L I nt egr at ed ci r cui t s.
Nueva Yok: McGraw-Hi l l Book Co., 1921.
Bl akesl ee, T. R., Di gtal Desi gn wi th standl rd MSI and LSI. Nueva
york:
John
Wi l ey & Sons, 19?5.
Barna A., y D. I. Porat, Integrated Ci rcuts i n Dgi tat El ectroni cs. Nueva
york:
John Wi l ey & Sons, 1973.
I,.9,
s. c., Di gi tal Ci rcuts and Logi c Desi gn, Engl ewood cl i ffs, N. J.:
prenti ce-
Hal l , I nc. , 1976.
Semi conductor Manufacturers Data Books (consul tar
l a l ti ma edi ci n):
(a)
The TTL Data Booh
for
Desi gn Engi neers. Dal l as, Texas: Texas Instru-
ment s, I nc.
( bI
The t r ' ai r chi l d sem conduct or TTL Dat a Book. Mount ai n Vi ew, cal i f . : Fai r -
chi l d Semi conduct or .
( cl
Di gi t at I nt egr at ed Ci r cui t s. Sant a Cl ar a, cal i f . : Nat i onal semi conduct or
Cor p.
( d)
Si gner i cs Di gi t al , Li near , MOS. Sunnyval e, Cal i f . : Si gnet i cs.
(e)
MECL Integrated ci rcui ts Data Booh. Phoeni x, Ari z.: Motorol a semi con-
ducto Products, Inc.
( f
) RCA sol i d st at e Dat a Booh Ser i es. somer vi l l e, N. J. : RCA sol i d st at e Di v.
-t-
A
5.
t
J I
http://libreria-universitaria.blogspot.com
PROBLEMAS
5-1. Di see un convert i dor de cdi go de exceso 3 a BDC usando un ci rcui t o MSI
de sumadores compl et os de 4 bi t s.
5-2. Usando cuat ro ci rcui t os MSI , const ruya un sumador paral el o bi nari o para
sumar dos nmeros bi nari os de 16 bi t s. Marque t odos l os arrast res ent re
i os ci rcui t os MSL
5-3. Usando 4 compuert as OR-excl usi vas y un ci rcui t o MSI de sumadores compl e-
t os de 4 bi t s, const ruya un sumador sust ract or paral el o. Use una vari abl e
de sel ecci n de ent r ada V de t al maner a que cuando V: 0, el ci r cui t o suma
y cuando V: t , el ci rcui t o rest a. (Sugerenci a:
use l a sust racci n por
com-
pl ement o
de 2. )
5-4. Deduzca l a ecuaci n de dos ni vel es para el bi t de arrast re de sal i da C, mos-
t rado en el generador de bi t de arrast re post eri or de l a Fi gura 5-5.
5-5. ' (a)
Usando el procedi mi ent o
de conf i guraci n AND-OR i nvert i da descri t o en
l a Secci n 3-7, demuest re que el bi t de arrast re de sal i da en el sumador
completo puede expresarse como:
C+t
:
Gi + PiC
:
(Ci Pi + GiCi)'
(b)
El CI t i po 74182 es un ci rcui t o MSI generador
de bi t de arrast re post e-
ri or que genera l os bi t de arrast re conj unt ament e con l as compuert as
AND-OR i nvert i da. El ci rcui t o MSI asume que l os t ermi nal es de ent rada
t i enen l os compl ement os de G, P y de Cr. Deduzca l as f unci ones de
Bool e para l os bi t s de arrast re post eri ores
Cr, C, y
C en est e CI .
(Su-
gerenci a: use el mt odo de ecuaci n sust i t uci n para deri var l os arrast res
en t rmi nos de C, ' )
5-6.
(a)
Redef i na l a programaci n y generaci n de l os arrast res de l a si gui ent e
f orma:
P' : A' * B'
G : A, B,
Demuest re que el arrast re de sal i da y l a suma de sal i da de un sumador
compl et o se convi ert e en:
C * r :
Gi Gi
+ Pi ) ' : G, + Pi Ci
E: ( P, c i ) @c ,
(b)
El di agrama l gi co del pri mer estado del sumador en paral ero
de 4 bi ts
como se configura en el CI tipo 74288 y se muestra en la Figura
pb_6.
Identi fi que l os termi nal es Pj y
Gi , como se defi ni eron en
(a) y demuestre
que el ci rcui to puede confi gurar un sumador compl eto.
(c)
Obtenga l os arrastres de sal i da C.,
I
C, en funci n de
pi , pl , p!,
G,
G;, C;, y C1 en l a forma de AND-OR i nverti da y di buj e el ci rcui to de arras-
tre posteri or
de dos ni vel es para este ci rcui to i ntegrado.
fsugerenci a:
use el mtodo de ecuaci n-susti tuci n de l a forma como se hi zo en el
texto al deduci r l a Fi gura 5-4, pero usando l a funci n AND-OR i nverti da
dada en
( a) por C, *, . 1
rl
203
http://libreria-universitaria.blogspot.com
l .
Figura P5-6 Primera etapa de un sumador paralelo
5-7.!, (a)
Asuma que la compuerta oR-exclusiva tiene una demora de propagacin
de 20 ns y que las compuertas AND y
OR tienen una demora de propaga-
cin de 10 ns.
Cul
es el tiempo total de demora de propagacin en el
sumador de 4 bits de la Figura b-5?
(b)
Asuma que C5 se propaga en el recuadro de l a Fi gura b-b al mi smo ti em-
po que otros bi ts de arrastre (ver
Probl ema 5-4).
Cul
ser el ti empo de
demora de propagacin del sumador de 16 bits del Problema 5-2?
5-8. Di see un mul ti pl i cador bi nari o que mul ti pl i que un nmero de 4 bi ts B:
b3b2btbo por un nmero de 3 bi ts A
--
ararao para formar el producto
C: c6c5caca c2ct co. Est o puede l ogr ar se con 12 compuer t as y dos sumado-
res paralelos de 4 bits. Las compuertas AND se usan para formar los produc-
tos en pares de bits. Por ejemplo, el producto de o6 y b6 pueden generarse
sacando la funcin AND de o con . Los productos parciates formados por
Ias compuertas AND se suman con los sumadores paralelos.
5-9.,
Cuntas
entradas de no i mporta hay en un sumador BDC?
5-10. Di see un ci rcui to combi naci onal que genere
el compl emento de 9 del dgi to
BDC.
5-11. Di see una uni dad ari tmti ca deci mal con dos vari abl es de sel ecci n, vt y
vo y dos dgitos BDC, A y B. La unidad debe tener cuatro operaciones arit-
mticas que dependen de los valores de las variables de seleccin de la ma-
nera como se muestra a conti nuaci n.
Funci n de sal i da
A + 9' s compl emento de B
A+B
I * lO's complemento de B
A + |
( agr eguel aA)
use f unci ones MSI en el di seo y el compl ement ador de 9 del
probl ema
b-10.
5-12. Es necesario disear un sumador decimal de dos dgitos representados In
un cdi go de exceso 3
(Tabl a
1-2). Demuest re que l a correcci n despus de
sumar los dos dgitos con un sumador binario de 4 bits es de la siguiente
manera:
204
00
0l
l 0
l l
,1 ,
,
http://libreria-universitaria.blogspot.com
(a) El arrastre de sal i da es i gual al bi t de arrastre del sumador bi nari o'
( b) Si el ar r ast r e de sal i da: 1, agr egar 0011'
( c)
Si el ar r ast r e de sal i da: 0,
agr egar 1101'
Cons t r uy ae] s umador c ondos s umador es bi nar i os de4bi t s y uni nv er s or .
5. 13, Di s eeunc i r c ui t oquec ompar edos nmer os de4bi t s Ay B, par ac ons t at ar
si el l os son i gual es. El ci r cui t o t i ene una sal i da ' t al
que : l si A: B
y
r : 0 s i A+ B.
5-14. EI ci rcui to i ntegrado 74L85 es un comparador de-nrggni tud
de 4 bi ts si mi l ar
al de l a Fi gura i -7, excepto
que ti ene tres entradas ms
y ci rcui tos
i nternos
que configur".,
"1
uqniuuiente
lgico mostrado en la Figura P5-14. Por medio
de estos circuitos
integrados, se pueden comparar
los
_nmeros
de mayor
l ongi tud
"l
.o.r".i u, l os' comparadoi es
en cascaa.
Las sal i das A<B' A> B y
A: B de una etapa
que contenga
bi ts menos si gni fi cati vos
.que
se conectan a
l as cor r espondi eni es
ent r adas A<8, A> By A: B de l a s. i gui ent e et apa
que
mani pul a bi ts ms si gni fi cati vos.
La etapa
que mani pul a
l os bi ts menos si g-
ni fi cati vos debe ser c-o el ci rcui to -o.t."o en Ia Fi gura 5-?. Si se usa el
cl 74L85,se
debe-apl i car
un 1 a l a entrada A: B y un 0 a l as entradas A <B
i
,q, n " .r ci qi e r.rari prrl a l os cuatro bi ts menos si gni fi cati vos.
usando
un ci rcui to .o*o .i de l a Fi gura 5-7 y un cI ?4L85, obtenga un ci rcui to
para
comparar dos nmeros de 8-bits. Justifique
la operacin del circuito'
PROBTEMAS
205
A<B
A>B
A= B
^ 3
1 2
A l
Ao
B3
B2
Bl
Bo
A< B
A> B
A= B
I
Fi gura P5-14 Ci rcui to equi val ente l gi camente
al CI ti po 74L85
,
5-15. Modifique el decodificador
de BDC a decimal de la Figura 5-10 para obtener
una salida de slo ceros cuando ocurra una combinaqin
de entrada invlida'
/5-16. Di see n converti dor
de cdi go BDC a exceso 3 con un decodi fi cador
BDC
a decimal Y
cuatro comPuertas OR.
b-l TY' Un ci rcui to combi naci onal
se defi ne
por medi o de l as tres si gui entes
fun'
ci ones:
C ircuito
d e l a
A> B
Figura 5-7
http://libreria-universitaria.blogspot.com
t
Ft : x' / ' *
r yz'
F z : x ' * Y
Fr : xy * x' y,
Di see un ci rcui t o con un decodi f i cador y
compuert as ext ernas.
y' o-18.
Un ci rcui t o combi naci onal
se def i ne por
medi o de l as dos si zui ent es f un-
c l ones:
F,(x, y)
:
>(0, 3)
Fr(x, y)
:
>(1, 2, 3)
Conf i gure el ci rcui t o combi naci onal por medi o del decodi f i cador most rado en
l a Fi gura 5-12 y compuert as NO-y ext ernas.
r 5-79. Const ruya un decodi f i cador de 5x 32 con cuat ro decodi f i cadores demul t i -
pl exores
de 3 x 8 y un decodi f i cador
de 2 x 4. Use l a const rucci n de di agrama
de bl oque de l a Fi gura b-14.
t 5-20. Di buj e el di agrama l gi co de un decodi f i cador
demul t i pl exor de 2 a 4 l neas
usando sol ament e compuert as NO-O.
5-21' Especi f i que l a t abl a de verdad de un decodi f i cador
de pri ori dad
de oct al a
bi nari o. col oque una sal i da para i ndi car que al -. r, o. unu de ras ent radas
es 1. La t abl a puede ser l i st ada con b f i l as-y al gunas de l as ent radas pueden
t ener val ores de no i mport a.
5-22. Di see un codi f i cador de pri ori dad
de 4 a 2 l neas. I ncl uya una sal i da E para
i ndi car que al menos una de l as ent radas es 1.
5-23. Conf i gure l a f unci n de Bool e del Ej empl o 5-4 con un mul t i pl exor de
g
x 1 con
A' B y l ) conect ados para sel ecci onar i as l neas s2, sr y s6 respect i vament e.
5-24. Conf i gure el ci rcui t o combi naci onal
especi f i cado en el
probl ema
5-1T con
un dobl e mul t i pl exor de 4 a 1 l nea, una compuert a o y un i nversor.
5-25. Obt enga un mul t i pl exor de 8x I con un dobl e mul t i pl exor de 4 a 1 l nea con
ent radas de habi l i t aci n (enabl e)
separados pu. o
. o. , I neas de sel ecci n
comunes. Use l a const rucci n por
di agrama de bl oque.
5-26. conf i gure un ci rcui t o sumador compl et o con mul t i pl exores.
5-27. La RoM de 32
"
G conj unt ament e
con ra l nea 20 como se muest ra en l a Fi -
gwa P5-27 convi ert e un nmero bi nari o de 6 bi t s a su correspondi ent e n-
mero BDC de 2 d gi t os.
por
ej empl o, er bi nari o 100001 se convi ert e al BDC
011 0011 (deci mal
83). Especi f i que l a t abl a de verdad para l a ROM.
23 22 2l
\___Y-_i L____T____-_J
l 0r 100
Fi gura P5-27 Conversor de bi nari o a deci mal
_-
A
ABCD
3 2 x 6 ROM
Ft F2 F3 Ft Fs
206
http://libreria-universitaria.blogspot.com
PROBLEMAS 2O7
5-28.
.Pruebe que una ROM de 32 X 8 puede usarse
para configurar un circuito
que
genere ei cuadrado bi nari o de un nmero de 5 bi t s de ent rada con Bo
:
Ao
!
,
:
0. Como en l a Fi gura 5-24(a). Di buj e el di agrama de bl oque del ci rcui t o
y l i rt . l as pri meras y l t i *u. ent radas de l a t abl a de verdad de l a ROM.
5-29. , 7
Qu
t amao de ROM se usar a
para conf i gurar:
(a) Un sumador sust ract or BDC con una ent rada de cont rol
para sel ecci o-
nar ent re I a suma
Y
l a rest a?
(b) un mul t i pl i cador
bi nari o
que mul t i pl i ca dos nmeros de 4 bi t s?
( c)
unos mul t i pl exor es dobl es de 4 a 1l nea con ent r adas de sel ecci n co-
munes?
/
S-gO/ Cada i nversor de sal i da en el PLA de l a Fi gura 5-26 se rempl aza con una
compuert a
OR-excl usi va.
Cada compuert a OR-excl usi va t i ene dos ent radas.
una ent rada se conect a a I a sal i da de l a compuert a oR
y l a ot ra ent rada se
conect a
por medi o de enl aces a una seal equi val ent e a cero o uno' Demues-
t re cmo . "l ecci onu.
l a sal i da verdadera, / compl ement o
en est a conf i guraci n'
S-gf l Deduzca l a t abl a de programaci n del PLA para el ci rcui t o. combi naci onal
que
el eva al cuadrado , r. , i . n". o de 3 bi t s. Mi ni mi ce el nmero de t rmi nos
product o.
(Ver l a Fi gura 5-24
para l a conf i guraci n con ROM equi val ent e' )
5-32. Li st e l a t abl a de programaci n del PLA para el convert i dor
de cdi go de
BDC a exceso 3 def i ni do en l a Secci n 4-5'
t
http://libreria-universitaria.blogspot.com

Lg i ca
secuenci al
6- 1 I NTRODUCCI ON
Los ci rcui tos di gi tal es hasta ahora consi derados han si do combi naci o-
nal es, es deci r, l as sal i das en un i nstante dado de ti empo son enteramen-
te dependientes de las entradas presentes en ese mismo tiempo. Aunque
cada si stema di gi tal debe tener ci rcui tos combi naci onal es, l a mayora de
l os si stemas encontrados en l a prcti ca i ncl uyen tambi n el ementos de
memori a, l os cual es requi eren que el si stema se descri ba en trmi nos de
l a l gi ca secuenci al .
Un di agrama de bl oque de un ci rcui to secuenci al se muestra en l a
Fi gura 6-1. Este consi ste en un ci rcui to combi naci onal al cual se l e co-
nectan el ementos de memori a para formar un cami no de real i mentaci n.,
Los el ementos de memori a son capaces de al macenar i nformaci n bi nari a
dentro de ellos. La informacin binaria almacenada en los elementos de
memori a en un ti empo dado defi ne el estado del ci rcui to secuenci al . El
ci rcui to secuenci al reci be l a i nformaci n bi nari a de l as entradas exter-
nas. Estas entradas, conj untamente con el presente estado de l os el emen-
tos de memori a, determi nan el val or bi nari o de l os termi nal es de sal i da.
Tambi n determi nan l a condi ci n de cambi o de estado en l os el ementos
de rnemoria. El diagrama de bloque demuestra que las salidas externas
en un ci rcui to secuenci al son una funci n no sol amente de l as entradas
externas si no del presente estado de l os el ementos de memori a. El si -
guiente estado de los elementos de memoria es tambin una funcin de
l as entradas externas
y del estado presente. As, un ci rcui to secuenci al
se especi fi ca por medi o de una secuenci a de ti empo de l as entradas,
sal i das y estados i nternos.
Hay dos ti pos de ci rcui tos secuenci al es. Su cl asi fi caci n depende
del ti empo de sus seal es. Un ci rcui to secuenci al si ncrni co es un si ste-
ma cuyo comportamiento puede definirse a partir del conocimiento de
sus seales en instantes discretos de tiempo. El comportamiento de un
ci rcui to asi ncrnco depende del orden en que cambi en l as seal es de
entrada y puedan ser afectadas en un instante dado de tiempo. Los ele-
mentos de memoria comnmente usados en los circuitos secuenciales asin-
crnicos son mecanismos retardadores de tiempo. La capacidad de memoria
de los mecanismos retardadores de tiempo se debe al hecho de que la seal
208
I
I
t , l

i
{
-t
I
http://libreria-universitaria.blogspot.com
Circuito
combinacional
Entradas
Figura 6-1 Diagrama de bloque de un circuito secuencial
gasta un tiempo finito para propagarse a- travs del dispositivo. En la prcti-
;",
"t
ietardo de propgacin interna de las compuertas
lgicas es de una
duracin suficient
"o-o
pltt" producir el retardo necesario, de tal manera
il;
i;. unidades fisicas de rtardo de tiempo
puedan ser despreciables.
r, los sistemas asincrnicos tipo compuerta, los elementos de memoria de
lisot" 6-1 consisten en compuertas
lgicas uyos retardm de, propagacin
;;.tlt"" la memoria reqn"iid". As, un circuito
secuencial asincronico
puede tomarse como un ciruito combinacional
con realimentacin.
Debido
a la realimentacin entre las compuertas lgicas, un circuito secuencial asin-
"Jtti"o
puede a veces volverse inestable. El problema de inestabilidad im-
po"" ""rtas dificultades
al diseado. Por tanto, su uso no es tan comn
como en los sistemas sincrnicos-
un si stema l gi co secuenci al si ncrni co,
por defi ni ci n,
puede usar
seales
que afecten" los elementos de memoria solamente
en instantes de
;;p. discreto. Una forma de lograr este propsito es usar
pulsos de
duraci n l i mi tada a travs del si stma de tal manera
que l a ampl i tud de
un
pulso represente lgica 1 y otra amplitud de
pulso (o la ausencia de un
pulso) reprsente lgica 0. La dificultad con un sistema de
pulsos es
que
iuufq"i"i
par de
pn-l.or que lleguen de fuentes separadas independientes
a las entradas d la misma compuerta mostrarn retardos no predeci-
bles de tal manera
que se separaitt los pulsos ligeramente, resultando
una operaci n no confi abl e.
Los si stemas l gi cos secuenci al es si ncrni cos
prcti cos usan ampl i -
tudes fijas tales coro niveles de voltaje
para las seales binarias' La
sincronizacin
se logra por un dispositivo
-de
tiempo llamado
generadcir
maestro de tiempo
"l "rrl
genera un tren
peridico de pulsos de reloj- Los
pulsos de reloj se distribuye.r
"
travs del sistema de tal manera
que los
ilementos de
-memori"
rotr afectadas solamente con la llegada del pulso
de si ncroni zaci n.
En l a prcti ca, el pul so de rel oj se apl i ca a l as com-
pnetta*
AND conjuntamente
con las ieales
que especifican los cambios
-iequeriaos
en los lementos de memoria. Las salidas de la compuerta AND
pnLd"n trasmi ti r seal es sol amente en l os i nstantes
que coi nci den con
i a l l egada de l os pul sos de rel oj .r Los ci rcui tos secuenci al es si ncrni cos
q,r" ,u
pulsos d reloj en las entradas de los elementos de memoria se
l i aman crcui tos secuenci al es
tempori zados.
Los ci rcui tos secuenci al es
temporizados son el tipo ms comnmente usado. No
presentan proble-
ur d" i nestabi l i dad
y su tempori zaci n se di vi de fci l mente en pasos
discretos independients, cada uno de los cuales se considera
separada-
mente. Los ci rcui tos secuenci al es
que se di scuten en este l i bro son ex-
cl usi vamente del ti po tempori zado.
209
http://libreria-universitaria.blogspot.com

2I O LOGI CA SECUENCI AL
CAP. 6
Los el ementos de memori a usados en l os ci rcui tos secuenci al es
tem-
pori zados
se l l aman
fl i p-fl ops.
Estos ci rcui tos son cel das bi nari as cafa_
ces de al macenar
un bi t de i nformaci n.
un ci rcui to fl i p-fl op ti ene os
entradas,
una- para
el val or normar.
y rrqo para
el val or cbmpl emento del
bit almacenado en 1. La informacin
binaii p"""
."to, ,r., flip flop
en una vari edad de formas, hecho ste, que
determi na di ferentes
-ti pos
de fl i p-fl ops.
En l a si gui ente secci n se exami nan
vari os ti pos de fl i p-fl ops
y
se defi nen sus propi edades
l gi cas.
6- 2 FLI P- FLOPS
un ci rcui to fl i p-fl op puede
mantener
un estado bi nari o i ndefi ni damente
(si empre
y
cuando se est sumi ni stando potenci a
al ci rcui to) hasta que
s,e cambi e por
una seal . de etrada para
cambi ar estados. La pri nci pal
di ferenci a
entre vari os
ti pos de fl i p-fi ops
es el nmero
de entradas que
poseen y l a manera en l a cual l as entradas afectan el estado bi nari o. i os
ti pos de fl i p-fl ops
ms comunes se di scuten a conti nuaci n.
Ci r cui t o bsi co de un f l i p- f l op
se menci on
en l as secci ones 4-7 y 4-g que
un ci rcui to fl i p-fl op puecre
construi rse
con dos compuertas
NAND o dos compuertas NoR. Estas
construcci ones
se muestran en l os di agramas
l gi cos de l as Fi g*. o-i
y
6-3. cada ci rcui to forma un fri p-fl op i .i "o dei cual ,e p,r"ae
construi r
u.no ms compl i cado. La conexi n
de acopl ami ento
i ntercruzado
de l a sa-
l i da. de una.
.compuerta
a ra entrada
de otra ."";;i ;;; un cami no de
real i mentaci n.
Por esta razn, l os ci rcui tos se cl asi fi can
como ci rcui tos
secuenci al es
asi ncrni cos.
cada fri p-fl op
ti ene dos sal i das,
Q
y
e,
y
dos
entradas
S
(se) y
R
(res-e.t).
Este-ti po
de fl i p-fl op ,; i mu
fp_ftop
RS
acopl ado
di rectamente
o bl oquead,or
SR (sR
l atctr). La, i etra.,R y
s ron
l as i ni ci al es
de l os nombres en i ngl s de l as entraas-i reset,
set).
Para anal i zar l a operaci n del ci rcui to de l a Fi guru o z se debe re-
cordar que l a sal i da de una compuerta
NoR es 0 ; ;;;rq"i er entrada es
1 y que l a sal i da es 1 sol amente
cuando todas l as entradas sean 0. como
punto
de parti da
asmase que
l a entrada de puesta
; ;;" (set)
es 1 y
que
l a entrada de puesta
a cero (reset)
sea 0. o-o l a compuerta 2 ti ene
una ent rada de 1, su sal i da
Q' debe
ser 0, l o cual col oca ambas ent radas
;-J-1" (puesta
a
:-J-L, (puesta
a u
l 0
l 0
0 1
0 1
0 0
l 0
00
0l
00
l
( despus
de S: 1, 8: 0)
(despus
de S: 0, . R
:
1)
o,
( a)
Di ag ama l gi co
Fi gur a 6- 2 Ci r cui t o
(b)
Tabl a de verdad
f l i p- f l op bsi co con compuer t as
NOR
http://libreria-universitaria.blogspot.com
sEc. 6_2
FL| P- FLoPS 2l I
de l a compuer t a 1a 0
par a t ener l a sal i da
Q
como 1. Cuando l a ent r ada
de puesta a uno
(set) vuel va a 0, l as sal i das
permanecern i gual es
ya
que l a sal i da
Q
permanece como 1, dej ando una entrada de l a compuerta
2- en t . Est o causa
que I a sal i da
Q' per manezca
en 0 l o cual col oca ambas
entradas de l a compuerta nmero 1 en 0 y as l a sal i da
Q
es 1. De l a mi s-
ma manera es posi bl e demostrar
que un 1 en l a entrada de puesta a cero
( r eset ) cambi a I a sal i da
Q
a 0 y
Q' a
1. Cuando l a ent r ada de
puest a a cer o
cambi a a 0, l as sal i das no cambi an.
cuando se apl i ca un 1 a ambas entradas de
puesta a uno
y puesta a
cero ambas sal i ds
I
y
Q'
van a 0. Esta condi ci n vi ol a el hecho de
que
l as sal i das
Q
y
Q'
son compl ementos entre s. En operaci n normal esta
condi ci n debe evi tarse asegurndose
que no se apl i ca un 1 a ambas en-
tradas si mul tneamente.
Un fl i p-fl op ti ene dos entradas ti l es. Cuando
Q
:
1 y
Q'
:
0 estar en
el est ado e pest a o uno
( o est ado 1) . Cuando
Q: 0
y
Q' :
1 est ar en el
estado de
pusta a cero
(o estado 0) . Las sal i das
Q
y
Q' son
compl eni entos
entre s
y se l es trata como sal i das normal es
y de compl emento
respecti -
vamente. El estado bi nari o de un fl i p-fl op se toma como el val or de su sal i da
normal .
Baj o operaci n normal , ambas entradas
permanecen en 0 a no ser que
el estado del fl i p-fl op haya cambi ado. La apl i caci n de un 1 momentneo
a Ia entrada de puesta a uno causar
que el fl i p-fl op vaya a ese estado.
La entrada de puesta a uno debe vol ver a cero antes que se apl i que un 1
a l a entrada dg. puesta a cero. Un 1 momentneo apl i cado a l a entrada de
puesta a cero causar
que el fl i p-fl op vaya al estado de borrado
(o puesta
cer o) . cuando ambas ent r adas son i ni ci al ment e cer o
y se apl i ca un 1a
l a entrada de puesta. a uno mi entras
que el fl i p-fl op est en el estado de
puesta a uno o se apl i ca un 1 a l a entrada de puesta a cero mi entras que
ut ftl p-ftop est en l estado de borrado,
quedarn i as sal i das si n cambi o.
Cuando sl apl i ca un 1 a ambas entradas de
puesta a uno
y de puesta a
cero, ambas i al i das i rn a 0. Este estado es i ndefi ni do
y se evi ta normal -
mente. Si ahora ambas sal i das van a 0, el estado del fl i p-fl op es i ndeter-
mi nado
y depende de aquel l a entrada
que permanezca por mayor ttempo
en 1 antes de hacer Ia transi ci n a 0.
El ci rcui to fl i p-fl op bsi co NAND de Ia Fi gura 6-3 opera con ambas
entradas normal mente en 1 a no ser que el estado del fl i p-fl op tenga
que
cambi arse. La apl i caci n de un 0 momentneo a l a entrada de puesta a
' _lr
9
l - J S( puest aauno)
( despus de S: 1, f i : 0)
(despus de S: 0, f i : 1)
E
II
ffi
q
l!
,
:l -J-"*uestaace
0
I
I (a) Di agrama l gi co
( b) Tabl a de ver dad
L-
Fi gura 6-3 Ci rcui t o f l i p-f l op bsi co con compuert as NAND
http://libreria-universitaria.blogspot.com
212 LOGTCA SECUENCI AL CAP. 6
uno, causar que
Q
vaya a 1 y
Q'
vaya a 0, l l evando el fl i p-fl op al estado
de puesta a uno. Despus que la entrada de puesta a uno vuelva a 1, un
0 momentneo en la entrada de puesta a cero causar la transicin al esta-
do de borrado
(clear).
Cuando ambas entradas vayan a 0, ambas salidas
irn a 1; esta condicin se evita en la operacin normal de un flip-flop.
Fl i p-f l op FS t emi rori zado
El fl i p-fl op bsi co por s sol o es un ci rcui to secuenci al asi ncrni co. Agre-
gando compubrtas a l as entradas del ci rcui to bsi co, puede hacerse que
el flip-f'lop responda a los niveles de entrada durante la ocurrencia del
pulso del reloj. El flip-flop RS temporizado mostrado en la Figura 6-a(a)
consi ste en un fl i p-fl op bsi co NOR y dos compuertas AND. Las sal i das
de dos compuertas AND permanecen en cero mientras el pulso del reloj
(abrevi ado
en i ngl s CP) sea 0, i ndependi entemente de l os val ores de
entrada de S
y rt. Cuando el pul so del rel oj vaya a 1, l a i nformaci n de
las entradas S y .B se permite llegar al flip-flop bsico. El estado de pues-
t a a uno se l ogra con S: 1, R: 0 y
CP: 1. Para cambi ar el est ado de pues-
t a a cero
(o
borrado) l as ent radas deben ser S: 0, R: I y CP: 1. Con
S
:
1 y R: I, l a ocurrenci a de l os pul sos de rel oj causar que ambas sal i das
vayan momentneamente a 0. Cuando se qui te el pul so, el estado del fl i p-
flop ser indeterminado, es decir, podra resultar cualquier estado,
QQ+ I \
0
0
0
0
I
I
1
I
J
C
(Pulsos
de reloj)
00
0l
l 0
l l
00
01
10
l l
o
(a) Di agrama l gi co
(c)
Tabl a caact er st i ca
*_l--
Q( t +t ) : s +R, o
SR: 0
(d) Ecuacin caracterstica
Flip-flop .BS temporizado
SR
tl
w
r f t f l
rlr
CP
(b)
Smbolo grfrco
Figura 6-4
I
o1I
V
-
' l
!
l x
tr
http://libreria-universitaria.blogspot.com
sEc. 6-2
FLI P- FLOPS
213
E
ts
hl
P=
ii;r

: i
$;
A
Fi
I r
!,]
$l
:
E
tt
HI

r:
11
$
tr
l
r
t;
j a
E.
H
fi
I
dependi endo de si l a entrada de puesta a uno o l a de puesta a cero del
fti i -1op bsi co,
permanezca el mayor ti empo, antes de l a transi ci n a 0
al fi nal del pul so.
-
Ei smol o
grfi co del fl i p-fl op RS si ncroni zado
se muestra en l a Fi -
gor O-(). Ti ee tres entradl .' S, R
y CP. La.entrada CP no se escri be
""tro de recuadro debido a que se reconoce fcilmente
por un
pequeo
i ri anguto. E! tri ngul o es un smbol o
para el i ndi cador.di nmi co
y denota
"i-fr"Efr"
"
que el"nip-flop
responde a una transicin del reloj de entrada
o fl anco de subi da de una senai de un ni vel WGTl nari o)
a un ni vel -al to
(1 bi nari o). Las sal i das del fl i p-fl op se marcan con
Q
y
Q' ,
dentro del re-
cuadro. Se l e puede asi gnar t l i p-nop un nombre de vari abl e di ferente
u"q"" ," ur.ri b" u.t"
Q
dentro del recuadro. En este caso Ia l etra esco-
;id;;"t;
la variable del ilip-flop se marca
por
fuera
del recuadro
v
a Io largo
e ta tr,ea de sal i da. El ei tad del fl i p-fl op se determi na del val or de su
sal i da normal
Q.
Si se desea obtener ei compl emento
de l a sal i da normal ,
no es necesari o usar un i nversor
ya que el val or compl ementado
se obti ene
di rectamente de l a sal i da
Q' .
La tabl a caractersti ca
del fl i p-fl op se muestra en l a Fi gura 6-4(c).
Esta tabl a resume l a operaci n de1 fl i p-fl op en forma-.de tabul ado.
Q
es
el estado bi nari o del fl i p-fl op en un ti empo dado
(refi ri ndose, aI es.tado
presente), l as col umnas S
y B dan l os val ores
posi bl es de l as entradas
y
' O
t +
1) !s el estado del fl i p-fl op despus de l a ocurrenci a de un
pul so de
rel oj
(refi ri ndose al si gui ente estado).
-La
ecuaci n caraci ersti ca de un fl i p-fl op se deduce del mapa de l a
Fi gura 6-4(d). Esta ecuaci n especi fi ca el val or del si gui ente estado como
un"a funci n del
presente estado
y de l as entradas. La ecuaci n caracte-
rsti ca es una expresi n al gebrai c
para l a i nformaci n bi nari a de l a tabl a
caractersti ca.
Ls dos estdos i ndetermi nados se marcan con una X en
el mapa,
ya que pueden resul tar como 1 o como 0. Si n embargo l a rel aci n
Sn
:
-0
aUe i nctui rse como
parte de l a ecuaci n caractesti ca
para espe-
-__:ttt""t
que S
y E no pueden ser i gual es a 1 si mul tneamente'
Ft i p- f l op D
El flip-flop D mostrado en la Figura 6-5 es una modificacin del flip-flop
BS si ncroni zado. Las compuertas NAND 1 y 2 forman el fl i p-fl op bsi co
y
las compuertas 3 y 4 las modifican
para conformar el flip-flop RS- sincro-
ni zado. La entrada D va di rectamente a l a entrada S
y su compl emento
se apl i ca a l a entrada R a travs de l a compuerta 5. Mi entras
que el pul so
de rlo de entrada sea un 0, las compuertas 3 y 4 tienen un 1 en sus s-
lidas, independientemente
del valor de las otras entrad_as.
-nlto
":!4
-9g
acuerdo ios requisitos de
que las dos entradas del flip-flop bsico-N{ND
(Fi gura 6-3)
permanezcan i ni ci al mente en el ni vel de 1. La entrada D se
corpr,r"ba
drante la ocurrencia del
pulso de reloj- Si es 1, la salida de la
compuerta 3 va a 0, cambiando
el flip-flop a!. estado- de
puesta a uno
(a no
;;";
ya est
"tr
." estado). Si es 0, la salida de la compuerta
4 va a 0,
cambiando el flip-flop al estado de borrado.
El flip-flop tipo D recibe su nombre
por la ha.bilidad de trasmitir
"datos" a un flip-flop. Es bsicamente un flip-flop RS con un inversor en
http://libreria-universitaria.blogspot.com
(a)
Di agrama l gi co con compuertas NAND
a :
cP
Qr ' t - l ' - ' 1
(b)
Smbol o grfi co (c)
Tabl a caractei sti ca (d)
Ecuaci n caactersti ca
Fi gura 6-5 Fl i p-fl op D tempori zado
l a entrada
ft. El i nversor
agregado reduce el nmero de entradas de dos
a uno' Este ti po de
-fl i p-fl op
se l l ama al gunas veces bl oqueador D con com-
puert.as
o
fl i p-fl op
de bl oqueo. La entrada Cp se l e da a menudo l a desi g_
naci n vari abl e G (de gate) para i ndi car que
esta entrada habi l i ta el fl i fr-
fl op de bl oqueo para
hacer posi bl e que
l os datos entren al mi smo.
6-5(b). La tabl a caractersti ca se l i sta en l a parte (c) y l a ecuaci .r;;.;-
tersti ca se deri va en l a parte (d).
La ecaci n caractersti ca muestra
que
el si gui ente
estado del fl i p-fl op
es i gual a l a entrada D y es i ndepen-
di ente del val or del presente
etado.
Fl i p- f l op JK
un fl i p-fl op JK es un refi nami ento
del fl i p-fl op -RS ya que
el estado i nde-
termi nado
del ti po fi s se defi ne en el ti po i x. t, entradas ./ y
K se
comportan
como l as entradas
I
v
n para poner
a uno o cero (set
cl ear)
al fl i p-fl op (ntese
que en el fl i p-l eo-4
l a l etra J re u.u para l a entrada
d,e puesta
o u,no y l a_.l etra K para
ra entrada d.e puesta
a' cero. cuando
ambas entradas se apl i can a J y K si mul tnea.n"r,i ",
el fl i p-fl op cambi a a
su est ado de compl ement o,
est o es, si
Q
:
1 cambi a
q: 0
y
vi ceversa.
^
un fl i p-fl op oIl ( si ncroni zado
se muestra en l a Fi gra 6-6(a). La sal i da
Q
se apl i ca con K y
cP a. una compuerta AND de tal manera que
el fl i p-
fl op
.se
ponga
a cero (cl ear)
dura4te un pul so
de rel oj ,ol amente si
e
fue 1
previ amente.
De manera si mi l ar l a sal i da
e'
se apl i ca con J y
cp a una
compuerta AND de tal manera que
el fl i p-fl op .e p-ong"
a uno con un pul so
de rel oj , sol amente si
Q,
fue 1 prvi amente.
como se muestra en l a tabra caractersti ca
en l a Fi gura 6-6(c), el
flip-flop JK se comporta como un flip-flop
RS excepto
"rrurro
"r
v
i ,Lun
214
http://libreria-universitaria.blogspot.com
E
i a
i i
&
g
p
fl
!
{
( a) Di agr ama l gi co
o
0
I
o l l
- t
( c)
Tabl a car act er st i ca
K
Q( r +
t )
-
t Q' + K' Q
(d) Ecuaci n caractersti ca
Fi gura 6-6 Fl i p-fl op JK tempori zado
ambos 1. Cuando J y K sean 1, el pul so de rel oj se trasmi te a travs de
una compuerta AND sol amente; aquel l a cuya entrada se conecta a l a sa-
l i da del fl i p-nop l a cual es al presente i gual a 1. As, si
Q:
1, Ia sal i da de
l a compuerta AND superi or se converti r en 1 una vez se apl i que un
pul so
de rel oj y el fl i p-fl op se ponga a cero' Si
Q'
:
1 l a sal i da de l a compuerta
AND se
-convi ei te
e.t t y el fl i p-fl op se pone a uno. En cual qui er caso, el
estado de sal i da del fl i p-fl op se compl ementa.
Las entradas en el smbolo
grfico para el flip-flop Jl( deben marcarse
con una J
(debaj o de
Q)
y K
(debaj o de
Q' ).
La ecuaci n caractersti ca se
da en Ia Fi gura 6-4(d) y se deduce del mapa de l a tabl a caractersti ca.
Ntese
que debi do a Ia conexi n de real i mentaci n del fl i p-fl op JI(,
l a seal cP
que permanece en 1
(mi ent ras que J: K: 1) causar t ransi -
ci ones repeti ds y conti nuas de l as sal i das despus de que l as sal i das
hayan si o compl ementadas.
Para evi tar esta operaci n i ndeseabl e, l os
prri .o. de rel oj deben tener un ti empo de duraci n que es menor que l a
.-otu de propagacin a travs del flip-flop. g.!"
.es- *na
restriccin,
ya
que l a operaci n*del ci rcui to depende del ancb-d l s pul sos. Por esta
razn l os fl i p-fl ps JI{ nunca se construyen como se muestra en l a Fi gura
6-6(a). La restri cci n del ancho del pul so puede ser el i mi nada con un maes-
tro esclavo o una construccin
activada por flanco de la manera discuti-
da en la siguiente seccin. El mismo razonamiento se aplica al flip-flop ?
presentado a continuacin.
215
.l
1 l l 0
I K
t l
EH
rl l
CP
(b) Smbolo grfico
I
I
I
I
000
001
0r 0
0 1 1
1 0 0
1 0 1
1r 0
1 1 1
00
tr l
-T
- l
l-'
t -
http://libreria-universitaria.blogspot.com
tl
5Tr
l l
CP
Smbolo grfico (b)
( a) Di agr ama l gi co
( c)
Tabl a ca act er st i ca
Q( t + t )
. -
r Q' +- r ' Q
( d)
Ecuaci n car act er st i ca
{i
I
Figura 6-7 Flip-flop ? temporizado
.
Fl i p- f l op
f
El fl i p-fl op ? es l a versi n de una entrada, del fl i p-fl op Jr(. como se mues-
tra en l a Fi gura 6-7(a), el fl i p-fl opJse obti ene de un ti poJK a l a cual se l e
unen l as dos entradas. El nombre 7 se deri va de l a habi l i dad del fl i p-fl op
de vari ar ("toggl e")
o cambi ar estado. Independi entemente del prei ente
estado del flip-flop, este asume el estado de complemento cuando ocurre
el ,pul so de rel oj mi entras que l a entrada ? est en l gi ca 1. El smbol o, l a
tabl a caractersti ca y l a ecuaci n caractersti ca del fl i p-fl op ? se mues-
tran en l a Fi gura 6-7, partes (b), (c) y (d)
respecti vamente.
Los fl i p-fl ops i ntroduci dos en esta secci n son l os de ti po ms comn
comerci al mente. Los procedi mi entos
de anl i si s y
de di seo desarrol l ados
en este captul o se apl i can a cual qui er fl i p-fl op si ncroni zado una vez que
se haya defi ni do su tabl a caractersti ca.
6- 3 DI SPARO DE LOS FLI P- FLOPS ( TRI GGERI NG)
El estado de un fl i p-fl op se, vara debi do a un cambi o momentneo en l a
seal de entrada. Este cambi o momentneo se l e l l ama di sparo (tri gger)
y la transicin que lo causa se dice que dispara el flip-flop. Los flip-lops
asi ncrni cos, tal es como l os ci rcui tos bsi cos de l a Fi gura 6-2 y
6-8, re-
qui eren
un di sparo de entrada defi ni do por un cambi o de ni uel de seal .
216
http://libreria-universitaria.blogspot.com
SEC. 6. 3 DI SPARO DE LOS FLI P- FLOPS
( TRI GGERI NG)
217
Este ni vel debe regresarse a un val or i ni ci al (0
en el fl i p-fl op a base de
NOR y 1 en aquel l a a base de NAND) ant es de apl i cari e el segundo di s-
paro. Los fl i p-fl ops si ncroni zados se di sparan por medi o de pul .sos. Un
pul so comi enza a parti r de su val or i ni ci al de 0, va momentneamente a
1 y despus de un corto perodo, regresa a su val or i ni ci al 0. El i nterval o
de ti empo que ocurre desde l a apl i caci n del pul so hasta que ocurra l a
transi ci n de sal i da, es un factor crti co que requi ere i nvesti gaci n pos-
teri or.
Como se ve en el di agrama de bl oque de l a Fi gura 6-1, un ci rcui to se-
cuenci al ti ene un cami no de real i mentaci n entre el ci rcui to combi naci onal
y l os el ementos de memori a. Este cami no puede produci r i nestabi l i dad si
l as sal i das de l os el ementos de memori a
(fl i p-fl ops)
estn cambi ando mi en-
tras que l as sal i das del ci rcui to combi naci onal que van a l as entradas de
l os fl i p-fl ops estn si endo someti das a di sparo
por
el pul so del rel oj . El pro-
bl ema de..ti e_,mpo puede ser preveni do si l as sal i das de l os fl i p-fl ops no
corrl i enzan a cambi ar hasta que el i mpul so de entrada haya retornado a 0.
Para asegurar tal "operaci n,-un fl i p-fl op debe tener un i etardo de propa-
gaci n de l a seal desde l a entrada hasta l a sal i da, en exceso, con respecto
a l a duraci n del pul so. Este retardo es comnmente muy di fci l de con-
trol ar si el di seador depende total mente del retardo de propagaci n de
l as compuertas l gi cas. Una forma de asegurar el retardo adecuado es
i ncl ui r dentro del ci rcui to del fl i p-fl op una uni dad de retardo fi si co que
tenga un retardo i gual o mayor que l a duraci n del pul so. Una forma muy
buena de resol ver el probl ema de tempori zaci n por real i mentaci n es
_
hacer el fl i p-fl op sensi bl e a Ia transi ci del pul so en vez de l a duraci n
del pul so.
Un pul so de rel oj puede ser posi ti vo o negati vo. Una fuente de rel oj
posi ti va permanece en 0 durante el i nterval o entre l os pul sos y va a 1 du-
rante l a ocurrenci a de un pul so. El pul so pasa por dos transi ci ones de
seal : de 0 a 1 y el regreso de1 a 0. Como se ve en l a Fi gura 6-8, l a transi -
ci n posi ti va se defi ne como
fl anco
posi ti uo y l a transi ci n negati va como
fl anco
negati uo. Esta defi ni ci n se apl i ca a l os pul sos negati vos.
Los fl i p-fl ops si ncroni zados que se i ntroduj eron en l a Secci n 6-2 se
di sparan durante el fl anco posi ti vo del pul so y
el estado de transi ci n
comi enza tan pronto como el pul so al canza el ni vel de l gi ca 1. EI nuevo
estado del fl i p-fl op puede aparecer en l os termi nal es de sal i da mi entras
J i i ' i l
Pul so posi ti vo
tt
t l
Fl anco Fl anco
posi ti vo negati vo
Pul so negati vo
tt
I I
Fl anco Fl anco
negativo positivo
Figura 6-8 Definicin de la tansicin de un pulso de reloj
http://libreria-universitaria.blogspot.com
218 LOGI cA SECUENCI AL
CAP. 6
que el pul so de entrada sea 1 todava. Si l as otras entradas del fl i p-fl op
cambi an mi entras que el pul so
sea 1, el fl i p-fl op empezar a responder a
esos val ores nuevos y puede ocurri r un nuevo estado de sal i da. Cuando
esto pasa, l a sal i da de un fl i p-fl op no puede ser apl i cada a l as entradas de
otro fl i p-fl op cuando ambos sean di sparados por el mi smo pul so de rel oj .
Si n embargo, si se puede hacer que el fl i p-fl op responda al fl anco posi ti vo
(o negati vo) de transi ci n sol amente, en vez de l a duraci n total del pul -
so, entonces se puede
el i mi nar el probl ema
de l a ml ti pl e transi ci n.
Una manera de hacer que el fl i p-fl op responda sol amente al pul so
de
transi ci n es usar un acopl ami ento capaci ti vo. En esta confi guraci n, se
i nserta un ci rcui to fi C
(resi stenci a-condensador)
en Ia entrada de rel oj
del fl i p-fl op. Este ci rcui to genera
un pi co en respuesta al cambi o momen-
tneo de l a seal de entrada. Un fl anco posi ti vo
emerge de tal ci rcui to
con un pi co posi ti vo y
un fl anco negati vo con un pi co negati vo (spi ke).
La
acti vaci n de l os fl ancos se l ogra di seando el fl i p-fl op para i gnorar un
pi co y di spararse con l a ocurrenci a del si gui ente. otra forma de l ograr el
di sparo de l os fl ancos es el uso de un maestro escl avo o fl i p-fl op de di sparo
por fl ancos como se di scute a conti nuaci n.
X
Fl i p- f l op maest r o escl avo
Un fl i p-fl op maestro escl avo se construye con dos fl i p-fl ops separados.
un ci rcui to si rve como maestro y el otro como escl avo y el ci rcui to com-
pl eto se trata como un
fl i p-fl op
maestro escl auo. EI di agrama l gi co de un
fl i p-fl op maestro escl avo RS se muestra en Ia Fi gura G-9. Esta consi ste
en un fl i p-fl op maestro, un escl avo y
un i nversor. Cuando el pul so de rel oj
CP es 0, Ia sal i da del i nversor es 1. Como el pul so de entrada de rel oj
del escl avo es 1, el fl i p-fl op se habi l i ta y l a sal i da
Q
es i gual a Y mi entras
que
8'
se i gual a a
{ ' .
El f l i p- f l op maest r o se i nhabi l i t a debi do a que
CP: 0.
cuando el pul so de rel oj se convi erte en 1, l a i nformaci n en l as entradas
externas R y s se trasmi ten al fl i p-fl op maestro. El fl i p-fl op maestrd si n
embargo, se asl a por el i nterval o en que el pul so est en un ni vel de 1, ya
que l a sal i da del i nversor es 0. Cuando el pul so regresa a 0, el fl i p-fl op 1
Y
FLI P. FLOP MAESTRO ESCLAVO
Fi gura 6-9 Di agra.' .a l gi co de un fl i p-fl op maesto escl avo
http://libreria-universitaria.blogspot.com
CPN
Fi gur a 6- l O Rel aci ones de t i empo de un f l i p- f l op maest r o escl avo
maestrd se asl a, Io cual
previ ene que l as entradas externas l o afecten.
El fl i p-fl op escl avo i r al mi smo estado que el maestro.
Las i el aci ones de ti empo mostradas en l a Fi gura 6-10 i l ustran l a
secuenci a de eventos
que ocul ren en un fl i p-fl op maestro escl avo. As-
mase
que el fl i p-fl op est en el estado de puesta a cero antes de l a ocu-
rrenci ; de un
pul so, de tal manera
que
y:
0 y
Q
:
0. Las condi ci ones de
entrada son S
:
1, R
:
0 y el si gui ente
pul so de rel oj debe conmutar el
fl i p-fl op al estado de puesta a uno con
Q:
1' Durante l a transaci n del
pui .o d" 0 a 1, el fl i p-f1op maestro se pone a uno
y conmuta Y a.. El fl i p-
i l op escl avo no se afecta debi do a que su CP es 0: Como el fl i p-fl op maes^tro
es un ci rcui to i nterno, su cambi o de estado no se nota en l as sal i das
Q
y
Q,.
Cuando el pul so regrese a 0, l a i nformaci n del maestro se permi te pa-
sr al escl avo
-haci endo
l a sal i da ext erna
Q:
1' Nt ese
que
' l a
ent rada
externa S puede cambi arse al mi smo ti empo
que el pul so va a travs de l a
transi ci n " utt fl anco negati vo. Esto se debe a que una vez que CP al can-
ce el 0, el maestro se i nhabi l i ta
y sus entradas R y 5- no ti enen i nfl uenci a
hasta
que el si gui ente
pul so de rel oj ocurra. Ento-nces, en un fl i p-fl op
maestro escl avo, es posi l e vari ar l a sal i da
y l a i nformci n de entrada,
con eI mi smo
pul so de rel oj . Se debe tener en cuenta
que l a entrada S po-
dria venir de la salida de otro flip-flop maestro esclavo
que fuera conmu-
tado con el mi smo pul so de rel oj .
El comportami ento del fl i p-fl op maestro escl avo ya descri to determi na
que l os cambi os de estado en todos l os fl i p-fl ops coi nci de con l a transi ci n
del fl anco negati vo del pul so. Si n embargo, al gunos fl i p-fl ops maestro es-
cl avo de CI cambi an l os estados de sal i da en l a transi ci n del fl anco posi -
ti vo de l os pul sos de rel oj . Esto ocurre en Ios fl i p-fl ops que ti enen un i nver-
sor adi ci onl entre el termi nal CP
y l a entrada del maestro. Este ti po de
fl i p-fl ops son di sparados con pul sos negati vos
(ver Fi gura 6-8), tal es que
el -fl ano negati vo del pul so afecta al maestro
y el fl anco posi ti vo afecta al
escl avo
y a l os termi nal es de sal i da.
La combi naci n maestro escl avo
puede contrui rse
para cual qui er ti po
de fl i p-fl ops agregando un fl i p-fl op ES si ncroni zado con un rel oj i nverti do
pu." fot*r un scl avo. Un ej empl o de un fl i p-fl op JK maestro escl avo
construi do con compuertas NAND se muestra en l a Fi gura 6-11. Este
consi ste en dos fl i p-fl ops; l as compuertas t hasta 4 forman el fl i p-fl op
219
http://libreria-universitaria.blogspot.com
Figura 6-11 Flip-flop JK temporizado maestro esclavo
maestro y l as compuertas 5 hasta 8 forman el fl i p-fl op escl avo. La i nfor-
maci n presente
en l as entradas J y K se trasmi t al fl i p-fl op maestro en
el fl anco posi ti vo
del pul so
de rel oj y se sosti ene al l hai ta que el fl anco
negati vo del pul so
de rel oj sucede, despus del cual se permi te pasar
has-
ta el fl i p-fl op escl avo. El rel oj de entrada es normal mente 0, l o cual man-
ti ene l as sal i das de l as compuertas r y 2 en el ni vel de 1. Esto previ ene
a
l s
entradas J y K de afectar el fl i p-fl op maestro. El fl i p-fl op Lscl avo es
del ti po fts tempori zado con el fl i p-fl op maestro que sumi ni sti a l as entra-
das y
el reloj de entrada invertido por la compue.ta 9. cuando el reloj es
0, l a sal i da de l a compuerta
g
es 1 de manera que l a sal i da
e
es i gual
y
y.
Q' es
i gual a Y' . cuando ocurre el fl anco posi ti vo
de un pul so
dJrel oi , el
flip-flop maestro se afecta y puede
conmutr estados. El flip-flop
"..iuo se asl a durante el ti empo en que el rel oj est en el ni vel t,-debi do a que
la. s.alid-a
!e ]a
gompuerta 9 suminista un 1 a ambas entradas del flip-fiop
bsico NAND de las compuertas 7 y 8. cuando el reloj de entrada tg.".L
a 0, el flip-flop maestro se asla de las entradas J y K y el flip-flop
".Jluuo va al mi smo estado del fl i p-fl op maestro.
consi drese un si stema di gi tal que
contenga muchos fri p-fl ops maes-
tro esclavo, con las salidas de algunos flip-flops conectados a las entradas
de otros. Asmase que las entradas del pulso
e reloj a todos los flip-flops
estn si ncroni zados (ocurren
al mi smo fi empo). Al comi enzo de cada pl -
so_de rel oi , al gunos de l os el ementos maestro cambi an de estado,
i ero
todos_l os fl i p-fl ops de sal i da permanecen
en sus val ores previ os. Despus
que el pulso
de reloj regrese a 0, algunas de las salidas cambian de estado,
pero ainguno de estos estados nuevos tienen un efecto en cualquiera de
l os el ementos maestro hasta el si gui ente pul so
de rel oj . As, l os estados
de l os fl i p-fl ops en el si stema pueden
cambi arse si mul tneamente
duran-
te e I mismo pulso
de reloj, aunque las salidas de los flip-flops se conectan
a las entradas de otros. Esto es posible porque
el nuvo stado aparece
en l os termi nal es de sal i da sol amente
despus que
el pul so
de rel oj haya
cambi ado a cero. Por tanto el conteni do bi nari o " un l i p-nop pued
trs-
feri rse al segundo y el conteni do del segundo trasferi i se l ' pri mero y
ambas trasferenci as ocurren durante el mi sni o' pul so
de rel oj .
220
J
l
http://libreria-universitaria.blogspot.com
Fl i p-f l op di sparado
Por
f l anco
Otro tipo de flip-flop
que sincroniza los cambios de estado durante una
transicin de plso de reloj es el flip-flop disparado
por
flanco
(edgg-
triggered flip-flop). En este tipo de flip-flop, las transiciones de salida
o.,r"tt en un nivel especfico del pulso de reloj. Cuando el nivel de entra-
da del pulso excede este umbral, se cierran las entradas
y el flip-flop es
por tanlo inactivo a cambios
posteriores en las entradas hasta que el pul-
so de reloj regrese a cero
y ocurra otro pulso. Algunos flip-flops disparados
por fl anco causan una transi ci n en el fl anco
posi ti vo del pul so y otras
causatr una transi ci n en el fl anco negati vo del pul so.
El diagrama lgico de un flip-flop tipo D disparado
por flanco positivo
se muestra en l a Fi gura 6-12. Este consi ste en tres fl i p-fl ops bsi cos del
tipo mostrado en la Figura 6-3. Las compuertas
ryAND
1 y 2 constituyen
u.t flip-flop bsico
y las compuertas 3 y 4 otro. El tercer flip-flop bsico
qne
"*ptende
las compuertas 5
y 6 suministra las salidas del circuito.
i as entrdas S
y R del tercer fl i p-fl op bsi co deben mantenerse en l gi ca
1 para que l as sal i das permanezcan en sus val ores establ es. Cuando S: 0
v
n: 1, l a sal i da va al est ado de puest a a uno con
Q:
1' Cuart do S: 1
y
n: O, l a sal i da va al estado de puesta a cero con
Q:
0.
.Las
sal i das S y R
se determinan de los estados de los otros dos flip-flops bsicos. Estos dos
flip-flops bsicos responden a las entradas externas D
(datos) y a CP
(pul so de rel oj ).
' '
La operai n del ci rcui to se expl i ca en l a Fi gura 6-13 donde l as com-
puertas i - se redi buj an
para mostrar todas l as transi ci ones
posi bl es.
Las salidas s y fi de las compuertas 2 y 3 van a las compuertas 5
y 6 como
se muestra en l a Fi gura 6-12,
para sumi ni strar l as sal i das actual es del
fl i p-fl op. La Fi gura 6-13(a) muestra l os val ores bi nari os de l as sal i das de
l al cutro compuertas cuando CP:O. La entrada D bi en
podra ser i gual
n
$
ff
ffi
H
H
t
: t
t i *
i.f
: 4
, 4
"q
i i r
i . i
i
l l l
i i l
| ; .
'
I . f
i.$
r , t
l
i g
H
Figura 6-12 Flip-flop tipo D disparado por flanco positivo
221
http://libreria-universitaria.blogspot.com
( a)
Con CP: 0
J
( b )
Co n CP: 1
Figura 6-lS Operacin de un flip_flop tipo D disparado por flanco
a 0 1. En cual qui er caso, un cp de 0 causa que l as sal i das de l as com-
puer t as
2 y 3 vayan a 1, haci endo
s: R: 1, r o cual const i t uye r a condi -
ci n para
l a sal i da de estado estabre. cuando D
:
0, l a compuerta 4 ti ene
una_sal i da-de
1 Io que
causa que
l a sal i da de l a comperta 1 vya a 0. cuan-
do D: 1, l a compueta 4 i r a 0, l o cual causar q"e l u sari da de l a com-
puerta
1 vaya a 1. Estas son l as dos condi ci ones posi bl es
cuando con el
termi nal
cP en 0, se habi l i tan y
cambi an l as sal i das del fl i p-fl op si n i m-
portar
cual es el val or de D.
.
Hev un ti empo defi ni do, l l amado
el ti empo de establ eci mi ento
durante
el cual se debe mantener l a entrada D a un val or constante antes de l a
apl i caci n
del pul so.
El ti empo de establ eci mi ento
".
l guut al retardo de
propagaci n
a travs de l as compuertas 4 y
7 ya que
un"cambi o en D cau-
222
t
d'n
http://libreria-universitaria.blogspot.com
sEc. 6-3
DI SPARO
DE LOS FLI P- FLOPS f f RI GGERI NG)
223
I

,.1
:j
.ir
::
t
I
I
I
I
I
L
sa un cambio en las salidas de esas dos compuertas.
Asmase
ahora
que
D no cambi a durante
"t
l i u-po de establ eci mi ento
y que, l a entrada CP
se torna 1. Esta si tuaci n
r" i bou en l a Fi gura 6-13(b). si D:0 cuando
CP se convi erta
en 1, entonces S
permanecer 1 pero-R cambi ar
a 0' Esto
;.";i
q;; l a sal i dl del fl i p-fl op
Q
vaya a 0
(en l a Fi gura 6-12)' Si ahora
r.".rt" bp:t,
hay un cambi oLn
l a entrada D, l a sal i da de l a compuer-
iu p".*"r,"""r
"r,
i
(",tttque D vaya a 1)'
ya que una de las entradas
; l r' compuerta
vi ene e R-, l a cual se ha manteni do en 0. Sol amente
cuando CP reapare""
"r,
0, ia salida de Ia compuerta
4 puede cambiar;
p"i "-
""t"ce.
mbas n
V
S se convi erten
en 1, no permi ti endo ni ngn
i "r"i " en l a sal i da el "fl i p-f1op.
Si n embargo
hay un ti empo defi ni do,
i f"-" el ti empo l ei ort"ni *i ei to,
el cual no puede se-r-cambi ado
por l a
.nl rr" D despus e l a apl i caci n
de l a transi ci n
del fl anco
posi ti vo
;"i i el
pul r. El ti empo de sosteni mi ento
es i gual al - retardo de propa-
gaci n de l a compuerta
,
ya que- se debe tener seguri dad
que R se cot-
vi erta en 0 para poder mantenr
l a sal i da de l a compuerta
4 en 1, i nde-
pendi entemente del val or de D.
si D: l cuando cP: 1,
ent onces s cambi a a 0 pero R permanece en 1,
l o cual causa
que l a sal i da el fl i p-fl op
Q
vaya a 1' Un cambi o
en D' mi en-
l r". Cp: 1 no al tera S
y R porquu l a compuerta
1 se manti ene en 1 por l a
seal 0 de S. Cuando CP u"yu
"r.o,
u-6". R
y S i rn a 1 para preveni r
que l a sal i da sufra al gunos cambi os'
En suma, . nut o-. t
pul so del rel oj de ent rada hace una t ransi ci n de
fl an"o mvi l ' posi ti vo,
"t-u"to.
de D se trasfi ere
a
Q'
Los cambi os en D
cuando CP se -a.,ti e' e en un val or establ e de 1 no afectarn a
Q'
Si n
embargo, r' u tru.,.i .l l n del
pul so de fl anco negati vo no.afectar
l a sal i da,
;;;; ;;prco Io har
",rundo
CP:0.
Entonces, l os fl i p-fl ops di sparados
poi nu".ol el i mi nan cual qui er
probl ema de real i mentaci n
en l os ci rcui tos
I""u".r"i ul "s
de l a mi sma manera
que l o hace el fl i p-fl op maestro escl avo'
Ei ;i ";pr d"
".t"bi ""i mi ento
y dasosteni mi ento
deben tenerse en consi -
deraci n al usar este ti po de fl i p-fl op'
Cuando se usan di ferentes ti pos de fl i p-fl ops en el - mi smo ci rcui to
."".r"*i "I,
se debe estar seguro
que todos l os fl i p-fl ops hacen Ia transi -
ci n al mi smo ti empo es deci r, durante el fl anco
posi ti vo o el fl anco nega-
ii"" "f pulso. Aqriellos
flip-flops
que se comporten
opuestamente
a la
i ra.rri ci n
de pol ari dad adobtad,
pueden cambi arse
fci l mente
agregn-
dol es i nversores en l os rel oi es de ntrada. Un
procedi mi ento al terno es
sumi ni strar
ambos
pul sos posi ti vos y negati vos
-(por-
medi o de un i nver-
;;;t
t
luego aplicar' los plro. posiiivos a los flip-flops
que se disparan
dura.,te
"f
fl".,"o negativo
y loi
pulsos negativos a los flip-flops
que se
disparan durante el flanco
positivo, o viceversa'
.--{r.
Ent r adas di r ect as
Los fl i p-fl ops di sponi bl es
en cpsul as de cI vi enen al gunas veces con en-
tradas
^".p""i ul ".-para
puesta a uno o cero del fl i p-fl op
de manera asi n-
crni ca. Estas enti rau. ." Il aman d.e puesta a Ltno di recta
(di rect preset)
y de puesto o ,rro- i recta
(di rect cl ear). El l as afectan el fl i p-fl on e.n e|
al or
posi ti vo
(o
"uguti uol
de l a seal de entrada si n
que sea necesari o el
http://libreria-universitaria.blogspot.com
Tabla de funcin
Entradas
Sal i das
BorradoiReloj
J K
oo'
XXX
. 1. 00
J 0l
t l 0
+l l
0 l
No cambio
0 1
l 0
Conmuta
t
I
I
t
I
I
I
i
Fi gura 6-14 Fl i p-fl op JK con entrada di ecta de puesta
a cero
pulso
de reloj.
Estas entradas
son tiles para
lrevar todos los flip_flops
a
su estado i ni ci al
antes de empezar
su operaci n
tempori zda.
por
ej empl o,
cuando
se sumi ni st ra pot enci a
por pri mera
vez, , rr, . i . t "-a
di gi t al el
estado. de los flip-flops
es indeteminado.
Br i"L-"pt"
i''de puesta
a cero
l l evar a todos l os.fl i p-fl ops
a un estado i ni ci ar
de-c
,
er i nterruptor
de- comi enzo (start)
empezar
l a operaci "
" t.*por^i i ado
del si stema.
El i nterruptor
de puesta
a cero debe "l i mpi ur"
toi .-i ,
ni p-rops
asi n_
crni camente
si n l a necesi dad
de un
pul so.
Fl smbol o grfi co
de un fl i p-frof,maestro
escl avo con una entrada de
puesta
a cero di recta se muestra en l a Fi gura
6-14. La entrada de rel oj o
cP ti ene un crcul o debaj o del pequeo"tri rwl o
pru-' i ' ai .ar
que
l as
sal i das
cambi an durante l a transi cn
negati vi
del pul so. (La
ausenci a
del pequeo
crcul o i ndi cara
un fl i p-fl op
dt;"d"
fr.*
h"r,.o posi ti vo).
La entrada
de puesta
a cero di recta
ti ne tambi n
un pequeo
ccul o
para
i ndi car que,
normal mente,
esta entrada
debe mantenerse
en 1. si
la entrada de puesta
a cero se mantiene
en 0, el flip-flop permanece
en
cero independientemente
de otras entra.das
"
alr priri
' .eto. La tabla
9:_1_1:i .
especi fi ca l a operaci n
der ci cui to.
Las l .o.,-.o.,ai ci n
de no
rmporta que
i ndi can que
un 0 en l a entrada
di recta- de puesta
a cero i n_
habi l i ta
todas l as entradas.
Sol amente
cuando l a entda de puesta
a
cero es 1 tendra
efecto l a transi ci n
negati va
drl ,;i ;i -"n
l as sal i das.
Las sal i das no cambi an
si J: X
:
O. et ni pl oo
"";;";;
se compl ementa
cuando J:K:1-
Al gunos
fl i p-fl op. pu"du'
i """. t"."i j .,
un" entrada
di recta
de puesta
u u.,o l a cuai po,i "
l sal i da
A
";
a;^A l r, 0) asi ncrni _
camente.
cuando l as entradas
si ncrni cas
di rectas
estn presentes
en un fl i p_
fl op maestro
escl avo,
deben
"rt". "ore"tadas
al maestro y
al escl avo para
poder
superponerse
a l as otras entradas y
al rel oj .
Una entrada di recta
de puesta
a cero en el fl i p-fl op JK -a"stro
escl avo
de l a Fi gura 6-10 se
conecta a l as entradas
de l as ompuertas
1, 4 y
g.
una entrada
de puesta
1 :ero
en el flip-flop
D de disparo por
flano
d; i" Fig;^
-rz ," conecta
a l as entradas
de l as compuertas
2 y
6.
6- 4
ANALI SI S
DE LOS CI RCUI TOS
SECUENCI ALES
TE MPO RI ZADOS
El
-comportami ento
de l os ci rcui tos
secuenci ares
se determi na
de l as en_
tradas, l as sal i das y
ros estados
de l os fl i p-fl ops.
Ambas entracras
v el
224
I
&
{
I

I
I
l
I
:
I
l.
t
J
http://libreria-universitaria.blogspot.com
sEc. 6- 4 ANALI SI S DE LOS CI RCUI TOS SECUENCI ALES TEMPORI ZADOS 225
si gui ente estado son una funci n de l as entradas
y el presente estado.
El anl i si s de l os ci rcui tos secuenci al es consi ste en obtener una tabl a o
un di agrama de l a secuenci a de ti empo de l as entradas, sal i das
y estados
i nternos. Es posi bl e escri bi r expresi ones de Bool e que descri ban el com-
portami ento de l os ci rcui tos secuenci al es. Si n embargo, estas expresi o-
nes deben i ncl ui r l a secuenci a de ti empos necesari a di recta o i ndi recta-
mente.
Un di agrama l gi co se reconoce como el ci rcui to del ci rcui to secuen-
ci al si este i ncl uye fl i p-fl ops. Los fl i p-fl ops pueden ser de cual qui er ti po
y el di agrama l gi co puede o no i ncl ui r compuertas combi naci onal es.
En
sta secci n, se i ntroduce pri mero un ej empl o de ci rcui to secuenci al tem-
pori zado y l uego se presentan vari os mtodos
para descri bi r el comporta-
mi ento de l os ci rcui tos secuenci al es. Un ej empl o especfi co se usar a l o
l argo de l a di scusi n
para i l ustrar l os di ferentes mtodos.
Un ej empl o de un ci r cu t o secuenci al
Un ej empl o de un ci rcui to secuenci al tempori zado se muestra en l a Fi gu-
ra 6-1S. Ti ene una vari abl e de entrada, una vari abl e de sal i da
y dos fl i p-
fl ops tempori zados RS l l amados A y B. Las co4exi ones real i mentadas de
l as sal i das de l os fl i p-fl ops a l as entradas de l as compuertas no se mues-
tran en el di buj o para faci l i tar el trazado del mi smo. En vez de el l o, se
reconocen l as conexi ones
por su l etra marcada en cada entrada. Por ej em-
pl o, l a entrada marcada ' en l a compuerta 1 desi gna una entrada del com-
pl emento de . La segunda marcada A desi gna una conexi n a l a sal i da
normal del fl i p-fl op A.
Se asume que hay disparo
por flanco negativo en ambos flip-flops
y
en l a fuente que produce l a entrada externa . Por tanto, l as seal es para
.r
l-'
t - - - - ) I r '
B'-_-.1-/
B
l
L
Figura 6-15 Ejemplo de un circuito secuencial temporizado
http://libreria-universitaria.blogspot.com
226
LoGI cA SECUENCI AL
Tabl a 6- 1 Tabl a de est ado par a
el ci r cui t o de l a Fi zur a 6_15
CAP. 6
un estado presente
dado
.es.tn
di sponi bl es
durante el ti empo en que
se
determi na un pul so
de rel oj y
el si gui ente,
en cuyo momento el ci rcui to
pasa
al si gui ente estado.
Tabl a de est ado
La secuenci a
de ti empo de l as entradas, sal i das y estados de l os fl i p-fl ops
pueden
enumerarse
en una tabl a de
"rtodo.*
L tata Je estado puru
ut
ci rcui to de- l a Fi gura 6-15 se muestra en l a Tabl a 6-1. El l a consi ste en tres
secci ones l l amadas
estudo presente,
estado si gui ente y
,ol uo. El estado
pre-sente
desi grra l os estados de l os fl i p-fl ops antes de i u o"r..run.i a
de un
pul so
.de
rel oj . El estado si gui ente
muestra ros estados de l os fl i p-fl ops
despus
de
.l a
apl i caci n
del purso
de rel oj y l a secci i -de sal i da l i sta l os
val ores
de l as vari abl es de sl i da durante l presente
estado. Las secci o-
nes de estado si gui ente y
de sari da ti enen dos col umnur, ,rnu para
r
:
0
y I a ot ra para
r: 1.
Estado si gui ente
Estado presente
x : 0
x : l
Sal i da
x : 0 x : I
AB
AB
AB
0
0
I
0
00
0l
l 0
l l
00
l l
l 0
l 0
0l
0l
00
l t
0
0
0
0
La deducci n
de l a tabl a de estado comi enza a parti r
de un estado
i ni ci al asumi do.
El estado i ni ci al de l a mayora de l s ci rcui tos secuen_
ci al es prcti cos
se defi ne como el estado .ori .".o. .o toJ. l os nrp-fl ops.
Al gunos
ci rcui tos secuenci al es
ti enen un estado i ni ci al
di ferente
i ,
"rg,;-
nos no. ti el en ni nguno-.
.En
cada caso, el anl i si s puede
comenzar a parti r
de- cual qui er estado arbi trari o.
En este ej empl o, ,"
"o*i ""ra
deri vando l a
tabl a de estado comenzando
con el estado i ni ci ai OO.
.
cuando el presente
estado es 00, A
:
0 y B: 0. Del di agrama l gi co, se
gllT"u
que
con los flip-flops
e_n
gero
j r
:
0, ninguna de las .o.rrirr"rtu,
AND produce
una s_eal rgica 1.
por
tnto, ei ,igu"i-"ie
u*t"o p";;";
si n cambi ar. con AB: 00 y

:
1, l a compuerta
2 produce
una seal rgi ca 1
en l a entrada S del
j l i p-l op B^V t".compuerta
3 produce
una seal l gi ca 1
en la entrada R del flln-flor.
cuando un pulso
au'r.toj irp"lu lo. flip-"flops,
{
se
pone a cero y B se pone
a uno, pi oduci endo
a .i i i ""t" estado 01.
Esta i nformaci n
se l i sta en l a pri mera' fi l a
de l a tabl a a u.tuao.
*Los
l i br os de t eor a de l os ci r cui t os de conmut aci n
l l aman a est a t abl a t abl a de t r an-
sl ci dn El l os reservan el nombre tabl a de estado a una tabl a con estados i nternos representa-
dos por smbol os arbi tari os.
I
http://libreria-universitaria.blogspot.com
SEc. 6. 4 ANALI SI S DE LOS CI RCUI TOS SECUENCI ALES TEMPORI ZADOS 227
De manera si mi l ar, se
puede deduci r el si gui ente estado comenzando
a parti r de l os otros tres estados
presentes posi bl es. En general , el si -
gui ente estado es una funci n de l as entradas, el estado
presente y el ti po
e fl i p-fl op usado. Con fl i p-fl ops RS por ej empl o, se debe recordar
que un 1
en l a entrada s pone en 1 el fl i p-fl op
y un 1 en l a entrada R l o pone a cero
i ndependi entemente del estado anteri or. Un 0 en ambas entradas S y fi
dej a el fl i p-fl op si n cambi o, mi entras
que un 1 en ambas entradas s
y R
demostrara un di seo mal o
y
una tabl a de estado i ndetermi nada.
Las entradas
para Ia secci n de sal i da son ms fci l es de deduci r.
En est e ej empl o, l a sal i da
y es i gual a 1 sol ament e cuando x: 1, A: I y
B: 0. Poi tanto, l as col umnas de sal i da se marcan con 0, excepto cuando
el estado presente es 10 y l a entrada r: 1, para l a cual y se marca con
un 1.
La tabl a de estado de cual qui er ci rcui to secuenci al se obti ene
por el
mi smo procedi mi ento usado en el ej empl o. En general , un ci rcui to secuen-
cial con m flip-flops
y n variables de entrada tendr 2- filas, una
para
cada estado. Lut tcci otte. del si gui ente estado
y de sal i da tendrn cada
una 2" col umnas, una
para cada combi naci n de entrada.
Las sal i das externas
para un ci rcui to secuenci al
pueden veni r de
compuertas l gi cas o el emntos de memori a. La secci n de sal i da en el
estao establ e es necesari a sol amente si hay tres sal i das de l as compuer-
tas l gi cas. Cual qui er sal i da externa tomada di rectamente de un fl i p-
fl op se l i sta en l a col umna de presente estado de l a tabl a de estado. Por
tanto l a secci n de sal i da de l a tabl a de esl ado
puede ser excl ui da si no
hay sal i das externas de l as compuertas l gi cas.
Di agr ama de est ado
La i nformaci n di sponi bl e en l a tabl a de estado
puede representarse
gr-
fi camente en un di agrama de estado. En este di agrama se representa un
estado
por un crcul o
y l a transi ci n entre estados se i ndi ca por l neas
di ri gi das
que conectan l os crcul os. El di agrama de estado del ci rcui to
secul enci al de l a Fi gura 6-15 se muestra en l a Fi gura 6-16. El nmero bi -
nari o dentro de cada ci rcui to i denti fi ca el estado representado
por el
I
I
r / 1
0/0
l 0
/ 0
00
t / 0
o/o
-\
ol
l l
Figura 6-16 Diagrama de estado para el circuito de la Figura 6-15
http://libreria-universitaria.blogspot.com
228 LOGTCA
SECUENCI AL
CAP. 6
ci rcul o. Las l neas di ri gi das
se marcan con dos nmeros
bi nari os separa_
dos por
/. El valor de entrada que
causa la transicin
de estado se mar-
ca primero;
el nmero en seguida
del smbrl"
,, " -i Jato, de la salida
durante el presente
estado.
por
ejempro,
la lnea irigi"
del estado 00 a
01 marcada
r,/0, si gni fi ca que
el i rci to
secuenci al
.r11n el estado pre_
sente 00 mi entras que.
r
-
1. y y:0 y que
al fi nal i zar
el -si gui ente p"f.
a.
rel oi , el ci rcui to va al si gui ni e
estdo
01. una l nea di ri gi da que
conecta
un crculo a s mismo, indica que
no hay cambio
;; ;J;". oi igr"-"
de estado sumi ni stra
l a mi sm i nformai n que
l a tal a e estado y se
obti ene
di ectamente
de l a Tabl a 6_1.
No hay diferencia
entre una tabla de estado y
un diagrama
de estado
excepto
en la foma
de la presentacin.
La tabla .
"rt".
es ms fcil de
deducir
a partir
de un digrama
de lgica
J;;
;
J Jsr"-,
de estado
se desprende
directamente
de la tabra
"d"
";;";;.'
E-i ;;gr"-a
de estado
{3
""1.
vi sta pi ctri ca
de l as transi ci ones
de estado
-y
est en una forma
di sponi bl e para
i nterpretaci n
bi nari a
de l a operaci n
del ci rcui to. El
diagrama
de estado s" usa
"
-"r,rro
como la especificacin
de diseo
i ni ci al
de un ci rcui t o secuenci al .
Ecuaci ones
de est ado
una ecuaci n
de estado (tambi n
conoci da
como una ecuaci n de apri ca_
ci n)
.e.s.
ul a expresi n
al gebrai ca que
especi fi ca
l as condi ci ones para
Ia
transicin
de estado de.un flip-flop.
bt luo i"q"Lrd"
i""l;;;"""i0{;.1
ta el estado siguiente
dl flii-flop y
el lado a".""rro-""
tr.cin de Boole
que
especifica
las condiciones
del presente
estado que
hacen el siguiente
estado i gual
a 1. una ecuaci n
de estado
",
.i -i l u.' ";
f;^" a una ecua_
ci n caractersti ca,
de un
_fl i p-fl op,
excepto que
especi fi ca
l as condi ci ones
del si gui ente
estado en tmi nor
a" ta. vari abl es' de
eni rada
externas y
otros val oes
de l os fl i p-fl ops.
La ecuaci n
de estado s.Lri u"
di rectamen-
te de l a tabl a de estad..por_ej empl o,l a
e^cuaci n_de
estado
i l "l Jh' i 3:i i ;;
A se- deri va por
i nspecci n
de l a' Ta' bl a
6-1. De f"" .i ' oi ""i es
col umnas
de
estado, se nota que
el fl i p-fl op
A va al estado t cuatri uces: cuando :0
v
AB: 01 10 11' o. 1y" a!
r : 1v AB. : 11
- Es; ; - ; ; " d" ; . or esar se
al ge-
brai camente
en l a ecuaci n
de estad
de l a si gui e.rt"-.rr"rrru,
A( t + l ) : ( A, B
+ AB, t AB) x, * ABx
El l ado derecho de l a ecuaci n
de estado
es una funci n
de Bool e para
un
estado presente.
cuando esta funci n
es i g' al a 1, ocurrenci a
de ros
pulsos-
de reloj causa que
el flip-flop
A tngu
"r
lisui";"
estado de 1.
cuando
una tuncin
"*-iry"I
a 0, el
iutso
de?ji;;;;' queA
tenga el
si gui ente
estado de,0.
Fr-l ado
i zqui erdo
de l a ..";;i ;;;nti fi ca
l os fl i p_
flops por
un smbolo
de letra r"guido
de una il.ig";;i"
en funcin de
tiempo (t
+
1), para
enfatizar que"este
valor ,", ,t"in"ao por
el flip_flop,
un pul so posteri or
de l a secuenci a.
La ecuaci n
de estado es una funci n de Bool e con un ti empo i ncl ui -
do' Es aplicable
solamente
en ros circuitos .""r,,"rr.i"i"s
e reloi, ya que
A(t
+
t) se define
para
que
cambie
de valor
"";

";;;;.,ii"
"1 pulso
de
rel oj en i nstantes
di scretos
de ti empo.
I
http://libreria-universitaria.blogspot.com
0
I
A1 l
l
A( t
-
l ) : Bx' +
( B * x' ) A
( a)
-
Bx'
- : -
( B' x) ' A ( b)
-
it
B ( t - l ) - A ' x - ( A ' ! x ) B
:
At x i
( Ax' ) ' B
Figura 6-17 Ecuaciones de estado para los flip-flops A y B
La ecuaci n de estado de un fl i p-fl op A se si mpl i fi ca
por medi o de un
mapa como se muestra en l a Fi gura 6-17(a). Con al grrna mani pul aci n
al gebrai ca, l a funci n puede expresarse de l a si gui ente forma:
A( t + l ) : Bx ' + ( B' x) ' A
Si se dej a
que Br' : S
y B' x: R, se obt i ene l a si gui ent e rel aci n:
A( t + l ) : , S + R' A
l a cual es una ecuaci n caractersti ca de un fl i p-fl op RS
IFi gura
6-4(d)] .
Esta rel aci n entre Ia ecuaci n de estado
y l as ecuaci ones caractersti cas
del fl i p-fl op
puede
j usti fi carse por i nspecci n del di agrama l gi co de l a
Fi gura 6-1b. En est se ve que l a entrada S del qi p-fl op A es i gual a l a
funci n de Bool e Bx' y l a entrada ft es i gual a B' x. Susti tuyendo estas
funciones en la ecuacin caracterstica del flip-flop' dar como resultado
l a ecuaci n de estado
para este ci rcui to secuenci al .
La ecuaci n de estado
para un fl i p-fl op en un ci rcui to secuenci al
pue-
de deduci rse de una tabl a de estado o de un di agrama l gi co. La deduc-
ci n de una tabl a de estado consi ste en obtener Ia funci n de Bool e es-
peci fi cando Ias condi ci ones
que hacen el si gui ente estado del fl i p-fl op un
t. l -u deducci n a parti r de un di agrama l gi co consi ste en obtener l as
funci ones de l as enti adas del fl i p-fl op
y susti tui rl as en Ia ecuaci n carac-
tersti ca de l a mi sma.
La deri vaci n de l a ecuaci n de estado del fl i p-fl op B a parti r de una
tabl a de verdad se muestra en el mapa de l a Fi gura 6-17(b). Los l marca-
dos en el mapa son l as entradas
presentes y l as combi naci ones de entrada
que causan
que el fi i p-fl op vaya al si gui ente estado de 1. Estas condi ci ones
se obti enen di rectamente de l a Tabl a 6-1. La forma si mpl i fi cada
que se
obti ene en el mapa se mani pul a al gebrai camente
y l a ecuaci n de estado
que se obti ene es:
B( r +t ) : A' x +( Ax ' ) ' B
La ecuaci n de estado
puede deri varse di rectamente a parti r del
di agrama l gi co. De l a Fi gura 6-15 se observa
que l a seal
para Ia entrada
S a"et ni p-p B se genera por Ia funci n A' x y l a seal para l a entrada R
229
fl
:Fi
:t
j
'Il
ifr{
http://libreria-universitaria.blogspot.com
23O LOGI CA SECUENCI AL CAP. 6
por l a f unci n A' . Sust i t uyendo S: A' y R: Ax' en l a ecuaci n carac-
tersti ca del fl i p-fl op RS dada por:
B( / +l ) : , S+R' . 8
se obti ene l a ecuaci n de estado deri vada anteri ormente.
Las ecuaciones de estado de todos los flip-flops, conjuntamente con
l as funci ones de sal i da, especi fi can total mente un ci rcui to secuenci al .
El l as representan, al gebrai camente, l a mi sma i nformaci n que representa
una tabl a de estado en forma tabul ar y un di agrama de estado representa
una forma grfrca.
Funci ones de ent r ada de un f l i p- f l op
El di agrama l gi co de un ci rcui to secuenci al consi ste en el ementos de me-
mori a y compuertas. La cl ase de fl i p-fl ops y l a tabl a caractersti ca es-
peci fi can l as propi edades l gi cas de l os el ementos de memori a. Las i nter-
conexi ones entre l as compuertas forman un ci rcui to combi naci onal y se
pueden expresar al gebrai camente con funci ones de Bool e. As, un cono-
ci mi ento del ti po de fl i p-fl ops y una l i sta de l as funci ones de Bool e del
ci rcui to combi naci onal darn toda l a i nformaci n necesari a para di buj ar
el di agrama l gi co de un ci rcui to secuenci al . La parte del ci rcui to combi -
naci onal que genera l as sal i das externas se descri be al gebrai camente por
l as
funci ones
de sal i dq del ci rcui to. La parte del ci rcui to
que genera l as
entradas de Ios fl i p-fl ops se descri be al gebrai camente por un conj unto de
funci ones de Bool e l l amadas
funci ones
de entrada del
fl p-fl op
o al gunas
veces ecuoci ones de entrada.
Se adoptar l a convenci n de usar dos l etras para desi gnar una va-
ri abl e de entrada de un fl i p-fl op: l a pri mera desi gna el nombre de l as
entradas y l a segunda el nombre del fl i p-fl op. Como un ej empl o, consi d-
rese l as si gui entes funci ones de entrada de un fl i p-fl op:
J A: BC' x* B' Cx '
KA: B+y
JA y KA desi gnan l as vari abl es de Bool e. La pri mera l etra en cada una
denota l a entrada J y K respecti vamente del fl i p-fl op JK. La segunda
l etra A es el smbol o nombre del fl i p-fl op. El l ado derecho de cada ecuaci n
es una funci n de Bool e para l a crrespondi ente vari abl e de entrada del
fl i p-fl op. La confi guraci n de l as dos funci ones de entrada se muestra en
el di agrama l gi co de l a Fi gura 6-18. El fl i p-fl op JI( ti ene un smbol o de
sal i da A y
dos entradas marcadas J
y K.EI ci rcui to combi naci onal di bu-
j ado
en el di agrama es l a confi grraci n de una expresi n al gebrai ca dada
por l as funci ones de entrada. Las sal i das del ci rcui to combi naci onal se
designan por JA
y KA en las funciones de salida y van a las entradas J y
K del fl i p-fl op A.
De este ej empl o, se observa que l a funci n de entrada del fl i p-fl op es
una expresi n al gebrai ca para un ci rcui to combi naci onal . La desi gnaci n
de dos l etras es el nombre de una vari abl e para una sal i da de un ci rcui to
combi naci onal . Esta sal da se conecta si empre a l a entrado
(desi gnada
por l a pri mera l etra) del fl i p-fl op
(desi gnado por l a segunda l etra).
I
http://libreria-universitaria.blogspot.com
H
il

J
B
C'
Figura 6-18 Configuracin de las funciones de entrada de un flip-flop
J A: BC' x, *
B' Cx'
y KA: B
Y
El ci rcui to secuenci al de l a Fi gura 6-15 ti ene una entrada r, una en-
trada
y y dos fl i p-fl ops RS denotadr
po. A y B.El di agrama l gi co
-pu_ede
..i -..p."do
aebri camente
con cuatro funci ones de entrada del fl i p-
fl op
y una funci n de sal i da del ci rcui to como si gue:
SA: Bx'
SB: A' x
l :
AB' x
Este conjunto de funciones de Boole expecifica totalm^ente el diagrama
rgi co. Las vari abl es sA
y RA especi fi can el fl i p-fl op RS l l amado A; l as
""?i"f".
SB
y RB especifican un segundo flip-flop_ RS denotado
por B' La
,"ri "r"
y denota l a sal i da. Las expresi ones de Bool e
para l as vari abl es
".p".i n."
parte del ci rcui to combi naci onal
del ci rcui to secuenci al .
Las funci ones de entrada del fl i p-fl op consti tuyen
una forma al gebrai -
ca conveni ente
para especi fi car un di agrama
l gi co de un ci rcui to secuen-
ci al . El l as i mpcan el ti po de fl i p-fl op a parti r de l a pri mera l etra de l a
vari abl e de entrada
y especi fi can
compl etamdnte
el ci rcui to combi naci o-
,r"i
qrr" maneja et tilp-ftop. El tiempo no se incluye explcitamente
en
estas ecuaciones
pero st comprendidb
a partir de la o-peracin del
pulso
de rel oj . Es conveni ente
al gunas veces especi fi car
a.l gebrai camente
un
cucui to secuenci al con funci *ones de sal i da del ci rcui to
y funci ones de
entrada del flip-flop en vez de dibujar el diagrama lgico'
6. 5 REDUCCI ON
DE ESTADOS
Y ASI GNACI ONX
El anl i si s de l os ci rcui tos secuenci al es comi enza de un di agrama de ci r-
cui to
y cul mi nan en una tabl a de estado o di agrama. El di seo de un ci r-
cui to secuenci al
pai te de una seri e de especi fi caci ones
y cul mi na en un
i ug*^"
Igi co. Los procedi mi entos de di seo se presentan comenzando
por l a Secci n 6-?. Esi a secci n i ncl uye ci ertas
propi edades de l os ci rcui -
io. ,".,t"rr.iales
que pueden ser usados
para reducir el nmero de com-
puertas y flip-flops durante el diseo.
*Est a
secci n se puede omi t i r si n per der cont i nui dad'
231
RA: B' x
RB: Ax'
+,
F
i i
;!
.ll
:{
ii
,1.
!
;{
rf,
*i

H
1. 1
-l
http://libreria-universitaria.blogspot.com
Reducci n de est ado
Cual qui er procedi mi ento de di seo debe consi derar el probl ema de mi ni -
mzar el costo del ci rcui to fi nal . Las dos reducci ones de costo ms obvi as
son l as reducci ones en el nmero de fl i p-fl ops y el nmero de compuertas.
Debi do a que estos dos tems son l os ms obvi os, se han estudi ado e i nves-
ti gado extensamente. De hecho, una gran porci n del obj eti vo de l a teora
de conmutaci n trata l a manera de buscar al gori tmos para mi ni mi zar el
nmero de fl i p-fl ops y compuertas en l os ci rcui tos secuenci al es.
La reducci n del nmero de fl i p-fl ops en un ci rcui to secuenci al se
conoce como l o reducci n de estado del probl ema. Los al gori tmos de re-
ducci n de estado tratan con l os procedi mi entos para reduci r el nmero
de estados en l a tabl a de estado mi entras manti ene l os requeri mi entos de
entrada-sal i da externos si n cambi o. Como m fl i p-fl ops producen 2^ es-
tados, una reducci n en el nmero de estados podra (o no podra) resul tar
en una reducci n en el nmero de fl i p-fl ops. Un efecto i mpredeci bl e en l a
reducci n del nmero de fl i p-fl ops es que al gunas veces el ci rcui to equi -
val ente
(con
menos fl i p-fl ops) podra requeri r ms compuertas combi na-
ci onal es.
Se demostrar l a necesi dad de reducci n de estado con un ej empl o.
Se comi enza con un ci rcui to secuenci al cuya especi fi caci n se da en el
rl i agrama de estado de Ia Fi gura 6-19. En este ej empl o, sol amente l as se-
cuenci as de entrada-sal i da son i mportantes; l os estados i nternos se usan
sol amente para sumi ni strar l as secuenci as requeri das. Por esta razn, l os
estados marcados dentro de l os crcul os se denotan por smbol os de Ietras
en vez de sus val ores bi nari os. Esto es en contraste a un contador bi nari o,
donde l a secuenci a de val ores bi nari os de l os estados en s mi smos se
toman como sal i das.
Hay un nmero i nfi ni to de secuenci as de entrada que puede ser apl i -
cado al ci rcui to; cada uno dar como resul tado una secuenci a ni ca de
sal i da. Como ej empl o, consi drese l a secuenci a de entrada 01010110100
empezando por el estado i ni ci al o. Cada entrada de 0 1 produce una sa-

232
Fi gura 6-19 Di agrama de est ado
http://libreria-universitaria.blogspot.com
sEc. 6- 5 REDUCCI ON OE ESTADOS Y ASI GNACI ON 233
l i da de 0 1 y causa que el ci rcui to vaya al si gui ente estado. De este di a-
grama de estado, se obti ene l a sal i da y secuenci a de estado para una
secuenci a dada de entrada como si gue: con el ci rcui to en el estado i ni ci al
o, una entrada de 0 produce una sal i da de 0 y el ci rcui to permanece en el
estado o. Con el estado presente o y una entrada de 1, l a sal i da es 0 y el
si gui ente estado,es b. Con el estado presente b y
una entrada de 0, l a
sal i da es 0 y el si gui ente estado es c. Conti nuando este proceso, se encon-
trar que l a secuenci a compl eta es como si gue:
es t adoaabcdeJ Cf ga
ent r ada0l 0l 0l l 0l 00
s al i da 0 0' 0 0 0 I I 0 I 0 0
En cada col umna, se ti ene el estado presente, el val or de Ia entrada y el
val or de l a sal i da. El si gui ente estado se escri be enci ma de l a si gui ente
col umna. Es i mportante tener en cuenta que en este ci rcui to l os estados
en s mi smos son de i mportanci a secundari a porque el i nters pri mordi al
son l as secuenci as de sal i da causadas por l as secuenci as de entrada.
Asmase ahora que se ti ene un ci rcui to secuenci al cuyo di agrama
de estado ti ene menos de si ete estados
y se desea compararl o con el ci r-
cui to cuyo di agrama de estado se da en l a Fi gura 6-19. Si se apl i can se-
cuenci as de entrada di rectas a l os dos ci rcui tos y ocurren sal i das i dn-
ti cas
para todas l as secuenci as de entrada, entonces se di ce que l os dos
ci rcui tos son equi val entes
(en l o que se refi ere a l a entrada-sal i da) y se
pueden rempl azar entre s. El probl ema de Ia reducci n de estado es en-
contrar maneras de reduci r el nmero de estados en un ci rcui to secuen-
ci al si n al terar l as rel aci ones de entrada-sal i da.
Se proceder a reduci r el nmero de estados de este ej empl o. Pri mero,
se necesi ta una tabl a de estado; es ms conveni ente apl i car l os procedi -
mi entos para l a reducci n de estados aqu que en l os di agramas de estado.
La tabl a de estado del ci rcui to se l i sta en l a Tabl a 6-2 y se obti ene di recta-
mente del di agrama de estado de l a Fi gura 6-19.
Tabla 6-2 Tabla de estado
Estado siguiente Sal i da
Estado presente x : 0 x : l : 0 x : l
0
0
0
0
0
0
0
0
0
0
b
d
d
f
f

f
a
c
a
e
a
c
a
a
b
c
d
e
f
o
L
http://libreria-universitaria.blogspot.com
234 LoGI cA SECUENCI AL
CAP. 6
Un al gori tmo para l a reducci n de estado de una tabl a de estado es-
peci fi cada
compl etamente se da aqu si n prueba al guna: "Se di ce que dos
estados son equi val entes si , por cada mi embro del conj unto de entradas,
el l os dan exactamente l a mi sma sal i da y
envan al ci rcui to al mi smo esta-
do o a un estado equi val ente. cuando dos estados son equi val entes, uno
de el l os puede qui tarse si n al terar l as rel aci ones de entrada-sal i da".
se apl i car este al gori tmo a Ia Tabl a 6-2. observando l a tabl a de ver-
dad, se escogen l os estados presentes que van al estado si gui ente y que
ti enen Ia mi sma sal i da para ambas combi naci ones de entrada. Los estados
g y
e son dos de tal es estados; el l os van a l os estados a y
f
y ti enen l as sa-
I i das de 0 y l par a : 0 y r : l r espect i vament e. Por t ant o, l os est ados
g y
e son equi val entes y se puede el i mi nar uno. El procedi mi ento para
qui tar
un estado y de rempl azarl o por un equi val ente se demuestra en
Ia Tabl a 6-3. La fi l a con el estado presente g se tacha y el estado g se rem-
plaza por el estado e cad.a vez que apatezca en las siguientes columnas
de estado.
Tabla 6-3 Reduciendo la tabla de estado
Estado si gui ente
Estado presente
x : 0 x : l
Sal i da
x : 0 x : l
0
0
0
I
I
I
I
a
c
a
e
a
t e
a
a
b
c
d
e

g
b
d
d
f d
l d
f
f
El estado presente
/
ti ene ahora l as entradas si gui entes e y
f
y l as
sal i das 0 y 1 para : 0 y r: 1 respect i vament e. Los mi smos est ados si -
gui entes y l as sal i das aparecen en l a fi l a con el estado presente d.
por
tanto, Ias entradas
f
y
d son equi val entes, el estado
/
puede qui tarse y
rempl azarse por d. La tabl a reduci da fi nal se muestra en l a Tabl a 6-4. El
di agrama de estado para l a tabl a reduci da consi ste en sol amente ci nco
estados y se muestra en l a Fi gura 6-20. Este di agrama de estado sati sface
l as especi al i zaci ones ori gi nadas de entrada-sal i da y produci r
l a secuen-
ci a de sal i da requeri da para una secuenci a dada de entrada. La si gui ente
l i sta deduci da del di agrama de estado de l a Fi gura 6-20 es para l a secuen-
ci a de entrada usada previ amente.
Se nota que resul ta l a mi sma secuenci a
de sal i da aunque Ia secuenci a de estado es di ferente:
estado a a b
entrada 0 I 0
sal i da 0 0 0
c
I
0
d
0
0
e
I
I
d
I
I
d
0
0
e
I
I
d
0
0
e
0
0
http://libreria-universitaria.blogspot.com
sEc. 6- 5 REDUCCI ON DE ESTADOS Y ASI GNACI ON 235
De hecho, esta secuenci a es exactamente l a mi sma que se obtuvo de l a
Fi gura 6-19, si se rempl aza e por g y d por
f
.
Tabl a 6-4 Tabl a de estado reduci da
Estado siguiente
Estado presente x : 0 x : l
Sal i da
x : 0 x : 1
a
b
d
e
a
0
e
b
d
d
d
d
0
0
0
0
0
0
0
0
I
I
Figura 6-2O Diagrama de estado educido
De cual qui er forma, l a reducci n de si ete a ci nco estados no reduce el n-
mero de flip-flops. En general, la reduccin del nmero de estados de una
tabl a de estado se espera que resul te en un ci rcui to con menos equi po.
Si n embargo, el hecho de que una tabl a de estado haya si do reduci da a
menos estados no garantiza un ahorro en el nmero de flip-flops o el n-
mero de compuertas.
Val e l a pena notar que l a reducci n en el nmero de estados de un
ci rcui to secuenci al es posi bl e si se i nteresa sol amente en l as rel aci ones
externas de entrada-sal i da. Cuando l as sal i das externas se toman di rec-
tamente de los flip-flops, las salidas deben ser independientes del nmero
de estados de que se apliquen los algoritmos de reduccin de estados.
El ci rcui to secuenci al de este ej empl o fue reduci do de si ete a ci nco
estados. En cada caso, la representacin de los estados con componentes
fisicos requieren que se usen tres flip-flops, porque m flip-flops pueden
representar hasta 2- estados diferentes. Con tres flip-flops, se pueden
formular hasta seis estados binarios denotados por los nmeros binarios
000 hasta 111, con cada bi t desi gnando el estado de un fl i p-fl op. Si l a tabl a
de estado de la Tabla 6-2 se usa, se deben asignar valores binarios a los
siete estados: el estado restante no se usa. Si se usa la tabla de estado
T
i
H
r
i l t
F ]
E 1
$ l
FI
ht
FJ
HJ
tr
http://libreria-universitaria.blogspot.com
236 LoGI cA SECUENCI AL
CAP. 6
de l a Tabl a 6-4, sol amente ci nco estados necesi tan asi gnaci n bi nari a y
quedaran
tres estados si n usar. Los estados si n usar se tratan como
condi ci ones de no i mporta durante el di seo del ci rcui to. Como l as com-
bi naci ones de no i mporta por l o general ayudan a obtener una funci n de
Bool e ms si mpl e, de manera pareci da
el ci rcui to con ci nco estados nece-
si tar menos compuertas combi naci onal es que aquel l a con si ete estados.
De cual qui er forma, l a reducci n de si ete a ci nco estados no reduce el n-
mero de flip-flops. En general,
la reduccin del nmero de estados de una
tabla de estado se espera que resulte en un circuito con menos equipo. sin
embargo, el hecho de que una tabla de estado haya sido reducid a menos
estados no garantiza
un ahorro en el nmero de flip-flops o el nmero de
compuertas.
Asi gnaci n de est ado
El costo de Ia parte de ci rcui to combi naci onal de un ci rcui to secuenci al
puede
reducirse usando los mtodos de simplificacin conocidos para los
ci rcui tos combi naci onal es.
si n embargo, hay otro factor, conoci do como el
probl ema
de asi gnaci n de estado, que
entra en
j uego
para l a mi ni mi zaci n
de l as compuertas combi naci onal es. Los procedi mi entos
de asi gnaci n de
estado tienen que
ver con los mtodos pra la asignacin de valores bi-
narios o estados de tal forma que se reduce el costo de los circuitos com-
bi naci onal es que
acci onan l os fl i p-fl ops. Esto es parti cul armente
ti l
cuando se obseva un ci rcui to secuenci al a parti r
d sus termi nal es ex-
ternos de entrada-sal i da. Tal ci rcui to puede
segui r una secuenci a de
estados internos, pero los valores binarios de los estados individuales
podran
no tener ni nguna consecuenci a todo el ti empo en que el ci rcui to
produzca
l a secuenci a segui da de sal i das pat"
u.r secuenci a dada de
entradas. Esto no se apl i ca a l os ci rcui tos cuyas sal i das externas se to-
man di rectamente de l os fl i p-fl ops con secuenci as bi nari as total mente
especi fi cadas.
Las al ternati vas de asi gnaci n de estado bi nari o di sponi bl es pueden
ser demostadas conjuntamente con el circuito secuencial especifrcado
en la Tabla 6-4. Recurdese que,
en este ejemplo, los valores binarios de
los estados son inmateriales durante el tiempo en que su secuencia man-
tenga l as rel aci ones de entrada-sal i da adecuadas. Por esta razn, cual -
qui er asi gnaci n de nmero bi nari o es sati sfactori a si empre que a cada
estado se le asigrre un nmero. Tres ejemplos de asignacions binarias
posibles
se muestran en la Tabla 6-b para los cinco estados de la tabla
reduci da. La asi gnaci n 1 es una asi gnaci n bi nari a di recta para l a se-
cuencia de estados desde a hasta e. Las otras dos asignaciones se escogen
arbitrariamente. De hecho, hgy 140 asignaciones dife.etrter para
este
ci rcui t o
(11).
La Tabla 6-6 es la tabla de estado reducida con la asignacin binaria
1 sustituida por las letras de los cinco estados.* Es obvio que
una asig-
nacin binaria diferente resultar en una tabla de estado con valorJs
binarios diferentes para los estados, mientras que las selecciones de en-
trada-salida permanecen
iguales. La forma binaria de la tabla de estado
se usa para
deducir la parte
del circuito combinacional del circuito se-
*Una
tabla de estado con asignacin binaria se llama algunas veces tabla de trarwicin.

http://libreria-universitaria.blogspot.com
Tabla 6-6 Tres asignaciones binarias de estado posibles
Estado Asignacin t Asignacin 2 Asigrracin 3
a
b
d
e
001
010
0l l
100
l 0l
000
010
0l l
l 0t
l l l
000
100
010
l 0l
0l l
Tabl a 6-6 Tabl a de estado reduci do con asi gnaci n bi nai a 1
Estado siguiente
Estado presente x : 0 x : l
Sal i da
x : 0 x : l
001
0r 0
0l l
100
l 0l
001
0l l
001
l 0l
001
010
100
100
100
100
0
0
0
I
I
0
0
0
0
0
t
I
L-
cuenci al . La compl ej i dad del ci rcui to combi naci onal obteni do, depende
de l a asi gnaci n del estado bi nari o escogi do. El di seo del ci rcui to secuen-
ci al presntado en esta secci n se compl eta en el Ej empl o 6-1 de l a Sec-
ci n 6-7.
Vari os procedi mi entos se han sugeri do
para l l evar a una asi gnaci n
bi nari a
parti cul ar entre l as muchas di sponi bl es. El cri teri o ms comn
es que l asi gnaci n escogi da debe resul tar en un ci rcui to combi naci onal
simple para las entradas del flip-flop. Sin embargo, hasta el momento, no
hay- procedimientos de asignacin de estado
que garantice-n un costo m-
ni mo de un ci rcui to combi naci onal .
La asi gnaci n de estado es uno de l os
problemas desafiantes de la teora de conmutacin. El lector interesado
puede encontrar mucha literatura completa
y creciente de este tpico.
Las tcni cas
para tratar con el probl ema de asi gnaci n de estado se sal en
del objetivo de este libro.
6- 6 TABLAS DE EXCI TACI ON DE LOS FLI P- FLOPS
Las tablas caractersticas
para varios flip-flops fueron presentadas en la
secci n 6-2. una tabl a caractesti ca defi ne l a propi edad l gi ca del fl i p-
flop y caracteriza completamente su operacin. Los flip-flops de circ-uito
integiado se definen algunas veces por una tabla caractestica tabulada
de manera diferente. Esta segunda forma de las tablas caractesticas
para l os fl i p-fl ops RS, JK, D y T se muestran en l a Tabl a 6-7. El l as repre-
i entan l a mi sma i nformaci n
que l as tabl as caractersti cas de l as Fi gu-
ras 6-4(c) hasta 6-7(c).
La Tabla 6-7(c) define el estado de cada flip-flop como funcin de sus
entradas
y su estado
previ o.
Q(t)
se refi ere al presente estado
V Q(*
1)
237
http://libreria-universitaria.blogspot.com
Tabla 6-7 Tablas caractersticas del flip-flop
QQ+I )
@)
JK
(c) D
(d) 7"
QU)
0
I
l
al estado si gui ente despus de l a ocurrenci a
de un pul so
de rel oj . La tabl a
caractersti ca
del fl i p-fl op RS muestra que el si gui ente estado Ls i gual al
presente
estado cuando l as entradas S y
R son ambas 0. Cuando l a entrada
-E es igual a 1, el siguiente pulso
de reloj pone a cero el flip-flop. cuando
la entrada s es igual
"
I
"l
siguiente puljo-de
reloj pone
a t et rtip-nop. La
interrogacin para
el siguiente estado cuando ambos s y
ft sen iguales
a 1 desi gna si mul tneamente
un estado si gui ente i ndetermi nado.
_ !a
tabla del flip-flop JK es la misnia que la del RS cuand se rernplaza
J y K por
s y ,B respecti vamente,
excepto en el caso i ndetermi nado.
i uan-
do J y
K son ambos i gual es a 1, el estado si gui ente es i gual al compl emento
del present e
est ado, es deci r,
Q(r+
1):
e' 1.
nt si gui ent e est ado del f l i p-
flop
-D
es completamente dependiente de la entrada-D e independiente dLI
estado presente.
El si gui ente estado del fl i p-fl op ? es el mi smo que
el es-
tado presente
si ?:0 y compl ernentando
si f
:
f .
La tabl a caractersti ca es ti l para
el anl i si s y l a defi ni ci n de l a
operaci n del fl i p-fl op. Esta especi fi ca el estado si gui ente cuando l as en-
tradas y el estado presente
se conocen. Durante
"l
p.o."ro
de di seo se
conoce por l o general
l a transi ci n del presente
estado al si gui ente y se
desea encontrar l as condi ci ones de enti ada del fl i p-fl op qu;
"u.r.".,
l u
transi ci n requeri da. Por esta razn, se necesi ta .rtr ta-bl u- que l i ste l as
entradas necesari as para
un cambi o de estado dado. Tal l i sta se l l ama una
t abl a de exci t aci n.
^ _La
Tabl a 6-8 presenta
l as tabl as de exci taci n de l os cuatro fl i p-fl ops.
cada t abl a consi st e en dos col umnas,
e{r t eG+
1), y
una col umna pra
cada entrada para mostrar cmo se l ogra l a transci n requeri da. Hay
cuatro transi ci ones posi bl es
del presente
estado al si gui ente. Las condi -
ci ones de entrada requeri das para
cada una de l as
-cuatro
transi ci ones
se deri van de l a i nformaci n di sponi bl e en l a tabl a caractersti ca. El
smbol o X en l as tabl as representa l a condi ci n de no i mporta, es deci r,
no importa que la entrada sea 1 0.
238
i
I
I
http://libreria-universitaria.blogspot.com
Tabl a 6-8 Tabl as de exci taci n de l os fl i p-fl ops
QQ) QQ+I )
(a) RS
(c) D
QQ+I ) QQ)
0
0
I
I
0
I
0
I
0
I
0
I
0
0
I
I
O)
/,K
0
I
0
I
QQ+I ) QQ)
0
0
I
I
0
I
0
I
QG) QQ+I )
(d) r
0
I
0
I
0
I
I
0
Fl i p- f l op FS
La tabl a de exci taci n
del fl i p-fl op RS se muestra en l a Tabl a 6-8(a)' La
pri mera fi l a muestr"
"f
ni p-noP
"l "l
estado 0 en el ti empo ' Se desea
;j ;;i ;";
el estado o-u",
de^l a ocurrenci a
del
pul so. De Ia tabl a ca-
racterstica,
se encuent.a
que si S
y X son ambos 0, el flip-flop no cam-
bi ar estado. Por t";;;;;;as
entradas S
v
x
rteben ser 0' Si n embargo'
;;t;;;i l
rl se hace' ,r,t I
""uttdo
ocurre el
pul so'
vq
qYe
resul ta dej an-
;; ;i-hi;-flop
en el estado 0. As, R puede ser 1 0
v
9l
flip-flop
permane-
; ; J; ^eI
est ado o en *1.
por
t ant o, l a ent rada debaj o
de R se marca
por l a condi ci n
X de no i mPorta' -
Si el fl i p-fl op
".l a
en ei estado 0
y se desea
que vaya al estado 1' en-
torr"J. u pur?i, L t iatta caracterstica,
se encueltra. o^g.e
la nica forma
; ; ; . ; Qt r +1)
i gual a 1es hacer s: 1y R: 0. si el f l i p- f l op va a t ener
u.r. trur,ri r,
d"t
".l uao
1 al estado 0 se debe tener S: 0
y R
:
1'
La l ti ma ."";;i " ;; ;;;dt
ocurri r
en un fl i p-fl op
es estar en el
es t adol y per manec er enesemi s moes t ado. Ci er t ament eRdebeser 0
ya que no se requl "t"-p"""t
a 0 el fl i p-fl op' Si n embargo S.debe
ser 0 1'
Si es 0, el fl i p_fl op no cambi a
y permanec en el estado 1; si es 1 se l l evar
el f l i p. f l opal est adol como. " d" . " u. As , s s el i s t ac omounacondi ci n
de no importa.
El f l i P- f l oP
JK
La tabl a de exci taci n
para el fl i p-fl op JK se muestra en l a Tabl a 6-8(b)'
Cuando
ambos estado
presente
y estado si gui ente
t91"..0' l a entrada J
debe
permar,""", .rJl i "
""t*a
K
puede sr 0 1. Si mi l armente
cuando
239
http://libreria-universitaria.blogspot.com
2& LOGI CA SECUENCI AL
CAP. 6
el .estado presente y
si gui ente
sean l , l a entrada K debe permanecer
en 0
mi entras que
l a entrada
_J
l uede
ser 0 r. si .l -i ri p-p
,,ru
a tener una
transi ci n
del estado O^ar ei tado r, J debe s* i *"i ' I
r
l " o,r.
l a entr.l a
Jpone a I el f l i p-f l op.
Si n embargo, l a ent rada. i pr"J"-. r
0 1. si K: 0,
l a condi ci n
J: l pone
a uno et f i i p_nop
como se requi ere;
si K: l y J: 1,
el flip-flop
se complementa y
va t estado
0 al esta-Jo-i-to.,'o
se requiere.
De esta manera l a entrada K se marca
con una condi ci n
de no i mporta
para
l a transi ci n
de 0 a 1.
para
una transi ci n
del estado 1 al estado 0,
se debe t ener K: 1ya que
l a ent rada
f pr""
"
o; i ; ; : h"p.
pero,
l a en_
t rada J puede
ser 0 1, como J: 0 no t i ene ef ect o, y
J: l conj unt ament e
:oT
r(: 1 compl ementa
el fl i p-fl op
con una transi ci n
resul tante
del es_
tado 1 al estado 0.
La tabl a de exci taci .n
der fl i p-fl op
JK i l ustra l a ventaj a de usar este
ti po al di sear l os ci rcui tos
secul .,ci ar"..
ni r,""h;
;;"" ti ene tantas
condi ci ones
de no i mporta- i ndi ca que
l os ci rcui tos comb]naci onal es
para
l as funci ones
de entrda
deben ser^ms
si mpl es debi do a que l as funci o_
nes de no i mporta
si mpl i fi can
usual mente
l a i unci n.
Fl i p- f l op
D
La tabl a de exci taci n para
u.n fl i !-fl op
ti po D se muestra en ra Tabl a
6-8(c). De l a tabl a. caractersti ca,
f"i
O_Z(c), * ;;;"qre
el si gui ente
estado es si empre i gual a l a entrada D
"
i ;p;"ai "nl "' a"r
estado pre_
sent e. Por t ant o, D debe. ser
0 si
e{ r a1) - t i enet u; ; ; ; - , y 1si
e( +t )
ti ene que
ser l , i ndependi entemente
del val or
de
Oi rl l --
"'
Fl i p- f t op
f
La tabl a de exci taci " p1rq
el fl i p_fl op
? se muestra
en l a Tabl a 6_g(d). De
l a tabl a
caractersti ca,-Tabra
o-?(d),-se
encuentra que
cuando l a entrada
T: 1 el est ado del f l i p-f l op-. "
"o-pl "-ent a,
cuando T: 0 er est ado del
flip-flop permanece
sin cambiar.
poi
tanto cuando el estado del flip-flop
debe_permanecer
i gual ,
el requeri mi ento
es que
T:0. cuando el estado
del fl i p-fl op
debe cmpi u-""ti .",
i "" .". i guui u 1".'
-'
Ot ros f l i p-f l ops
El procedi mi ento
de di seo que
se va a descri bi r
en este captul o puede
ser usado con cuarqui er
fl i p-fl op.
Es necesari o que
."
"orror."
l a tabl a
caracterstica
del flip--flop,
de la cual es posible
desarrollar
una nueva
tabla de excitacin.
-La
iabla a"
"*"it""in
se
"."
;;;;;es para
deter_
mi nar l as tunci ones
de entada
der ni p-oo,
;;" ;;;;;;
en l a si sri en-
te secci n.
6- 7 PROCEDI MI ENTO
DE DI SEO
El di seo de un ci rcui to
secuenci ar
tempori zado
comi enza a parti r
de un
conj unto
de especi fi c-rci ones
y
curmi na en un di ug.u;
-l gi co
o una l i sta
de funciones
de Boole de las cuares se puede
obt"u.re.
et a'iagrama lgico.
http://libreria-universitaria.blogspot.com
SEC. 6- 7
PROCEDI MI ENTO OE DI SEO 241
En contraste con el ci rcui to combi naci onal ,
el cual est especi fi cado com-
pl etamente por una tabl a de verdad, un ci rcui to secuenci al requi ere una
iabla de veriad
para su especificacin.
El primer paso en el diseo de los
ci rcui tos .e".tetti al es es obtener una tabl a de estado o una representa-
.ir,
"qrri.rulente
tal como un diagrama de estado o ecuaciones de estado'
Un ci rcui to secuenci al si ncrni co se hace de fl i p-fl ops
y compuertas
combi naci onal es.
El di seo del ci rcui to consi ste en escoger l os fl i p-fl ops
y luego encontrar una estructura de compuertas combinacional, la cual,
tonj untamente
con l os fl i p-fl ops,
produce un ci rcui to
que copa l as carac-
tei sti cas enunci adas. Et ntmero de fl i p-fl ops se determi na
por el nme-
ro de estados necesari os en el ci rcui to. El ci rcui to combi naci onal
se deri va
de la tabla de estado
por los mtodos
presentados en este captulo. De
hecho, una vez que el ti po
y nmero de l os fl i p-fl ops se determi nen, el
p.o""ro de di se envuel ve una trasformaci n del
probl ema del ci rcui to
i ecuenci al al probl ema del ci rcui to combi naci onal .
De esta manera Ias
tcni cas de di seo de l os ci rcui tos combi naci onal es
pueden apl i carse'
Esta secci n
presenta un procedi mi ento
para el di seo de l os ci rcui -
tos secuenci al es.
unque su propsi to es servi r como
gua al pri nci pi ante,
este
procedi mi ento
pede acortarse con experi enci a. Este
procedi mi ento
," ,ni rri r.ri ra medi ani e una l i sta de pasos consecuti vos
que se recomi endan
como si gue:
1. se establ ece l a descri pci n en pal abras del comportami ento
del
ci rcui to. Esto
puede acompaarse
por el di agrama de estado, un
di agrama de ti empos, u otra i nformaci n
perti nente'
2. De l a i nformaci n dada del ci rcui to se obti ene l a tabl a de estado.
3. EI nmero de estados
puede reduci rse
por l os mtodos de reduc-
cin de estados si el circuito secuencial
puede caracterizarse
por
l as rel aci ones de entrada-sal i da
i ndependi entes del nmero de
estados.
4. Se asi gnan val ores bi nari os a cada estado si l a tabl a de estado
obteni da en l os
pasos 2 3 conti enen smbol os de l etras'
5. se determi na el nmero de fl i p-fl ops necesari os
para asi gnar una
l etra a cada una.
6. Se escoge el ti po de fl i p-fl ops
que se va a usar.
?. A parti r de l as tabl as de estado, se deduce l a exci taci n del ci rcui -
to y l as tabl as de sal i da.
8. usando un mapa o cual qui er otro mtodo de si mpl i fi caci n,
se de-
duce l as funci ones de sal i da del ci rcui to
y l as funci ones de entrada
del fl i p-fl op.
9. Se di buj a el di agrama l gi co.
Las especi fi caci ones en
pal abras del comportami ento del ci rcui to asu-
men
que ef l ector est fami l i ari zado con l a termi nol oga l gi ca di gi tal . Es
.,ece.ri o
que el di seador use su i ntui ci n
y experi enci a
para l l egar a- l a
correcta i nterpretaci n de l as especi fi caci ones del ci rcui to,
porque l as
descri pci one. tt pal abras pueden ser i ncompl etas e i nexactas. Si n em-
qq
,H
:fi
;i:
-i
i ;
i i
: ]
j
I
http://libreria-universitaria.blogspot.com
242 LOGI CA SECUENCI AL
CAP, 6
bg1so, una- vez que se haya establ eci do tal especi fi caci n y se haya obte-
ni do l a tabl a de estado, es posi bl e
hacer us del procedi mi ento
formal
para
di sear el ci rcui to.
La reducci n
del nmero de estados y l a asi gnaci n de val ores bi na-
rios a los estados fueron discutidos en la seccin o-s. En los ejemplos que
sisqe-n se^ asume que
el nmero de estados y su asignacin binaria
". "o-
noci da. como consecuenci a, l os pasos
3 y i a"t di Jeo no se consi dean
en l as di scusi ones subsecuentes.
Ya se ha menci onado antes que el nmero de fl i p-fl ops se determi nan
por
el nmero de estados. un ci rcui to puede
tener estdos bi nari os si n
usar si el nmero total de estados es menor que 2^. Los estados no usa-
dos se toman como condi ci ones de no i mporta durante el di seo de l a parte
del ci rcui to combi naci onal del ci rcui to.
El ti po de fl i p-fl op que se va a usar puede i ncrui rse en l as especi fi ca-
ci ones del di seo o puede depender en aquel l o que est di sponi bl e al di se-
ador. Muchos si stemas di gi tal es se construyen total mente con fl i p-fl ops
Jrl porque
ellos son los ms verstiles y
disponibles. cuando hay muchas
cl ases de fl i p-fl ops di sponi bl es, es aconsej abl e usar el fl i p-fl op BS o D para
apl i caci ones que requi eren trasferenci a de datos (tai es
omo regi stros
de despl azamrento). El ti po T para apl i caci ones que i ncl uy"tr
"o*pl u-u.r- taci n (tal es
como contadores bi nari os), y el ti po JK para apl i aci ones
general es.
La i nformaci n de sal i da externa se especi fi ca en l a secci n de sal i -
da de l a tabl a de estado. De el l a podemos
deduci r l as funci ones de sal i da
del ci rcui to. La tabl a de exci taci n del ci rcui to es si mi l ar a l a de l os fl i p-
fl ops i ndi vi dual es,
excepto que l as condi ci ones de entrada son di ctadas
por l a i nformaci n
di sponi bl e en el presente
estado y l as col umnas del
estado si gui ente de l a tabl a de verdad. El mtodo para obtener l a tabl a
de exci taci n y l as funci ones si mpl i fi cadas
de enfrada del fl i p-fl op es
mej or i l ustrarl o con un ej empl o.
se desea di sear un ci rcui to secuenci al tempori zado cuyo di agrama
de estado se da en l a Fi gura G-21. El ti po de fl i p-fl p usado es el Jr(.
El di agrama de estado consi ste en cuatro estdos con val ores bi na-
ri os ya
asi gnados. como l as l neas desi gnadas se marcan con un sol o d-
gi to
bi nari o si n una
,/,
se concl uye que hay una vai abl e de entrada
v
Fi gura 6-21 Di agrama de estado
http://libreria-universitaria.blogspot.com
s Ec . 6- 7
PROCEDI MI ENTO OE DI SEO
ni nguna vari abl e de sal i da.
(El estado de l os fl i p-fl ops
puede consi derarse
como l as sal i das del ci rcui to.) Los dos fl i p-fl ops necesari os
para represen-
tar l os cuatro estados se desi gnan como A y B. La vari abl e de entrada se
desi gna r.
La tabl a de estado
para este ci rcui to, deri vada del di agrama de es-
tado, se muestra en l a Tabl a 6-9. Ntese
que no hay secci n de sal i da
para este ci rcui to. se mostrar ahora el procedi mi ento_ para obtener l a
i abl a de exci taci n
y l a estructura de l a compuerta combi naci onal .
La deri vaci n d l a tabl a de exci taci n se faci l i tar si se reordena l a
tabl a de estado en forma di ferente. Esta forma se muestra en l a Tabl a
6-10, donde el estado
presente y l as vari abl es de entrada se reordenan en
l a frma de tabl a de verdad. El val or del estado si gui ente
para cada esta-
do presente y l as condi ci ones de entrada se copi an de l a Tabl a 6-9. La
tabi a de exci taci n del ci rcui to es una l i sta de condi ci ones de entrada
del fl i p-fl op
que causan l as transi ci ones de estado requeri das
y es una
funci n aef ti po de fl i p-fl op usado. Como este ej empl o especi fi ca fl i p-fl ops
JI(, se necesi tan col umnas
para l as entradas J
y K del fl i p-fl op A
(denota-
das
por JA
y KA)
y B
(denotadas por JB
y KB).
Tabl a 6-9 Tabl a de estado
Estado siguiente
Estado presente x: 0
x : l
0l
0l
l l
00
00
10
l 0
l l
00
0l
l 0
l l
La tabla de excitacin
para el flip-flop JK fue derivada en la Tabla
6-8ft). Esta tabl a se usa ahora
para deduci r l a tabl a de exci taci n del
ci rcui to. Por ej empl o, en l a pri mera fi l a de l a Tabl a 6-10 se ti ene una tran-
si ci n del fl i p-fl sp A de 0 en el presente estado a 0 en el estado si gui ente.
En l a Tabl a 6-81b) se encuentra
que l os estados de transi ci n de 0 a 0
requi eren
que l a entrada J:0
y l a entrada K:x. As 0
y X se copi an en
Ia pri mera-fi l a baj o JA
y KA, respecti vamente. Como l a pri mera fi l a mues-
tra tambi n l a trnsi ci n del fl i p-fl op B de 0 en el presente estado a 0 en
eI siguiente estado, 0
y X se copian en la primera columna bajo JB
y
!<4.
La sgunda fi l a de l a Tabl a 6-10 muestra una transi ci n del fl i p-fl opB de
O en l presente estado a 1 en el si gui ente estado. De l a Tabl a 6-8(b) se
encuentra
que una transi ci n de 0 a 1 requi ere que Ia entrada J: t y l a
entrada K: x. As 1 y X se copi an en l a segunda fi l a baj o JB
y KB res'
pecti vamente. Este
pi o"e"o se conti na
para cada fi l a de l a tabl a de
verdad
y para cada flip-flop con las condiciones de entrada especificadas
http://libreria-universitaria.blogspot.com
Tabl a 6-10 Tabl a de exci t aci n

I
I
Entradas de los circuitos
combi naci onal es
Salidas del circuito
combi naci onal
Entradas de los flip-flops
Estado
presente
Entrada
JB JA
KB
00
00
0l
0l
r 0
l 0
l l
l l
OXOX
OXI X
I XXI
OXXO
XOOX
XOI X
XOXO
XI XI
0
I
0
I
0
I
0
I
I
en- l a Tabl a 6-8(b) copi adas en l a fi l a correspondi ente
del fl i p-fl op parti -
cul ar consi derado.
. .
Hgase una pausa y consi drese
l a i nformaci n
di sponi bl e en una
tabl a de exci taci n tal como l a Tabl a 6-10. se sabe que
un' ci rcui to secuen_
ci al consi ste en un nmero de fl i p-fl ops y
un ci rcui to combi naci onal . La
Fi gura 6-22 muestra l os dos fl i p-fl bp.
i K-tr"""sari os p"r"
"r
ci rcui to y
un
rectngul o_ para representar
el ci rcui to combi naci onl .
E, cl aro del di a-
grama-
de
-bl oque
que l as sal i das del ci rcui to combi naci onal vayan a l as
entradas
de- l os fl i p-fl ops y a l as sal i das externas (si
se especi i i ca). Las
entradas
del ci rcui to combi naci onal
son l as entradas externas y l os va_
l ores de estado presentes
de l os fl i p-fl ops.
si n embargo, l as funci ones
de
Bool e que
especi fi can un ci rcui to combi naci onal
se deri van de una tabl a
de- verdad que muestra l as rel aci ones
de entrada-sal i da
del ci rcui to. La
tabl a- de verdad que
descri be el ci rcui to combi naci onal
es di sponi bl e en
l a tabl a de exci taci n. Las entradas del ci rcui to combi naci o.rl
,.
"rp"-
ci fi can baj o el presente
estado y
l as col umnas de entrada, l as sol i dos i el
ci rcui to combi naci onal
se especi fi can
baj o l as col umnas de entrada de
l os fl i p-fl ops. As, una tabl a de exci taci n trasforma
un di agrama de es-
tado a i a tabl a de verdad necesari a para
el di seo de l a parte
del ci rcui to
combi naci onal
del ci rcui t o secuenci ai .
l ,as funci ones de Bool e si mpl i fi cadas para
el ci rcui to combi naci onal
pueden
ahora deri varse. Las entradas son l as vari abl es A, B y
r; l as sal i -
das son l as vari abl es JA, KA, JB y KB. La i nformaci n
d l a tabl a de ver-
dad se trasfi ere a l os mapas de l a Fi gura 6-23, donde se deri van l as cuatro
funci ones
si mpl i fi cadas
de l a entrada de l os fl i p-fl ops:
I
)
JA
:
Bx'
JB: x
KA: BX
KB: AOx
Si gui ente
estado
00
0l
l 0
0l
l 0
l l
I I
00
244
http://libreria-universitaria.blogspot.com
A'
A
B'
B
Ci rcui to
combi naci onal
Sal i das
externas
(ni nguna)
Fi gura 6-22 Di agrama de bl oque del ci cui t o secuenci al
B
A
0
I
A l l
l,
-lr--^-T0-
x
t A : B x ' KA
--
Bx
X x
l - 1
j
X X
l B : x K B : A @x
Figura 6-23 Mapas del circuito comhinacional
El di agrama l gi co se di buj a en l a Fi gura 6-24 y consi ste en dos fl i p-fl ops,
dos compuertas AND, una compuerta de equivalencia y un inversor.
Con al guna experi enci a, es posi bl e reduci r l a canti dad de trabaj o en-
vuel to en el di seo del ci rcui to combi naci onal . Por ej empl o, es posi bl e
obtener la informacin para los mapas de la Figura 6-23 directamente de
l a Tabl a 6-9 si n tener que deri var l a Tabl a 6-10. Esto se hace repasando
si stemti camente cada estado presente y l a combi naci n de entrada en l a
Bx
I
il
)14
5
245
0
I
X x x X
X X x X
I
I v X
x X
http://libreria-universitaria.blogspot.com
Fi gur a 6- 24 Di agr ama l gi co del ci r cui t o secuenci al
Tabl a 6-9 y
comparndol a con l os val ores bi nari os del si gui ente estado
correspondi ente. Las condi ci ones de entrada necesari as, como se espe-
ci fi can por Ia exci taci n de l os fl i p-fl ops en l a Tabl a 6-8, se determi nan
entonces. En vez de i nsertar el 0, 1 as obteni dos en l a tabl a de exci ta-
ci n, se pueden escri bi r di rectamente en el cuadrado apropi ado del mapa
apropi ado.
La tabl a de exci taci n de un ci rcui to secuenci al con m fl i p-fl ops, fr
entradas por fl i p-fl op y n entradas externas consi ste en m
*
n col umnas
para
el estado presente y l as vari abl es de entrada y hasta 2-+" fi l as l i s-
tadas en al guna cuenta bi nari a conveni ente. La si gui ente secci n de esta-
do ti ene m col umnas, una para cada fl i p-fl op. Los val ores de entrada de
l os fl i p-fl ops se l i stan en mh col umnas, una para cada entrada de cada
fl i p-fl op. Si el ci rcui to conti ene
j
sal i das, l a tabl a debe i ncl ui r
j
col umnas.
La tabl a de verdad del ci rcui to combi naci onal se toma de l a tabl a de exci -
taci n consi derando el estado presente
m
+
n y l as col umnas de entrada
como entradas, y l os val ores de entrada del fl i p-fl op mk+j y l as sal i das
externas como sol l dos.
Di seo con est ados no usados
Un ci rcui to con m fl i p-fl ops puede tener 2- estados. Hay ocasi ones cuan-
do un ci rcui to secuenci al puede
usar menos que este mxi mo nmero de
estados.
Los
estados que no se usan en l a especi fi caci n del ci rcui to se-
cuenci al no se l i stan en l a tabl a de estado. Cuando se si mpl i fi can l as fun-
ci ones de entrada de l os fl i p-fl ops, l os estados si n usar pueden ser trata-
dos como condi ci ones de no i mporta.
EJEMPLO 6-1.' Compl etar el di seo del ci rcui to secuenci al
presentado en l a Secci n 6-5. Use l a tabl a de estado reduci da con
246
http://libreria-universitaria.blogspot.com
Tabl a 6- 11 Tabl a de exci t aci n par a el Ej empl o 6- 1
Estado
presente Ent rada
Estado
si gui ente Entradas de flip-flops Sal i das
ABC ABC SA RA SA RB SC RC
0
0
0
0
0
0
0
I
0
I
XO
0l
l 0
OX
XO
0l
l 0
OX
XO
0l
X
0
0
X
X
X
X
001 0 x 0
0l 0Oxl
011 ox x
100 l 0 0
001 0 x 0
100 I 0 0
10t x00
100x00
001 0 I 0
100x00
0
I
0
I
0
t
0
1
0
I
001
001
010
0r 0
0l l
0l l
100
100
l 0l
l 0l
Un
del
l a asi gnaci n 1 t al como se da en I a Tabl a 6- 6. El ci r cui t o debe
usar fl i p-fl ops RS.
La tabl a de estado de l a Tabl a 6-6 se redi buj a en l a Tabl a
6- 11 en l a f or ma conveni ent e par a obt ener l a t abl a de exci t aci n.
Las condi ci ones de entrada del fl i p-fl op se deri va de l as col um-
nas del estado presente y del si gui ente estado de l a tabl a de es-
tado. Como se usan l os fl i p-fl ops RS es necesari o referi rse a l a
Tabl a 6-8(a) para l as condi ci ones de exci taci n de este ti po de
fl i p-fl op. A l os tres fl i p-fl ops se l es da l os nombres de l as vari abl es
A, B y C. La var i abl e de ent r ada es r y l a var i abl e de sal i da es y.
La tabl a de exci taci n del ci rcui to sumi ni stra toda l a i nforma-
ci n necesari a para el di seo.
Hay tres estados si n usar en este ci rcui to: l os estados bi -
nar i os 000, 110 y 111. Cuando se i ncl uye una ent r ada de 0 1 con
estos estados no usados se obti enen sei s trmi nos mni mos, de
no i mporta: 0, 1, 72, 13, 14 y 15. Estas sei s combi naci ones bi nari as
no se l i stan en l a tabl a de verdad baj o el estado presente y l a
entrada y se tratan como trmi nos de no i mporta.
La parte del ci rcui to combi naci onal del ci rcui to secuenci al
se si mpl i fi ca por medi o de l os mapas de Ia Fi gura 6-25. Hay si ete
mapas en el di agrama, sei s mapas son para si mpl i fi car l as fun-
ciones de entrada
para los tres flip-flops RS. El sptimo mapa es
para si mpl i fi car l a sal i da y. Cada mapa ti ene sei s X en l os cua-
drados de l os trmi nos mni mos de no i mporta 0, l , 2, 13, 14 y 15.
Los otros trmi nos de no i mporta en l os mapas provi enen de l as
X en l as col umnas de entrada dr-.I fl i p-fl op de l a tabl a. Las fun-
ci ones si mpl i fi cadas se l i stan baj o cada mapa. El di agrama l gi co
obteni do de estas funci ones de Bool e se di buj an en l a Fi gura 6-26.
factor ol vi dado hasta este momento en el di seo es el estado i ni -
ci rcui to secuenci al . Cuando se l e da potenci a a un si stema di gi tal
: i
.t:
I
l ,
i ' '
t:i
i
ci al
247
http://libreria-universitaria.blogspot.com
X
t-;
t ^
;1
X
l !_)
X X
Y
Cx
AB 00 0t
C
l l l 0
0 l
[ "
el
L' o
i"
SB
=
A' B' x
RB= BC+ Bx .tc
=
x'
. v = Ax
Fi gura 6-25 Mapas para si mpl i f i car el ci rcui t o secuenci al del Ej empl o 6_1
por pri mera
vez, no se conoce en qu est ado se f i j ar el f l i p-f l op. Es cos-
t umbre sumi ni st rar una ent rada maest ra de puest a
a uno
l mast ei : re. set )
cuyo propsi to
es i ni ci ar l os estados de todos l os fl i p-fl ops ep el si stema.
Tpi camente, l a maestra de puesta a uno es una senal apl i cada a todos
Ios fl i p-fl ops asi ncrni cos antes de
' comenzar
l as operaci nes tempori za-
das.
-En
la mayora de los casos los flip-flops se llevan a 0 por medi de la
seal maesta de puesta a 0, pero algunos sern puestos
a 1.
por
ejemplo,
el ci rcui to de l a Fi gura 6-26 puede i ni ci al mente ponerse
a 0 con un estado
ABC: 001, ya que el est ado 000 no es un est ado vl i do para
est e ci rcui t o.
248
L_J
SA= Bx
RA= Cx
^ X
t4
Y v
U
r -
tl I
Y
A X
^ X X
f-T
E
i l |
-
' l
A
I
l ^
g
JJ
X X x x
A X
x Y
A
I X
Y
F
I
x
I
^
^ r l
I
x ^
r;
A
Li r_J
http://libreria-universitaria.blogspot.com
Fi gur a 6- 26 Di agr ama l gi co par a el Ej empl o 6- i
Pero
qu pasa si el ci rcui to no se pone a cero con un estado vl i do
i ni ci al ? O l o que es peor,
qu
pasa si debi do a l a seal de rui do o cual -
qui er otra razn i mprevi sta, el ci rcui to se encuentra en uno de estos es-
tados i nvl i dos? En este caso es necesari o asegurar que el ci rcui to
eventual mente vaya a uno de Ios estados vl i dos para regresar a l a ope-
raci n normal . De otra manera, si el ci rcui to secuenci al ci rcul a dentro
de l os estados i nvl i dos, no habr manera de l l evarl o de nuevo a l a secuen-
ci a i ntentada de l as transi ci ones de estado. Aunque se puede asumi r que
esta condi ci n i ndeseabl e supuestamente no ocurre, un di seador cui da-
doso puede preveni r que esta si tuaci n nunca ocurra.
Se haba expresado previ amente que l os estados si n usar en un ci r-
cui to secuenci al
pueden ser tratados como condi ci ones de no i mporta. Una
vez que se di sea el ci rcui to, l os m fl i p-fl ops en el si stema pueden estar en
cual qui era de l os 2- estados posi bl es. Si al gunos de estos estados se to-
maran como condi ci ones de no i mrorta, el ci rcui to puede ser i nvesti gado
para determi nar el efecto de estos estados si n usar. EI estado si gui ente
de l os estados i nvl i dos pueden determi narse del anl i si s del ci rcui to.
De todas maneras, es si empre acertado anal i zar un ci rcui to obteni dn de
un diseo, para
asegurar que no se cometan errores durante el proceso.
I
i
i
249
http://libreria-universitaria.blogspot.com
2fr LOGI CA SECUENCI AL
CAP. 6
EJEMPLO 6'2: Analzar el circuito secuencial obtenido en
el Ej empl o 6-1 y
determi nar el efecto de l os estados si n usar.
Los est ados si n usar son 000, 110 y
111. El anl i si s del ci r cui -
to se hace por
el mtodo esbozado en l a Secci n 6-4. Los mapas de
l a Fi gura 6-25 pueden
ayudar tambi n en el anl i si s. L' que se
necesi ta aqu es comenzar con el di agrama del ci rcui to de l a Fi -
gura 6-26 y
deri var l a tabl a o el di agrama. si l a tabl a de estado
deri vada es i dnti ca a l a Tabl a 6-6 (o
l a parte
de ra tabl a de esta-
do de l a Tabl a 6-11), entonces se sabe que
el di seo es correcro.
En suma, se debe determi nar l os estados si gui entes de l os esta-
dos si n usar 000, 110 y 111.
Los mapas de Ia Fi gura G-2b pueden
ayudar a encontrar el
si gui ente estado de cada una de l as entradas si n usar. Tmese,
por ej empl o' el estado si n usar 000. si en este ci rcui to, por al guna
razn, se encuentra en el presente
estado 000, una entrada .r:0
trasferi r a otro (o
al mi smo) estado si gui ente. Se i nvesti gar
pr i mer o
el t r mi no m ni mo ABCx: 0000. De l os mapas, se ve que
este trmi no mni mo no se i ncl uye en ni nguna funci n excepto
para
SC, es deci r, l a entrada de puesta a uno del fl i p_fl op C.
por
t-a1to, l os_ fl i p-fl ops A y B no cambi arn pero
el fl i p_fi op C se pon-
dr . a 1. como el pr esent e
est ado es ABC: 000, el . i gui " t r t " est ado
ser ABC: 001. Los mapas most r ar n t ambi n que el t r mi no
m ni mo ABCx: 0001
se i ncl uye en l as f unci ones par a
SB y
RC.
Por tanto B se pondr
a uno y
c se pondr
" "u.o.
comenzando
con ABC: 000 y poni endo
a uno a B, se obt i ene el si zui ent e est a-
do ABC: 010 ( C ya
se ha puest o
a cer o) . La i nvest i gaci n
del
mapa para
l a sal i da y demuestra que y ser
""to
paru
estos dos
t r mi nos m ni mos.
El resul tado del procedi mi ento
de anl i si s se muestra en el
di agrama de estado de l a Fi gura 6-27. El ci rcui to opera como se ha
di seado, si empre y cuando est dentro de l os
"rtudo.
001, 010,
011, 100 y 101. si al guna vez se encuentra en uno de l os estados
Fi gur a 6- 27 Di agr ama de est ado par a el ci r cui t o de l a Fi zur a 6_26
http://libreria-universitaria.blogspot.com
sEC. 6- 8
Dl s EO DE CONTADORES 251
i nvl i dos 000, 110 111, i r a al guno de l os estados vl i dos en unc
de Ios dos pul sos si gui entes. El ci rcui to ser as de autocomi enzo
y autocorrecci n
ya que eventual mente i r a un estado vl i do a
parti r del cual conti nuar operando de acuerdo a l o requeri do'
Una si tuaci n i ndeseabl e hubi era ocurri do si el estado si -
gui ente de 110 para r: t hubi era si do 111 y el estado si gui ente
de 111 par a r
:
0, 110. Ent onces, si el ci r cui t o comi enza de 110
111, ci rcul ar
y se mantendr entre estos dos estados
para si em-
pre. Los estados no usados
que causan tal comportami ento i nde-
seabl e deben ser evi tados; si se detecta su exi stenci a, el ci rcui to
debe ser redi seado. Esto puede hacerse ms fci l mente espec.i -
fi cqn{o un estado si gui ente vl i do para cual qui er estado si n usar
que se haya encontrado ci rcul ando entre estados i nvl i dos.
6- 8 DI SEO DE CONTADORES
Un ci rcui to secuenci al
que pasa por una secuenci a preestabl eci da de esta-
do_s despus de Ia apl i caci n de pul sos se l l ama un contador. Los pul sos de
eni .ada, Il amados
pul sos de cuenta,
pueden ser pul sos de rel oj , o el l os
pueden ori gi narse en una fuente externa
y pueden ocurri r en i nl terval os
stabl eci dos de ti empo o al eatori amente. En un contador, Ia secuenci a de
estados
puede segui i una cuenta bi nari a o cual qui er otra secuenci a de
estados. Los contadores se encuentran en l a mayora de l os equi pos
que
conti enen l gi ca di gi tal . El l os se usan para contar el nmero de ocurren-
ci as de Un evento
y se usan para generar Secuencl as cte tl empo
para Con-
t r ol ar l as oper aci ones en un si st ema di gi t al .
De l as di ferentes secuenci as
que un contador debe segui r. Ia secuen-
ci a bi nari a di recta es l a ms si mpl e
y l a ms di recta. Un contador
que
si gue I a se. cuenci a bi nar i a se l l ama cont ador bi nor i o. Un cont ador de n
bi ts consi ste en n fl i p-fl ops
y puede contar en bi nari o de 0 hasta 2"
-I.
Como un ej empl o, el di agrama de estado de un contador de 3 bi ts se mues-
tra en l a Fi gura 6-28. Como se ve en l os di agramas de estado i ndi cados
dentro de l os crcul os, Ias sal i das de l os fl i p-fl ops repi ten Ia secuenci a de
cuenta bi nari a con un regreso a 000 despus de 111. Las l neas di ri gi das
Fi gura 6-28 Di agrama de estado de un contador bi nari o de 3 bi ts
http://libreria-universitaria.blogspot.com
252
LoGI cA SEcUENCI AL
CAP. 6
entre crcul os no se marcan con val ores
de entrada-sal i da
como en otros
di agramas
de estado. Recurdese que l as transi ci nes
Je estado en dos
ci rcui tos secuenci al es
tempori zados
ocurren
durante
un pul so
" ,aroj ;
l os. fl i p-fl ops permanecen
en sus estados pr"r""i ".-,i -no
o".rrre ni ngn
pul so.
Por esta razn,, el pul so
de
.rel oj
vari abl e
cp ;; i rr.." expl ci ta_
mente como una vari abre
de/entrada
en
un
di agrama
de el tado o tbra de
est ado. Desde est e punt o-de/ vi st a,
el di agrama"d; ; t "d; "de
un cont ador
no ti ene que
mostrar val ores
de entrada"-sal i da
"
i ; l ;;go de l as l neas
di ri gi das.
La ni ca entrada al ci rcui to es el pul so
de cuenta, y
l as sal i das
se especi fi can
di rectamente
con l os estados
i resentes J; l ;. i ti p-nops.
oi
si gui ente
estado del contador
depende enteramente
de su estado presente
y
l a transi ci n
de estado o..,rr. cada vez que
ocurre el pul so.
Debi do a
esta propi edad,
se es.peci fi ca
compl etamente
un contadoi por
medi o de
una l i sta de secuenci a-
de cueno,
es deci r, l a ...ua".i -
de l os estados
bi nari os que
se l e suceden.
La secuenci a de cuenta de un contador
bi nari o de B estados se da en
Ia Tabl a 6-12. El si gui ente
nmero
en l a secuenci a
representa
el si gui ente
estado al canzado por
el ci rcui to despus de Ia apl i ca;i ";;i pul so
de cuen-
ta. La secuenci a de cuenta .e repi te ,r.r" u", haya al canzado
el l ti mo
val or, de tal manera que
el estadb 000 es el estao .i g;;rrt" despus de
111. La secuenci a de cuenta da toda l a i nformaci "
"""E."ri "
p"ru
di sear
el ci rcui to.
No es necesari o ri star l os estados .i gui ".rt",
"r,
una col umna
s.eparada- porque
se puede
l eer del nmero
si gui nte
.r, ...u.nci a.
EI
di seo de contadores si gue el mi smo procedni ento
que--aquel
esbozado
en l a Secci n 6-7, excepto que l a tabl a de
"*"i t".i "
i ;;;
obtenerse
di -
rectamente
de l a secuenci a
de cuenta.
Tabl a 6- 12 Tabl a de exci t aci n par a
un cont ado bi nar i o de t es bi t s
Secuenci a de cuenta
Entradas del flip-flop
TA,
Ao A l A2
TAz
TAo
0
0
0
0
00
0l
l 0
l l
00
0l
l 0
l l
0
I
0
I
0
I
t
0
I
0
0
0
t
t
0
0
0
I
La Tabl a 6-12 es l a tabl a de exci taci n para
el contador bi nari o de 3
bi t s. Se l es da desi gnaci ones
de vari are.
r, ,
; "; ; ;
l os t res f l i p-
fl ops. Los contadores
bi nari os se construyen
ms ri ;"rrl e-ente
con fl i p_
fl ops ? (o
fl i p-fl ops
J.If c9n
!
V
K uni dasi . La exci taci "' "f
ni p-nop para
l as entradas
? se deri va de ra i abl a de exci taci n
der fl i p-fl op T y por
i ns-
pecci n
de Ia transi ci n
de estado de una cuenta dada (estado presente)
http://libreria-universitaria.blogspot.com
sEc 6- 8
^ 1 1
4 O
TA,
=
At Ao
I I
TAt
=
Ao
DI SEO DE CONTADORES
253
I I
I I I
a l a si gui ente baj o el l qrfestado
si gui ente). como i l ustraci n, consi drese
tas e.rti adas del i ' l i p-fl fp' pr.u
Ia fi l a 001. El estado
presente aqu es 001
i "t
ri gui u"te es 01, el ' cal es l a si gui ente
cuenta en l a secuenci a.
Com-
i r"i ""l estas
dos cuentas'
se nota
que A2 va de 0 a 0;
y-as l o hace TA' :
; ; ; ; ; 0
porque el f l i p-f l op A2 deb
permanecer si n cambi ar cuando ocu-
;;;
";
p,rl to de rel oj . ' v de 0 a 1; y as ?Ar se marca con un l
porque
,i ni p i f"p debe ser compl ementado
e1 el si gui ente
pul so de rel oj . De ma-
.,.ru ,i -i l ur A0 va de 1 a 0, i ndi cando
que esta
puede compl ementarse,
y
as TA6 se marca con un 1, La l ti ma col umna con el estado
presente 111
." *puru
con l a pi i *"tu cuenta 000 l a cual es su estado si gui ente. AI
;;.;t-
" toot l os unos a todos l os ceros, se requi ere
que todos l os tres
fl i p-fl ops se comPl ementen.
Las funci on"r " "1tuda de l os fl i p-fl ops de l as tabl as de exci taci n
se si mpl i fi can
en l os mapas de l a Fi gura 6-29. Las funci ones de Bool e l i s-
i "au. i l " cada mapa especi fi can
l a parte de ci rcui t. combi naci onal
del
contador.
Incl uyend estas funci ones con l os tres fl i p-fl ops, se obti ene un
i ;i l r;
l gi co del contador de l a manera mostrada en l a Fi gura 6-30'
un cont*ador con n fl i p-fl ops
puede tener una secuenci a bi nari a de
menos d,e 2" nmeros. Un contador BDC cuenta Ia secuenci a bi nari a
desde 0000 hasta 1001
y regresa a 0000
para repeti r l a secuenci a. otros
contadores
pueden .eg.,i r urru .".uuttci a arbi trari a, l a cual
puede no ser
l a secuenci bi nari a i i recta. De todas fbrmas, el
procedi mi ento de di seo
es el mi smo. La secuenci a de cuenta se l i sta y l a tabl a de exci taci n
se
"ti """
comparando una cuenta
presente con l a si gui ente
cuenta l i stada
Ar I
- L
T Ao = 1
Figura 6-29 Mapas
para un contador binario de 3 bits'
Pul sos
de cuent a
Fi gur a l - 3O Di agr ama l gi co de un cont ador bi nar i o de 3 bi t s
http://libreria-universitaria.blogspot.com
2g LoGI cA SECUENCI AL
baj o el l a. Una secuenci a
de cuenta
repeti da, de tal forma que
el estado
pri mera
cuenta l i stada.
CAP, 6
tabul ada si empre asume una cuenta
si gui ente de l a l ti ma ehtrada es l a
EJEMPLO
6-J.. Di sese
un contador que
tenga una secuen-
ci a repeti da
de sei s estados como Ia l i stada n l a T"abl a 6-rg.
En esta secuenci a, ros fl i p-fl ops
B y
c repi ten l a cuenta bi -
nari a 00, 01, r0 mi entras que
ei fl i p-fl op A al terna entre l os estados
0 y l cada t res cuent as. La secuenci ade
cuent a para
A, B, C no
es bi nari a- di rect a y l os dos est ados 011 y. 111
no se usan. La es-
:oC:"gr.u
de l os_fl i p-fl ops
JI( resul ta en una tabl a de exci taci n
de
l a Tabl a 6-13. Las ent radas KB y
KC t i enen sorament e
1v X en
Tabl a 6-13 Tabl a de exci t aci n para el Ej empl o 6-3
Secuenci a de cuent a
Entradas del fl i p-fl op
JC
JB
JA
0
0
0
00
0 l l
l 0
00
0l
l 0
OXOXI X
OXI XXI
I XXI OX
XOOXI X
XOI XXI
XI XI OX
sus col umnas,
de t al , maner a que
esas ent r adas sean si empr e l .
Las otras funci ones
de entrada
de l os fl i p-fl ops p""J""
,i *pri ri
car se usando t mi nos
m ni mos
3 y T
" - o- " or r di " i or r " .
de no
i mpor t a.
Las f unci ones
si mpl i f i cadai
son:
J A: B
J B: C
JC: B,
KA: B
KB: I
KC: I
EI di agrama
l gi co del contador
se muestra en l a !.i gura
6-31(a). como hay dos est ados
si n usar, se anal i za el ci rcui t o
para
determi nar
su efecto.
El di agrama de estado as obteni do
se di buj a en l a Fi gura
6_81(b).
Si eI ci rcui ro por
al gur, ml l i vo va
a un estadb i nvl i do,
el si gui ente purso
de cuenta"ro
t.u.fi ".. u
uno de l os estados vri dos y
conti na
contando correctamente.
fu, el contador
se autoi ni ci a.
un contador autocomenzante
es
aquel que puede
comenzar
en cual qui er
estado y
"u".rtrrumenre
al canzar l a secuenci a
de cuent a noral .
http://libreria-universitaria.blogspot.com
(a) Di agrama l gi co del contador
( b) Di agr ama de est ado del cont ador
Fi gur a 6- 31 Sol uci n al Ej empl o 6- 3
6- 9 DI SEO CON ECUACI ONES
DE ESTADO
Un ci rcui to secuenci al
puede di searse
por medi o de ecuaci ones de estado
en vez de una t abl a de exci t aci n. Como se muest r a en l a Secci n 6- 4, una
ecuaci n de estado es una expresi n al gebrai ca
que da l as condi ci ones
para el si gui ente estado como una funci n del estado
presente y l as va-
. i ubl " . de ent r ada. Las ecuaci ones de est ado de un ci r cui t o secuenci al
expresan en Iorma al gebrai ca Ia mi sma i nformaci n Ia cual es expresada
en forma tabul ar en l a tabl a de estado.
El mtodo de l a ecuaci n de estado es conveni ente cuando el ci rcui to
se haya especi fi cado en esta forma de l a tabl a de estado. Este es el mto-
do prl feri do cuando se usan l os fl i p-fl ops D.
!l
mtodo
puede ser al gunas
veces conveni ente de usar con fl i p-fl ops JK. La apl i caci n de este proce-
di mi ento en l os ci rcui tos con f' l i p-f' l ops RS o ? es posi bl e pero enci erra una
255
http://libreria-universitaria.blogspot.com
256
LoGr cA
sEcuENct AL
cAp. 6
canti dad
consi derabl e,
de mani pul aci n
al gebrai ca.
Aqur se mostrar
l a
apl i caci n
de este
-mtodo
u t* l i r"rrrros
secuenci al es
p JK. El pu"1t.de
comienro"""'"uau
caso es tu
""uu"illu:3:""11,j1?:;
del fl i p-fl op
dei vado
e" tu S""ci ;;
.
Ci r cui t os
secuenci al es
con f l i p_f l ops
D
La ecuaci n
caractersti ca
del fl i p-fl op
D se deri va
en l a Fi gura
6_s(d):
QQ+t ) : D
Esta
ecuaci n
establ e"",.qu"
er si gui ente
estdo
del fl i p-fl op
es i gual
al val or present e
de su sari da

v--i "aependi ent e
a"i t o. del present e
est ado'
Est o si sni f i ca
que
ra* enrradu.
pa. u
el si gui ent e
est ado
en I a t a_ bl a de est ado
sn exact ament e
l as
mi smas que
ras' ent radas, . por
t ant o,
no es necesari o
deri var
l as condi ci ones
de^".,truau--"r"ni p_nop
para
l a t' abl a
de exci taci n
porque
esta i nformaci n
est di sponi bl e
ya
en l as
col umnas
del si gui ent e
est ado.
-, -T*": ",
por
ej empl o,
l a t abl a
de exci t aci n
de l a Tabl a
6-10.
La si - gurente
col umna
de estado para
A ti ene
cuatro
unos, de l a mi sma
manera que
l a col umna para
el si gurente
;hd"
d; t.
p;
;;.;;",
esre
ci rcui to
co, ' f l i p-f l op.
D, . se
escri be-n
t u. . *u. i nnes
de est ado y
se f brma
l a ecua_
crn con el l os a l as entrada.
D
"o.."rpondi entes:
A( t + r )
:
DA( A,
B, x)
:
>( 2, 4, s, 6)
B( t + l ) : DB( A,
B, x )
=
>( 1, 3, 5, 6)
donde DA y
DB son l as funci ones
de entrada
de l os fl i p-fl ops para
l os fl i p-
fl:or 1.t
B, respectivamente,
t
il; i,rr,",o.,
se expresa
como la suma
de
l os
cuatro
trmi nos
mni mos.
Las funci o"u.
.i ;;i ;;i as
pueden
obte-
nerse por
medi o de dos mapas
de tres vari abl es.
^r,u.
i rrrr"i ones
si mpl i fi -
cadas de entrada
al fl i p_fl op
son:
DA
=
AB' * Bx,
DB: A' x* B, x +ABx ,
Si hay estados
si n usar en el ci rcui to
secuenci al ,
deben consi derarse
conj untamente
con ras entrada*
"o-o- "o-bi naci ones
' d;-;;
i mporta.
Los
trmi nos
mni mos
de no i -portu
a.i - oteni os
;";" rr*r.u para
si m_
pl i fi car
l as ecuaci ones
de estat;
i ;; fi rnci ones
,i "
"ni rrau
del fl i p_fl op
D.
EJEM,LO
6' 4: Di sese
un ci rcui to
secuenci al
con cuatro
fl i p-fl ops
A, B, C y
D. Los u.l uo.
si gui entes
d;C,' y
D son i gua-
l es a l os estados presentes
. ,
v-
.".p".i i #Ll "r".
El estado
si s' i ente
de A ei i guar
a ru ci -"*r"ri u;i ;i ;.-u"i uao.
presentes
deCyD.
A part i r
del enunci ado
del probl ema,
es conveni ent e
escri bi r
pri mero
l as ecuaci ones
de estado para
el ci rcui to:
I
I
http://libreria-universitaria.blogspot.com
s Ec . 6- 9 DI SEO CON ECUACI ONES DE ESTADO 257
A( t +l ) : COD
B( t +r ) : A
C( t +t : 3
D( t + l ) : C
Est e ci rcui t o especi f i ca un regi st ro de corr mi ent o por real i men-
taci n (feedback
shi ft regi ster). En este regi stro, cada fl i p-fl op
trasfi ere o despl aza su conteni do al si gui ente fl i p-fl op cuando
ocurre un pul so
de rel oj , pero el si gui ente estado del pri mer fl i p-
fl op (A
en este caso) es al guna funci n del estado presente de
otros fl i p-fl ops. Como l as ecuaci ones de estado son muy si mpl es,
el fl i p-fl op ms conveni ente de usar es el ti po D.
Las funci ones de entrada del fl i p-fl op para este ci rcui to se
toman di rectamente de l as ecuaci ones de estado, con l a si gui ente
vari abl e de estado rempl azada por l a vari abl e de entrada del fl i p-
flop:
DA: C@D
DB: A
DC: B
DD: C
El ci rcui to puede construi rse con cuatro fl i p-fl ops D
'
una com-
puerta
OR-excl usi va.
Ecuaci ones de est ado con f l i p- f l ops JK*
La ecuaci n caractersti ca para el fl i p-fl op JI( se deri va en l a Fi gura
6- 6( d) : .
eQ
+ t ) : ( J) e, + ( K, ) e
Las vari abl es de entrada J y K se enci erran en parntesi s,
de tal manera
que no se confunda l os tmi nos AND de l a ecuaci n caractersti ca con l a
convenci n de dos Ietras l as cual es se han usado para representar l as
vari abl es de entrada de l os fl i p-fl ops.
El ci rcui to secuenci al puede deri varse di rectamente de l as ecuaci ones
de estado si n tener que di buj ar l a tabl a de exci taci n. Esto se hace por
medi o de un proceso
de apareami ento entre l a ecuaci n de estado para
cada fl i p-fl op y l a ecuaci n general
caractersti ca del fl i p-fl op J1(. El pro-
ceso de apareami ento consi ste en mani pul ar cada ecuaci n de estado
hasta que est en l a forma de ecuaci n caractersti ca. Una vez que se hace
esto, l as funci ones para l as entradas J y K pueden ser extractadas y si m-
pl i fi cadas. Esto debe hacerse para cada ecuaci n de estado l i stada, y su
nombre de vari abl e de fl i p-fl op A, B, C, etc., debe rempl azar Ia l etra
Q
en
Ia ecuaci n caractersti ca.
t Est a
par t e puede omi t i r se si n pr di da de cont i nui dad.
http://libreria-universitaria.blogspot.com
258 LoGI cA SECUENCI AL
CAP. 6
Una ecuaci n de estado dada para
e
(
+
1) puede
expresarse como
f unci n de
Q
y
Q' .
A menudo, o
Q
o
Q' o
ambas est r an ausent es en l a ex-
presi n
de Bool e. Es necesari o entonces mani pul ar l a expresi n al gebrai -
cament e hast a que
Q v Q' se
i ncl uyan en l as posi bi l i ddes que pueder"t
encont rarse.
EJEMPLO 6-5; Di sear un ci rcui to secuenci al con l os fl i p-
fl ops JI( para sati sfacer l as si gui entes ecuaci ones de estado:
A( t + l ) : A' B' CD + A' B' C + ACD + AC' D'
B( t + l ) : A' C + CD' + A' BC'
C( t +t ) : 3
D( t + l ) : D'
Las funci ones de entrada del fl i p-fl op A se deri van por este
mtodo rearregl ando l a ecuaci n de estado y aparendol con l a
ecuaci n caract er st i ca de l a si gui ent e manera:
A( t + t ) : ( B, CD + B, C) A, + ( CD + C, D, ) A
:
(J)A' + (K' )A
De i a i gual dad de estas dos funci ones, se deducen l as funci ones
de entrada del fl i p-fl op A como:
J
:
B, CD + B, C: B, C
Y: ( CD + C' D' ) '
:
CD' + C, D
La ecuaci n de estado para
el fl ,i p-fl op B puede rearregl arse
de l a si gui ent e manera:
B( t + l )
:
( A, C + CD, ) + ( A, C, ) B
Si n embargo, esta forma no es adecuada para aparearl a con l a
ecuaci n caractersti ca porque
l a vari abl e B, est fal tando. Si a
l a pri mera
canti dad en parntesi s
se l e apl i ca l a funci n AND con-
j unt ament e
con (B' *B),
l a ecuaci n permanece i gual , pero
con l a
vari abl e B' i ncl ui da. Ent onces:
B( t + t ) : ( A' C + CD, ) ( B, + B) + ( A, C, ) B
:
( A, C + CD, ) B, + ( A, C + CD, + A' C, ) B
:
( J) 8, + ( K, ) B
De Ia i gual dad de estas dos funci ones, se deducen l as funci ones
de ent rada para el f l i p-f l op B:
J : A, C + CD,
y:
( A' C + CD, + A, C, ) ,
:
AC, + AD
http://libreria-universitaria.blogspot.com
REFERENCI AS
259
de estado
para el fl i p-fl op C
puede mani pul arse
como
La ecuaci n
si gue:
C( r + t )
- -
S'
-
B( C' + C) :
BC' +
:
( J) C' + ( K' ) C
Las funci ones de entrada
del fl i p-fl op C son:
J: B
K: B'
BC
Fi nal mente,
l a ecuaci n de estado del fl i p-fl op D puede ser
mani pul ada
para el propsi to de apareami ento
de l a si gui ente
manera:
D(t + ,,
_:!r,,r:r,,
i
,i rrl
l o cual da l a funci n de entrada:
J : K: l
Las tnci ones
de entrada deri vadas
pueden acumul arse
y
l i s t ar s ec onj unt ament e. Lac onv enc i ndedos l et r as par ades i g-
nar l auu, i abt " deent r adadel f l i p- f l op, nous adaenl aant er i or
der i vaci n,
se usa a cont i nuaci n:
J A: B, C
KA: CD, + C, D
J B: A, C + CD,
KB: AC, + AD
J C: B
KC: B'
J D: I
KD:
I
El procedi mi ento de di seo
i ntroduci do aqu es un mtodo al terno
pa-
ra determi nar
l as trrr"l ont.
de entrada del fl i p-fl op del ci rcui to secuenci al
;;^"d"
se usan fl i p_1oo, JK.
parc
usar este
procedi mi ento cuando un
i ;g;;-" de estado o tbl a de estado se especi fi ca
i ni ci al mente,
es nece-
,ur
q"" Ias ecuaci ones
de estado se deri ven
por el procedi mi ento esbo-
,uao u" l a Secci n 6-4. El mtodo de l a ecuaci n de estado
para encon-
trar l as funci ones d l "tr"d" del fl i p-fl op
puede extenderse
para cubri r
estados si n usar l os cual es
se consi dran
como funci ones de no i mporta'
Los trmi nos mni mos de no i mporta se escri ben en l a forma de una ecua-
ci n de estado
y se -a.,i pul an
asta
que estn en l a forma de l a ecuaci n
caractersti ca
para el fl i i -fl op
parti cul ar consi derado'
Las funci ones J
y
K en Ia ecuaci n de estado den i mporta se toman como trmi nos
mni mos
de no i mporta cuando se si mpl i fi cutt
l u. funci ones de entrada de un fl i p-
fl op
parti cul ar.
1. Mar cus, M. P. , Sui t chi ng
N. J. : Pr ent i ce- Hal l ,
1975.
REFE RENC I AS
Ci rcui t s f or
Engi neer. s, 3a. ed' Engl ewood
Cl i f f s'
http://libreria-universitaria.blogspot.com
2@
LoGt cA
sEcuENct AL
cAp. 6
2 Mccl uskey,
E. J.,_Introci ucti on
to the Theory
of ,swi tchi ng
crcui ts.
Nueva
York:
McGraw-Hi l l
Book Co., 1965.
'
s*f i #; .E' '
swtchi ng
Theory,
dos vol menes.
Nueva
york:
John wi l ey and
n
f;;;*r'
M' , Bosi c
swtcrti ng
ci rcut Theory.
Nueva
york:
The Macmi l ran
co.,
5' Hi l l , F' J'
v
G. R.
pet er son,
I nt r od. uct i on, t o_sw t chi ng
Theor y and Log car
De_
srgn. Nueva
york:
John Wi l ey and Son., fgZ.
6' Gi vone,
D. D., Introducti on
to sui tchi ng
C i rcui t
Theory.
Nueva
york:
McGraw_
Hi l l Book Co., 1920.
t
ff#";l ' '
sutchi ng
and Fi ni te
Automata
Theorv. Nueva
york:
McGraw-Hi l l
l'i',it!?l,Yi#e
Losicat
Desig,t
of Dgitat
computers.
Nueva
york:
John wiley
Paul l ,
M' c' y s' H. unger , " Mi ni mi zi ng
t he_Number
of st at es i n I ncompl et el y
speci fi ed
Sequenri ar
s*i tchi ng
Fr;;;;;;.;;.' IRE
Trans.r-l i nr^rro,c
compu_
ers, Vol . EC-8, No. 3 (seti embl e
rgSgl , SO_OO.
Hartmani s,
J' , "on the.state
fusi gnment
probl em
for sequenti al
Machi nes
L,,
IRE Trans. on El ectron,
Co^putr.r,-"i .
BC-fo,
No. 2
guni o
1961), Ib|
-68.
Mccl uskey,
E' J' y
S.. H. unger, "A Note on the Number
of Internar
Assi gn-
ments for sequenti al
ci rcui ts".
1 E Trans. i n Etectroni c
computer,vol .
EC-g,
No. 4 (di ci embre
1959), 439-40.
PROBLEMAS
6-1'
|;SntirtLtma
lgico de un flip-flop
-RS temporizado
con cuatro compuer-
6-2' Di buj e
el di agrama
rgi co
de un fl i p-fl op
D tempori zado
con compuertas
AND
v
NOR.
6-3' Demuestre que
el fri p-fl op
D tempori zado
de l a Fi gura
6-5(a) puede
si mpl i fi _
carse en una compuerta.
6-4' considere
un flip--flop
JK' es decir un flip-flop
JK con un inversor
entre la
entrada
externa K' y l a entrada i nterna
K.
(a)
Obtenga
l a tabl a caractersti ca
del fl i p-fl op.
(b)
Obtenga l a ecuaci n
caractersti ca.
(c)
Demuestre que
atando l as dos entradas
externas
entre s se forma
un
flip-flop
D.
6-5'
un fl i p-fl op
con.entrada
n1i nci q11 de puesta
a uno, ti ene entradas
de puesta
a.uno
v
de puesta
a cero. Esta di fi ere
^d"
r;fl i p-i ;;;;;;;;"".i onal
en que
;H""ffi.a
uno y a cero simultneamente,
et tiip-nop
com resultado
,u p.,-
(a)
Obtenga ," ,ilti...:i1.1.:i.r].1
y
ecuacin
caracterstica
de un flip-flop
con domi ni o
de puesta
a uno (set_domi nate).
(b)
obtenea
el di agrama
l gi co
de un fl i p-fl op
con domi ni o de puesta
a uno
asi ncrni co.
J
I
I
8
9
10.
11.
http://libreria-universitaria.blogspot.com
PROBLEMAS 261
6-6. obtenga el di agrama l gi co de un fl i p-fl op JK maestro escl avo con compuer-
tas AD
y NOR. Incl uya una provi si n para poner a uno y a cero el fl i p-fl op
asi ncrni camente
(si n rel oj ).
6-7. Este probl ema i nvesti ga l a operaci n del fl i p-fl op JK maestro esci avo a tra-
vs de l a transi ci n bi nari a en l as compuertas i nternas de l a Fi gura 6-11.
Eval e l os val ores bi nari os
(0
1) en l as sal i das de l as nueve compuertas
cuando l as entradas del ci rcui to van a travs de l a si gui ente secuenci a:
( a)
CP: 0, Y: 0,
Q: 0 Y
J : K: r .
(b) Despus de que CP vaya a 1
(Ydebe i r a uno;
Q
permanece en 0).
(c) Despus de que CP vaya a 0 e i nmedi atamente despus J i ra 0
(Q
debe
i r a 1; Y queda si n afectarse).
(d) Despus de que CP vaya a 1 de nuevo
(Y
debe i r a 0).
(e) Despus de que cP vaya de vuel ta a 0 e i nmedi atamente despus de eso
K vaya a 0
( Q
debe i r a 0) .
(f) Todos l os pul sos que se suceden no ti enen efecto si empre
y cuando J y
K permanezcan en 0.
6-g. Di buj e el di agrama l gi co
(mostrando todas i as compuertas de un fl i p-fl op
D maestro escl avo. Use compuertas NAND.
6-9. Conecte un termi nal de puesta a cero
(cl ear) asi ncrni co o l as entradas de
Ias compuertas 2 y 6 del fl i p-fl op de l a Fi gura 6-12.
(a) Demuestre
que cuando el termi nal de puesta a cero es 0, el fl i p-fl op se
pone a cero y permanece as i ndependi entemente de dos val ores de l as
entradas CP
y D.
(b) Demuestre que cuando Ia entrada de puesta a cero es 1, no ti ene efecto
en l as operaci ones normal es tempori zadas.
6-10. El sumador compl eto de l a Fi gura P6-10 reci be dos entradas externas r y y;
Ia tercera entrada z vi ene de l a sal i da del fl i p-fl op D. El arrastre de sal i da
(carry output) se trasfi ere al fl i p-fl op en cada pul so de rel oj . La sal i da ex-
terna S d l a suma de x,
y y z. Obtenga l a tabl a de estado y el di agrama
de estado del ci rcui to secuenci al '
Fi gura P6-10
6-11. Deduzca l a t abl a de est ado
y di agrama de est ado del ci rcui t o secuenci al de
l a Fi gura P6-11.
Cul
es l a f unci n del ci rcui t o?
http://libreria-universitaria.blogspot.com
262 LOGI CA
SECUENCI AL
CAP. 6
J A: x B+y , 8,
JB: xA,
z: xyA * x, y, B
Obtenga el di agrama l gi co, l a tabl a
ecuaci ones de estado.
6-14. Reduzca el nmero de est ados en l a si gui ent e t abl a de est ado y t abul e l a
t abl a de est ado reduci da.
Estado
presente
Est ado si gui ent e
Sal i da
x: 0 x : l
x : 0 x : l
Fi gur a P6- l l
6-12. un ci rcui to secuenci al ti ene cuatro fl i p-fl ops A, B, c y D y una entrada .
Este se describe por medio de las siguie.,tes .c,racio.reri
A( t + t ) : ( CD, + C, D) x + ( CD + C, D, ) x,
B( t + t : 1
C( t +t ) : B
D( t +t ) : C
obtenga l a secuenci a de estados cuando r: r, comenzarrdo
desde el
est ado ABCD: 0001.
obtenga l a secuenci a de estados cuando r:0 comenzando desde el es-
t ado ABCD: 0000.
6-13. l l n ci rcui to secuenci al
ti ene dos fl i p_fl ops (A
V
B), dos entradas r y y, y
una
sal i da z. Las funci ones
de entrada-aet i ti p-rtp yi u.
r"".i ones de sal i da del
ci rcui to son l as si zui entes:
( a ,
( b)
f,q
:
xy'B'
KB: xy' + A
de est ado, el di agrama de est ado y l as
0
0
0
0
0
I
I
0
0
0
0
I
.0
I
0
I
J I
d c
Je
8a
d c
l b
sh
g a
a
b
c
d
e
f
I
h
http://libreria-universitaria.blogspot.com
PROBLEMAS
263
6 . 1 5 ' Co me n z a n d o c o n e l e s t a d o o d e l a t a b l a d e e s t a d o e n e l Pr o b ] e ma 6 - 1 4 , e n .
cuent re l a secuenci a
de sal i da
generada con l a secuenci a
de ent rada
01110010011.
6 - 1 6 . Re p i t a e l Pr o b l e ma 6 . l s u s a n d o l a t a b l a r e d u c i d a d e l Pr o b l e ma 6 - 1 4 . De -
muest re
que se obt i ene
l a mi sma secuenci a
de sal i da'
6 - | T . s u b s t i t u y a l a a s i g n a c i n b i n a r i a - 2 d e l a T a b l a 6 - Sa l o s e s t a d o s e n l a T a b l a
6_4
y obt eng^
l ; t ?; i ; de est ado bi nari o.
Rep t al o con l a asi gnaci n
bi nari a 3.
6 - 1 3 . o b t e n g a l a t a b l a d e e x c i t a c i n d e l f l i p - f l o p J K' d e s c r i t a e n e l Pr o b l e ma 6 - 4 .
6 - 1 9 . o b t e n g a l a t a b l a d e e x c i t a c i n d e u n f l i p - f l o p c o n d o mi n i o d e p u e s t a a u n o
(set -dJmi nat e) descri t a en el Probl ema
6-5'
6-20. un ci rcui t o secuenci al
t i ene una ent rada
y una sal i da. Ei di agrama
de es-
t ado se muest ; ; ;
i u ri guru
p6-20.
Di see un ci rcui t o secuenci al
con
(a)
ifio-oo. ?,
(b) flip-flops ' RS
v
(c) flip-flops Jl('
6 - 2 | . Di s e e e l c i r c u i t o d e u n r e g i s t r o d e 3 b i t s q u e c o n v i e r t e - e l n me r o a c u mu .
l ado en . f , . gi . i rl a su val o"r de compl emet o
duj cuando
i a ent rada : 1'
Los f l i p- f l opsdel r egi st r osondel t i pof i s T' Est ef l i p- f l opt i enet r es ent r adas :
d o s e n t r a d a s t i e n e n c a r a c t e r s t i c a s Rs y u n a t i e n e . c a r a c t e r s t i c a s T. L a s
ent radas nS *. -""
pu* f . . f "t i r el n"mero de 4 bi t s cuando una ent rada
}:
1. Use l a ent rada
? para l a conversron'
6 . 2 2 . Re p i t a e l Ej e mp l o 6 . l c o n I a a s i g n a c i n b i n a r i a Sd e l a ' | a b l a 6 . 5 . Us e l o s
flip-flops JK.
6-23. Di see un cont ador BDC con f l i p-f l ops
JK'
001
0/ 0
I / 1
r 00
l l
t / r
0/ o
0/ 0
/ / ot o
010/
l t /
000
Fi gura P6-20
6. 24. Di s eeunc ont ador quec uent ed gi t os dec i mal es deac uer doal c di go2' 4'
2, 1,
(Tabl a 1-2)' Use fl i P-fl oPs
T'
G-ru. Di see l os contadores
bi nari os
que ti enen l a si gui ente
secuenci a bi nari a
!'
repetida. Use fliP-floPs
JK'
0/ 0
http://libreria-universitaria.blogspot.com
2i l LoGI cA SECUENcI AL
( a)
0, 1, 2
( b)
0, 1, 2, 3, 4
( c )
0, 1, 2, 3, 4, b, 6
CAP 6
i
J
6- 26. Di see
un cont ador - con
l a si gui ent e
secuenci a
bi nar i a: 0, 1, l i , 2, 6, 4, 5,
i y
repet i ci n.
Use f l i p_f l ops
r?S.
6- 27' Di see
un cont ador
con l a si gui ent e
secuenci a
bi nar i a:
0, 1, J, i , 6, 1y r epe-
t i ci n.
Use f l i p-f l ops
?.
6- 28' Di see
un cont ad' r - - c- on
l a si gui ent e
secuenci a
L i nar i a: 0, 4, 2, 1, 6 y r epet i -
cin. Use flip-flops
J1(.
6-29. Repi t a el Ej empl o
6-5 usando f l i p-f l ops D.
6-30' Veri f i que
el ci rcui t o obt eni do
en el Ej empl o
6-b usando el mt odo de ra t abl a
de exci t aci n.
6-31' Di see
el ci rcui t o secuenci al
descri t o por
medi o de l as si gui ent es
ecuaci ones
de est ado. Use f l i p-f l ops
JK.
A( t + l ) : x AB + y A, C
+
r y
B( t + l ) : x AC + y , BC,
C( r + l ) : x ' B + y AB,
6-32' (a)
Deduzca l as ecuaci ones
cl e estado para
el ci rcui to secuenci al
espebi fi ca-
do en l a Tabl a 6_6,
Secci n 6-5. Li ste l o.s trmi nos
a.,"l .rp".ta. (b)
Deduzca
l as funci ones
de ent_rada
de ros fl i p-frops
a parti r
de ras ecuacrones
de esta-
do (v
ros trmi nos_de
no i mporta)
,"uno ei mtodo ;b.;;;"
en el Ej empl o
6-5. Use flip-flops
JK.
http://libreria-universitaria.blogspot.com
Reg istros, conta d o res
y
uni dad de memori a
i"i1.,f..',.r
. ='
7- 1 I NTRODUCCI ON
Un ci rcui to secuenci al tempori zado consi ste en un
grupo de fl i p-fl ops
y
compuertas combi naci onal es conectados
para formar un cami no de real i -
mentaci n. Los fl i p-fl ops Son esenci al es
porque, en su ausenci a, el ci rcui to
se reduce a un ci rcui to
puramente combi naci onal
(si empre y cuando no
haya un cami no de real i mentaci n). Un ci rcui to con fl i p-fl ops sol amente
se consi dera un ci rcui to secuenci al aun en l a ausenci a de compuertas
combi naci onal es.
un ci r cui t o MSI
que t i ene cel das de al macenami ent o dent r o de l es
por def i ni ci n un ci r cui t o secuenci al . Los ci r cui t os MSI que i ncl uyen f l i p-
fl ops .r otras cel das de al macenami ento se cl asi fi can comnmente
por l a
f unci n que el l as r eal i zan en vez de
por el nombr e " ci r cui t o secuenci al " .
Estos ci rcui tos MSI se cl asi fi can en una de tres categoras: regi stros,
contadores
o memori as de acceso al eatori o. Este captul o
presenta vari os
regi stros y contadores obteni bl es en l a forma de CI y se expl i ca su opera-
ci n. La organi Zaci n de l a memori a de acceso al eatori o se presenta tam-
bi n.
IJn
regi stro es un grupo de cel das de al macenami ento bi nari o capaz de
retener i nformaci n bi nari a. Un grupo de fl i p-fl ops consti tuyen un regi stro
ya que cada fl i p-fl op es una cel da bi nari a que acumul a un bi t de i nforma-
ci n. Un regi stro de n-bi ts ti ene un grupo de n fl i p-fl ops
y ti ene capaci dad
de acumul ar cual qui er i nformaci n bi nari a
que conti ene n bi ts. Adems
de Ios fl i p-fl ops, un regi stro puede tener compuertas combi naci onal es
que
ej ecutan ci ertas tareas de
procesami ento de datos. En su defi ni ci n ms
general , un regi stro consi ste en un grupo de fl i p-fl ops y compuertas -que
afectan su transi ci n. El fl i p-fl op reti ene i nformaci n bi nari a
y l as com-
puertas control an cundo
y cmo se trasfi ere l a nueva i nformaci n al re-
gi stro.
Los contadores se i ntroduj eron en l a Secci n 6-8. Un contador es
esenci al mente un regi stro
que pasa por una secuenci a predetermi nada
de estados despus de l a apl i caci n de pul sos de entrada. Las compuer-
tas en un contador se conectan de tal manera que se produce una secuen-
ci a
preestabl eci da ci e estados bi nari os en el regi stro. Aunque l os contado-
http://libreria-universitaria.blogspot.com
\
266 REGI STRoS, coNTADoRES Y UNI DAD DE MEMoRI A CAP 7
res son un ti po especi al de regi stro, es comn di ferenci arl os dndol es un
nombre especi al .
Una uni dad de memori a es una col ecci n de cel das de al macenami ento
conj untamente con l os ci rcui tos asoci ados necesari os para trasferi r l a
i nfbrmaci n de entrada y sal i da. Una memori a de acceso al eatori o
(RAM)
di fi ere de una memori a de sol o l ectura (ROIVI)
en que una RAM puede
trasferi r l a i nformaci n acumul ada haci a afuera (l ectura) y tambi n es
capaz de r eci bi r nueva i nf or maci n par a al macenami ent o ( escr i t ur a) .
Un
nombre ms adecuado para tal memori a podra ser memori a de Lecturu
y escr i t ur a.
Los regi stros, l os contadores y l as memori as se usan externamente
en el di seo de si stemas di gi tal es en general y computadores di gi tal es en
parti cul ar. Los regi stros pueden
usarse tambi n para faci l i tar el di seo
de ci rcui tos secuenci al es. Los contadores son ti l es para generar vari abl es
de ti empo para tempori zar y control ar l as operaci ones en un si stema di gi -
tal . Las memori as son esenci al es para al macenar l os programas y l os datos
en un computador di gi tal . EI conoci mi ento de l as operaci ones de estos
componentes es i ndi spensabl e para l a comprensi n de l a organi zaci n y
di seo de l os si stemas di gi tal es.
7- 2 REGI STROS
vari os t i pos de regi st ros est n di sponi bl es en ci rcui t os MSI . El ci rcui t o
ms si mpl e es aquel que consi ste en fl i p-fl ops si n ni nguna compuerra ex-
terna. La Fi gura 7-1 muestra tal regi stro construi do con cuatro fl i p-fl ops
ti po D y un pul so de rel oj comn de entrada. El pul so de rel oj de entrada,
cP, habi l i ta todos l os fl i p-fl ops de manera que Ia i nformaci n di sponi bl e
al presente
en l as cuatro entradas pueda ser trasferi da al regi stro de 4
bi t s. ' Las cuat r o sal i das pueden ser cat eadas par a obt ener I a i nf or maci n
acr r mul ada en el r egi st r o.
I3
Fi gur a 7- 1 Regi st r o de 4 bi t s
La forma en que l os fl i p-fl ops de un regi stro se di sparan es de supre-
ma i mportanci a. Si l os fl i p-fl ops se construyen con compuertas retenedo-
ras ti po D (gated
D-type l atches) como en l a Fi gura 6-5, l a i nformaci n
presente
en l a entrada (D)
de datos se trasfi ere a l a sal i da
Q
cuando el
habi l i tador (cP)
es 1. cuando cP va a cero, l a i nformaci n que estaba
t
I
http://libreria-universitaria.blogspot.com
sEc . 7- 2
REGI STROS 267
presente en l a entrada de datos
j ustamente antes de l a transi ci n
es re-
i eni da en Ia sal i da
Q.
En otras pal abras l os fl i p-fl ops son sensi bl gt*u
l u
"r*i " dei
pul so, y el regi stro
"e
ttabi hta durante el ti empo
que.CP: t'
Un regi stro
qrr" .urponde l a duraci n del
pul so se
_l l ama
comnmente
;;*p;;;;t
,"irn"doi
(gated latch),
v
la enlrada CP se marca con la
uu.i uUt" G
(en vez de CF).
l os retenedores
son ti l es
para al macenami ento
i ""rp"rf de Ia i nformaci n
bi nari a
que se va a trasferi r a un desti no ex-
terno. No se deben usar en el di seo de ci rcui tos
secuenci al es
que ti enen
conexi ones
de real i mentaci n.
--
C; ; " , e e"pl i -"n
l a Secci n 6-3, un f l i p-f l op
puede ser usado en el
i reo e ci rcuOs
sel ue-nci l es
tempori zados
si empre
y cuando sean sen-
.rbi ;r' a l a transi ci O"' Ori pt.q
u., ueZ de l a duraci n del
pul so. Esto si gni -
i i ;;"" l os fl i p-fl ops en ei regi stro deben ser del ti po de.di sparo
por fl anco
; ' ; ; ; ; r; ; i "f ! t ". ' N". mal mJnt e
no es
posi bl e di st i ngui r en un di agrama
i g' .;6"d"' ;"
fl i p-fl op es un retenedor de compuerta,
se di spara
por
fl anco es maestro ercl vo,
porque l os smbol os
grfi cos de l as tres son
i g";i ;. La di sti nci n
debe hcerse a parti r del nombre dado a l a uni dad'
;;;;p"
de fl i p_fl ops sensi bl es
a duraci n
de pul so se.l l aman
por l o gene-
ral -un retenedor
(l ;tch), mi entras
que un
grupo de fl i p-fl ops sensi bl es a
i ;".i .i l
a"
p"f.i , se l l aman ,n ,"gi ttro.* Un regi stro
puede ser si empre
rempl azado
por un ,"tenedor,
si el rempl azo se hace con cui dado con el fi n
" ."gururr.
qu" l as sal i das del retenedor nunca vayan a otras entradas
def l i p. f l opsqueest nac t i v adasc onel mi s mopul soder el oj comn. Er r
i u, ai ...,.i ones
subsi gui entes,
se asumi r
si empre
que cual qui er
grupo de
hi p i " di buj ados nsti tuye
un regi stro
v
que
!od9s
l os fl i p-fl ops son
del ti po de di sparo
por fl anco o maestro
"."l uub.
Si el regi stro es sensi bl e
u-tu arr.u"i n del
pti ro' ser tratado como un retenedor
(l atch)'
Regi st r o con car ga en
Par al el o
La trasferenci a
de nueva i nformaci n a un regi stro se denomi na
como l a
carga del regi str. si -!-g!os
l os bi ts del regi stro se cargan
si mul tneamen-
; ; ; ; "; " si o
pul ro de' rel oj , se di ce q. r" l u carga_se hace en
paral el o. Un
p"fr.
"pfi "ado
a l a entrada
"bp
a"t .egi .tto de
_l a
Fi gura 7-1 cargar tods
i u.
"rrui ro
entradas en
paral el o. En esta confi guraci n,
el
pul so de rel oj
debe ai sl arse del termi nal CP si el conteni do del regi stro se debe dej ar
si n cambi o. En otras pal abras, Ia entrada CP acta como una seal de
habi l i taci n
Ia cual co.ttrol a
l a carga de l a nueva i nformaci n al regi stro'
Cuando CP va a 1, l a i nformaci n de entrada se carga al regi stro. Si
9P
p.t-u"".. en 0, el conteni do del regi stro no cambi a. Ntese
que el gampi o
de estado en l a entrada ocurre en el fl anco posi ti vo del pul so. Si el fl i p-fl op
cambi a de estado en el fl anco negati vo, habr un
pequeo crcul o debaj o
del smbol o de tri ngul o en l a entrada CP del fl i p-fl op'
La mayora de Tos si stemas di gi tal es ti enen un
generador de pul sos
de rel oj maestro
que sumi ni stra un tren de
pul sos de rel oj . Todos l os pul -
*or . rel oj se upi i .un a todos l os fl i p-fl ops
y regi stros en el si stema. El
*Por
ej empl o el cI t i po 7475 es un r et enedo de 4 bi t s, mi ent r as
que el cI t i po 7' 1175 es
un regi stro de 4 bi ts.
http://libreria-universitaria.blogspot.com
268 REGI STROS. CONTADORES
Y UNI DAD DE MEMORI A
CAP. 7
generador
de pul sos
de rel oj maestro acta como una bomba que sumi ni s-
tra un ri tmo a todas l as partes
del si stema.
una sei ral de control separada
deci de e.ntonces qu pul so
de rel oj especfi co
tendr un erecto en un regi s-
tro parti cul ar.
En tal si stema, l os pul i os
de rel oj deben ser, conuntamente
con l a seal de control , apl i cados un, .o-puei t,
AND pr" qu" ra sal i da
de esta l ti ma se apl i que al termi nal
cp d;l ."gi .f.o-r;;trado
en l a Fi _
gura
7-1. cuando l a seal de control es 0, l a sal i a de l a compuerta AND
ser 0 y l a i nformaci n
al macenada
en el regi stro p..un.cr
si n cambi ar.
Sol amente
cuando l a seal de control
".
-ut
1, er pul so
de rel oj p".u.l
fo,
l a compuerta
AND y l l egar al termi nal
cp pra.i ru
l " nu"uu i nformaci n
s cargue al regi stro. Tal vari abl e de controi se l l l ma terl i naL de control
de carga.
El col ocar una compuerta AND en.el cami no
de l os pul sos
de rel oj
si gni fi ca que l a l gi ca se ej ecuta con pursos
de rel oj . Ei -gr"gu,
compuer-
tas l gi cas produce
.retardos _de
propagaci n
entre r g"*?"a",
er prrl .o
maestro y
l as entradas de rel oj de l os fl i p-fl ops.
para
"si ncroni zar
compl e_
'{
Carga
I
\
Fi gura 7-2 Regi stro de 4 bi ts con caga en paral el o
http://libreria-universitaria.blogspot.com
sEc. 7- 2
REGI STROS 269
tamente un si stema es necesari o asegrarse
que todos l os pul sos de rel oj
l l egan al mi smo ti empo a todas l as entradas de todos l os fl i p-fl ops de- tal
,rruLr".u
que todas cambian simultneamente.
Al ejecutar lgica con pulsos
de rel oj se i ntroducen demoras vari abl es
que pueden sacar al si stema de
si ncronl smo. Por esta razn, es aconsej abl e
(pero no necesari o si empre
y
cuando l a demora no se tenga en cuenta) apl i car
pul sos de rel oj di rectamen-
te a todos l os fl i p-fl ops
y control ar l a operaci n del regi stro con otras entra-
das, tal es como l as entradas S y ft de un fl i p-fl op RS.
Un regi stro de 4 bi ts con un termi nal de control de carga a base de
fl i p-fl ops s .. muestra en l a Fi gura 7-2. El termi nal cP del regi stro re-
ci e
pul .os si ncroni zados conti nuos
l os cual es se apl i can a todos l os fl i p-
flops. El inversor en el camino de CP causa
que todos los flip-flops se dispa-
t
fl
fl
}H
t.i t
ir
ii{
l ; 1
l 5
i
rti-i
,l i

u
t i
l +
l i r
t
$
rli
H
:3
Car ga
Borrado
Fi gura 7-3 Regi stro con carga en paral el o con fl i p-fl ops D
http://libreria-universitaria.blogspot.com
270 REGI STRoS, coNTADoRES Y UNI DAD DE MEMoRI A
CAP, 7
ren por el fl anco negati vo de l os pul sos
entrantes. El propsi to
del i nversor
es reduci r l a carga del generador
de pul sos
maestros. Esl o es debi do a que
el termi nal CP se conecta sol amente a una compuerta (el
i nversor) en vez
de a l as entradas de l as cuatro compuertas qne .e hubi eran podi o
nece-
si tar si l as conexi ones se hubi eran hecho di rectamente a l oi termi nal es
ci e rel oj de l os fl i p-fl ops (marcados
con pequeos
tri ngul os).
El termi nal de borrado (cl ear)
o de puesta
u cei o va a un termi nal
especi al en cada fl i p-fl op a travs de una compuerta separadora no i nver-
sora (noni nverti ng
buffer gate).
Cuando este termi nal va a 0 el fl i p-fl op
se borra asi ncrni camente. La entrada de puesta
a cero se usa p"ru i l "rrui
al regi stro a ceros antes de l a operaci n en cadenci a. La entred de puesta
a cero debe mantenerse en 1 durante l as operaci ones normal es tempori -
zadas (ver
Fi gura 6-14).
El termi nal de carga pasa a travs de una compuerta separadora (para
reduci r l a carga) y a travs de una seri e de compurtas ANb va a l os-ter-
mi nal es I y s de cada fl i p-fl op. Aunque l os pul sos
de rel oj estn presentes
conti nuamente,
en el termi nal de carga que control a l a peraci n del re-
gi strc.
Las dos compuertas AND y
el i nversor asoci ado con cada termi nal
1 determi nan l os val ores de ^R y
s. si el termi nal de carga es 0, ambos R
y
s son cero, y no ocurri r cambi o de estado con ni ngn pul so de rel oj .
As, l a seal del termi nal de carga es una vari abl e de controi l a cual puedl
preveni r
cual qui er cambi o de i nformaci n
en el regi stro si empre qu
est
su seal en 0. Cuando el control de carga vaya a l -. l as entradas 1, hasta
1., especi fi carn qu i nformaci n bi nari a se carga al regi stro en el si gui en-
te pul so
de rel oj . Para cada 1 que sea i gual a 1, l as entradas del fl i p-fl op
cor r espondi ent es son s: 1, R: 0. Par a cada 1 que sea i gual a 0, l s en-
t r adas de l os f l i p- f l ops cor r espondi ent es son S: 0, n: 1. As , el val or de
l a ent r ada se t r asf i er e al r egi st r o, si el t er mi nal de car ga es 1, el t er mi nal
' Je
borrado es 1, y el pul so de rel oj pasa
de 1 a 0. Este ti po de trasferenci a
se l l ama trasferenci a de carga en paraLel o porque
todos l os bi ts se cargan
si mul tneamente. Si l a compuerta separadora asoci ada con l a entrada de
carga se cambi a a una compuerta i nversor, entonces el regi stro se carga
cuando el termi nal de carga es 0 y se i nhi be cuando es 1.
un regi stro con-carga paral el a puede
ser construi do con fl i p-fl ops D
como se muestra en l a Fi gura 7-3. Los termi nal es
de rel oj y de borrad son
l os mi smos que antes. cuando el termi nal de carga
"."
i , l u, entradas 1
s-e trasfi eren al regi stro en el pul so
si gui ente de rel oj . Cuando el termi nal
de carga es 0, l as entradas del ci rcr.i to se i nhi ben y i os fl i p-fl ops D se car-
gan
con su val or presente,
manteni endo as el conteni do del i egi stro. La
conexi n de real i mentaci n en cada fl i p-fl op es necesari a cuarro se usa
del ti po D ya que el fl i p-fl ops ti po D no ti ene una condi ci n de entrada de
" no cambi o" . La ent r ada D det er mi na el si gui ent e est ado de l a sal i da con
cada pul so
de rel oj . Para dej ar l a sal i da si n cambi ar, es necesari o hacer
l a entrada D i gual a l a sal i da presente
Q
en cada fl i p-fl op.
Conf i gur aci n
con l gi ca secuenci al
Se t r at en el Cap t ul o 6 que
un ci r cui t o secuenci al t empor i zado consi st e
en un grupo
de fl i p-fl ops y
compuertas combi naci onal es. Como l os resi stros
+
http://libreria-universitaria.blogspot.com
Val or de estado si gui ente
C i rcui t o
combi naci onal
Regi stro
Fi gur a 7- 4 Di agr ama
de bl oque de un ci r cui t o secuenci al
estn di sponi bl es
fci l mente
como ci rcui tos
MSI, es conveni ente
al gunas
veces empl ear un regi stro como
parte d.e.un ci rcui to
secuenci al '
un di a-
;;;;"
-' Utoqrr"
de i rn ci rcui to
secuenci al
que usa u regi stro se muestra
:;i l ri sr;\-a.
El estado
presente del regi stro
y l as entradas
externas
determi nan
el si gui enl e
estai o del regi stro"
y l os val ores
.
de l as sal i das
externas.
Parte del ci ."ui to
combi naci nal
d-etermi na
el si gui ente
estado
y l a otra
parte gener l a. sul i das.
El si gui ente
val or del estado del ci rcui -
to combi naci onal
se carga en el regi stro ott un
pul so de rel oj ' Si el regi stro
ti ene un termi nal
" l i .gu,
te dJbe establ ecer
a 1; de otra manera'
si el
regi stro no ti ene t".-i .,"i " ."rgu
(como en l a Fi gut&' i -' t\,
el si gui ente
val or del estado ser trasferi do
automti camente
en cada
pul so. de rel oj '
La
part e de ci i cui t o combi naci onal
de un ci rcui t o
secuenci al
puede
ser ej ecutada
por.""rq"i .t"
de l osrntodos
di scuti dos
en el captul o
5'
Se
puede const rui r; ; ; ; ; *p"; rt as. SSI
con ROM' o con t rn arregl o
l gi co
o-r"rri "
tpl nl .-.u"ao^un
regi stro, es
posi bl e reduci r-el
di seo de un
ci cui to secuenci al
al de un ci rcui t
"o-' bi ttu.i onal
conectado
a un regi stro'
Sal i das
EJEMPLO
7-I:
Di sear
un ci rcui to
secuenci al
cuya
tabl a
de est ado se l i st a en I a Fi gura 7-5(a)'
La tabl a de estado
eJpeci fi ca
dos fl i p-f l oPS A
r Y
42. una en-
trada r
y una
".t.ua
y' t ti gui ente.estado
e i nformaci n
de sa-
i i du ." o"bti .tt"
di rectamente
de l a tabl a:
A, ( t + l )
:
) ( 4,
6)
Ar ( t + l )
:
) ( 1,
2, 5, 6)
y(Ap Az, x)
:
)(3,
7)
Los val ores de trmi nos
mni mos
son
para l as sal i das
A" At
y
r, i ". ."^i "s son el estado
presente
y l as vari abl es
de entrada'
Las
i l ;;i o".;
para el
"*i ro
si gui ente v
l a sal i da
pueden ser si mpl i -
fi cadas
poi medi o de maPas
Para
dar:
At ( t + l ) : A'
AzQ + l ) : Az @ x
l : Az x
EI di agrama
l gi co se muest ra en l a Fi gura 7-5(b)'
271
http://libreria-universitaria.blogspot.com
Ent ra
da
x
Est ado
present e
A t A 2
Est ado
si gui ent e
At A2
Sal r da
v
000
001
010
011
100
l 0l
l l 0
l l l
0 0
0 l
0 l
0 0
l 0
0 l
l l
0 0
0
0
0
I
0
0
0
I
( a)
Tabl a de est ado
Fi gur a 7- b Ej empl o de
Tabl a de ver dad de l a ROM
( b)
Di agr ama l gi co
conf i gur aci n
de un ci r cui t o secuenci al
Fi gura
2-6 Ci rcui to secuenci al que
usa un regi stro y una ROM
EJEMPLO
Z-2: Reptase el Ejemplo T_1 pro
sese ahora
una ROM y
un r egi st r o.
La ROM puede
usarse para
confi gurar el ci rcui to combi na_
ci onal y
el r egi st r o sumi ni st i ar
l os nf o- nops.
El nmer o
de en-
tradas de l a RoM es i gual ar nmero
ae ni i -rtops
ms el nmero
de ent adas ext er nas. El nmer o
de sar i das de i a RoM es i gual
al ni l mero
de fl i p-fl ops
ms el nmero
de sal i das externas. En este
caso se t i enen t r es ent r adas y t r es sal i das de r a RoM; de t ar f or -
ma que su tamao puede
ser de
g
x 3. La confi guraci n
se muestra
en l a Fi gur a 7- 6. La t abl a de ver dad de l a RdM es i dnt i ca a l a
tabl a de estado."o."
:":t_4o
presente" y
.,entradas,,
especi fi can-
do l a di r ecci n
de l a RoM y
l " est ado. i g, r i " . , t r ; y
l as, , sal i das, ,
que
especi f i can
l as sal i das
de l a RoM. Los val or es del est ado si _
g' i ent e
deben ser conect ados
de l as sal i das de l a RoM a l as en_
tradas del regi stro.
7- 3 REGI STROS
DE DESPLAZAMI ENTO
Un regi stro
capaz de despl azar su i nformaci n
bi nari a haci a l a i zqui erda
o haci a l a der echa se l l ama r egi st r o
de despl azami ent o.
i a conf i eur aci n
272
Di ecci n
r 23
Sal i das
123
000
001
010
0l l
100
l 0l
r l 0
l l l
000
010
010
001
100
010
r l 0
001
I
2
3
I
2
3
http://libreria-universitaria.blogspot.com
s Ec . 7- 3 REGI STROS DE DESPLAZAMI ENTO 273
l gi ca de un regi stro de despl azami ento consi ste en una cadena de fl i p-
fl ops conectados en cascada, con l a sal i da de un fl i p-fl op conectado a i a
entrada del si gui ente. Todos l os fl i p-fl ops reci ben un pul so de rel oj comn
el cual causa el depl azami ento de un estado al si gui ente.
El regi stro de despl azami ento ms senci l l o es aquel que usa sol amente
f l i p- f l ops como se muest r a en l a Fi gur aT- i . La sal i da
Q
de un f l i p- f l op dado,
se conecta a l a entrada D del fl i p-fl op a l a derecha. Cada pul so de rel oj
despl aza el cont eni do del r egi st r o un bi t en posi ci n a I a der echa. La en-
trada seral determi na qu va en el fl i p-fl op de l a extrema i zqui erda duran-
te el despl azami ento. La sal i da seri aL se toma de l a sal i da dei fl i p-fl op de
l a extrema derecha despus de l a apl i caci n de un pul so. Aunque este re-
gi stro despl ace su conteni do a l a derecha, si se vol tea l a pgi na se observa
que el regi stro despl aza su conteni do a l a i zqui erda. As un regi stro de
despl azami ent o uni di r ecci onal puede f unci onar como un r egi st r o de des-
pl azami ent o a I a der echa o a I a i zqui er da.
El regi stro en l a F' i gura 7-7 despl aza un conteni do con cada pul so de
r el oj dur ant e el f l anco negat i vo del pul so de t r ansi ci n.
( Est o
es i ndi cado
por el pequeo crcul o asoci ado con l a entrada de rel oj en todos l os fl i p-
fl ops.) Si se requi ere control ar el despl azami ento de tal manera que ocurra
sol amente con ci ertos pul sos pero no con otros, se debe control ar el ter-
mi nal CP del r egi st r o. Se most r ar ms adel ant e, que l as oper aci ones de
despl azami ento pueden ser control adas a travs de l as entradas D de l os
f l i p- f l ops en vez de a t r avs del t er mi nai CP. Si se usa el r egi st r o de l a
Fi gur a 7- 7 se puede cont r ol ar el despl azami ent o por medi o de una com-
puer t a AND como se muest r a a cont i nuaci n.
Tr asf er enci a en ser i e
Se-,di ce que un
Si ;!e-4e
di gi tal operq en modo
seri e cuando l a i nformaci n
seJr asf i ei e. y, g. e ^" i pu1- un bi t ea cad i i empo. EI cont e" i a a" un" r e-
gi stro se trasfi ere a otro despl azando l os bi ts de un regi stro al si gui ente.
La i nf or maci n se t r asf i er e bi t a bi t , uno cada vez despl azando l os bi t s
del regi stro fuente haci a el regi stro de desti no.
La trasferenci a en seri e de l a i nformaci n del regi stro A al regi stro B
se hace con r egi st r os de despl azami ent o, como se muest r a en el di agr ama
de bl oque de l a Fi gur a 7- 8( a) . La sal i da ser i al ( S0) del r egi st r o A va a l a
entrada seri al
(SI)
del regi stro B. Para preveni r l a prdi da de i nformaci n
al macenada en el r egi st r o f uent e, al r egi st r o A se l e hace ci r cul ar su i nf or -
maci n conect ando l a sal i da ser i al a su t er mi nal de ent r ada ser i al . El con-
Ent r ada
ser i al
Sal i da
ser i al
Fi gur a 7- 7 Regi st r o de despl azami ent cr
http://libreria-universitaria.blogspot.com
274 REGI STROS, CONTADORES Y UNI DAD DE MEMORI A CAP. 7
teni do i ni ci al del regi stro B es despl azado haci a afuera a travs de su
sal i da seri al y se pi erde
a no ser que se despl ace a un tercer regi stro de
despl azami ento. La entrada de control de despl azami ento determi na cun-
do y cuntas veces se despl azan l os regi stros. Esto se hace por medi o de
l a compuerta AND que permi te pasar l os pul sos
de rel oj a Ios termi nal es
CP sol amente cuando el control de despl azami ento es 1.
Supngase que l os regi stros de despl azami ento ti enen cuatro bi ts cada
uno. La uni dad de control que supervi sa l a trasferenci a debe ser desi gnada
de tal forma que habi l i ta l os regi stros de despl azami ento por medi o de l a
seal de control , para una duraci n de ti empo fi j a i gual a cuatro pul sos
de rel oj . Esto se muestra en el di agrama de ti empo de l a Fi gura 7-8(b). La
seal de control de despl azami ento se si ncroni za con el rel oj y cambi a su
val or
j ustamente
despus del fl anco negati vo del pul so de rel oj . Los si -
gui entes
cuatro pul sos
de rel oj encuentran l a seal de control de despl a-
zami ento en el estado 1, de tal manera que Ia sal i da de l a compuerta AND
conectada a l os termi nal es CP, producen l os cuatro pul sos Tr, Tr, Tz y
?r. El cuarto pul so cambi a el control de despl azami ento a 0 y l os regi stros
de despl azami ent o se i nhabi l i t an.
Asmase que el conteni do bi nari o de A antes del despl azami ento es
1011 y que el de B es 0010. La trasferenci a en seri e de A a B ocurri r en
Reloj
Contol de
despl azami ento
( a)
Di agr ama de bl oque
+
L
I
I
I
*
[LfLfLft
T2 T3
( b)
Di agr ama de t i empo
Fi gur a 7- 8 Tr asf er enci a en ser i e del r egi st r o A al r egi st r o B
T4 Tl
Cont ol de
d6pl azami ent o
http://libreria-universitaria.blogspot.com
SEC. 7 - 3 REGI STROS DE DESPLAZAMI ENTO 279
muestra en l a Fi gura 7-10. El bi t de arrastre del sumador compl eto se tras-
fi ere al fl i p-fl op D. La sal i da de este fl i p-fl op se usa entonces como arrastre
de entrada para el si gui ente par de bi ts si gni fi cati vos. El conteni do de l os
dos regi stros de despl azami ento se despl aza a l a derecha por un perodo
de un ti empo pal abra. Los bi ts de suma de Ia sal i da S del sumador compl e-
to pueden ser trasferi dos a un tercer regi stro de despl azami ento. Des-
pl azando l a suma a A mi ent r as que l os bi t s de A se despl azan haci a el ex-
t er i or , es posi bl e usar un r egi st r o par a al macenar el sumando y l os bi t s
de suma. La ent r ada ser i al ( SI )
del r egi st r o B es capaz de r eci bi r un n-
mero bi nari o nuevo mi entras que l os bi ts de suma se despl azan haci a afue-
ra durante l a suma.
La oper aci n del sumador en ser i e es como si gue. I ni ci al ment e, l os
r egi st r os A al macenan el sumando, el r egi st r o B al macena el ot r o suman-
do y el f l i p- f l op de bor r ado se l l eva a 0. Las sal i das ser i al es ( SO)
de A y B
sumi ni st r an un par de bi t s si gni f i cat i vos par a el sumador compl et o en r y
y. La sal i da
Q
de l os f l i p- f l ops da el ar r ast r e de ent r ada z. El cont r ol de
despl azami ento a l a derecha habi l i ta ambos regi stros y el fl i p-fl op del bi t
de arrastre; de esta manera, en el si gui ente pul so de rel oj ambos regi stros
se despl azan a l a der echa, el bi t suma de S ent r a en el f l i p- f l op de l a ex-
t r ema i zqui er da de A, y el ar r ast r e de sal i da se t r asf i er e al f l i p- f l op
Q. Ei
control de despl azami ento a Ia derecha habi l i ta l os regi stros por un nme.
ro de pul sos de rel oj i gual es al nmero de bi ts en l os regi stros. Para cada
pul so de rel oj sucesi vo, se trasfi ere un bi t suma nuevo a A, un nuevo bi t
de arrastre a
Q
y ambos regi stros se despl azan una vez a l a derecha. Este
proceso conti na hasta que el control de despl azami ento a l a derecha se
Despl azar
deecha
ap
Fi gur a 7- 1O Sumador en ser i e
http://libreria-universitaria.blogspot.com
2& REGI STRoS,
CoNTADoRES Y UNI DAD DE MEMoRI A
CA P . 7
i nhabi l i t a.
As , se l l eva a cab. l a suma pasando
cada par de bi t s coni u. -
l ament e con ei ar r ast r e pr evi o
a t r avs de un ci r cui t ci sumador compi et o
senci l l o y
t r asf i r i endo i a suma, un bi t a i a vez, al r egi st r oA.
si el nmer o nuevo t i ene que
agr egar se ai cont eni do del r esi st r o ; { .
este nmero debe ser trasferi do pri mero
en seri e al regi stro . Repi ti encl o
el pr oceso
u. a vez ms se agr egar el segundo nmei o ar , mer i pr evi o
en A.
comparando
el sumador en seri e con el sumador en paral el o
descri tr.r
en. l a secci n 5- 2, se not an l as si gui ent es
di f ' er enci as. El sumador en pa-
ral el o debe usar re.gi stros
con capaci dad
de carga en pararel o,
mi entras
que
el sumador seri al usa regi stroi
de despl azam]ento.
b-l -,r.".n ci e ci r-
cui t os del sumador compl et o en er sumadoi en par al el o
es i gual al nmer cr
de bi t s en l os nmer os bi nar i os, mi ent r as qr r "
l sumador en ser r e r equi e-
re soi amente
un ci cui to sumador compl eto y
un fl i p-fl op para el arrastre.
I' ' )xcl uyendo
l os regi stros,
el sumado. er.r pai al el o
es un' ci rcui to combi na-
ci onal , mi ent r as que
el sumador en ser i e es un ci r cui t o secuenci al .
El ci r -
cui t o secuenci al
en el sumador
ser i al consi st e en un ci r cui t o sumador
compl eto y
un fl i p-fl op que
acumul a el arrastre de sal i da. Esta es una ope-
r.aci .n en seri e tpi ca porque
ei resurtado
de una operaci " de un ti empo
de bi t, puede
depender no soramente
en l as entradai p.".."t..
si no en Ias
entradas previ as.
.
Para mostrar que l as operaci ones
de un ti empo der bi t en l os computa-
d. or es en. ser i e r equi er en
un ci r cui t o secuenci al ,
se di sear el sumador se_
r i al consi der ando
el ci r cui t o secuenci al .
EJEMPLO
7-3.. Di sear un sumador en seri e usando el pro-
cedi mi ent o
de l gi ca secuenci al .
Pri mero se debe esti pul ar que
dos regi stros
de despl azami en-
t o est n di sponi bl es par a
al macenar l os nmer os bi nai i os que
se
agregan seri al mente.
Las sal i das seri al es de i os regi stros se de-
si gnan con l as vari abl es r y
-1
. El ci rcui to secuenci a"i qu"-."
rru u
di sear no i ncl ui r r egi st r os
de despl azami ent o,
se col ocar an mas
t ar de par a
most r ar l a uni dad compl et a. El ci r cui t o secuenci ar
adecuado
ti ene dos entra-das, x y
,-
que sumi ni stran
un par
de
b.i ts s^i gni fi cati vos,
una sal i da s que"genera
l os bi ts ,;-u
t
t rti p_
f l op
Q
par a al macenar
el ar r ast r e.
EI est ado p. . r unt ,
u" q r r r , ni -
ni stra.el val or presente
del arrastre.
El pul so
e rel oi q.r, ai rpturu
el regi stro habi l i ta
el fl i p-fl op
e
para
cargar el arrstre nuevo.
Est e ar r ast r e es usado con el si gui ent e par
de bi t s en x y y. La
3! 13
de est ado que
especi f i ca el ci r cui t o secuenci ar se da en r a
Tabl a 7- 3.
El . estado presente
de
Q
es el val or presente
del arrastre (car_
ry) El arrastre presente
en
Q
se ugrega conj untamente
con Ias
ent r adas r y y par a pr oduci r
el bi t sua en l a sal i da S. EI si gui en_
t e est ado de
Q
es equi val ent e
al ar r ast r e de sal i da. Nt ese que
l as entradas de l a tabl a de estado son i dnti cas a ras entradas
en l a tabl a de verdad del sumador
compl eto excepto que el arras-
tre de entrada (i nput
carry) est ahor presente
en el estado
o
&
I
http://libreria-universitaria.blogspot.com
"t abl a
7- 3 Tabl a de exci t aci n
par a r r n sumador en ser i e
Estado
presente
Estado
Entradas si gui ente Sal i da
FJip-flops
de entrada
JQ
a
KQ
0
0
0
0
I
I
I
I
000
010
100
l l l
00
0l l
i 0l
l l l
OX
0x
OX
I X
X1
XO
XO
XO
0
I
I
I
0
I
0
0
I
y el arrastre de sal i da
(output carry) est ahora en el estado
si gui ent e de
Q.
Si se usa un f l i p- f l op D par a
Q,
se obt i ene el mi smo ci r cui t t l
que el de l a Fi gura 7-10 debi do a que l os requeri mi entos de l a en-
t r ada D son l os mi smos
que l os val or es del si gui ent e est ado. Si
se usa un fl i p-fl op JK paru
Q,
se obti enen l os requeri mi entos de
exci t aci n de ent r ada l i st ados en l a Tabl a 7- 3. Las t r es f unci ones
de Bool e de i nters, son l as funci ones de entrada del fl i p-fl op para
JQ
v
KQ y l a sal i da S. Est as f unci ones se especi f i can en l a t abl a
de exci taci n
y pueden ser si mpl i fi cadas
por medi o de l os mapas:
Fi gur a ?- 11 Segunda f or ma de un sumador en ser i e
2Bl
http://libreria-universitaria.blogspot.com
J Q: , y
KQ: x ' y ' : ( x +y) '
S: x @y @e
como se muest ra en l a Fi guru 7-! r, el ci rcui t o consi st e en t res
compuertas y un fl i p-fl op JK. Los dos regi stros de despl azami ento
se i ncl uyen tambi n en el di agrama para mostrar el sumador com-
pl eto en seri e. Ntese que l a sal i da s es una funci n no sol amente
de r y y si no tambi n del estado presente
de
Q.
EI si gui ente estado
de
Q
es una funci n de val ores presentes
de r y
),
que resul tan de
l as sal i das en seri e de l os regi stros de despl azami ento.
7- 4 CONTADORES DE RI ZADO
Los contadores MSI vi enen en dos categoras: contadores de ri zado y
con-
t adores si ncrni cos. En un cont ador de ri zado, l a t ransi ci n de sal i da del
fl i p-fl op si rve como fuente para
di sparar l os otros fl i p-fl ops. En otras pal a-
bras l as sal i das cP de todos l os fl i p-fl ops (con
excepci n de l a pri mera
I
se di sparan no por l os pul sos
de entrada si no por l a transi ci . q.te ocurre
en l os otros fl i p-fl ops. En un contador si ncrni co, l os pul sos
de entrada se
apl i can a todas l as entradas CP de todos l os fl i p-fl ops. El cambi o de estado
de un fl i p-fl op en parti cul ar
es dependi ente del estado pl esente
de otros
fl i p-fl ops. Los contadores MSI si ncrni cos se di scuten en l a si gui ente sec-
ci n. Aqu se presentan
al gunos contadores comunes de ri zado MSI v se
expl i ca su operaci n.
Cont ador bi nar i o de r i zado
un cont ador bi nari o de ri zado consi st e en una conexi n en seri e de f l i p-
fl ops compl ementari os (ti po
7 JK), con Ia sal i da de cada fl i p-fl op
"o.r."-
tado a l a entrada cP del si gui ente fl i p-fl op de mayor orden. El ?ti p-nop
que al macena el bi t menos si gni fi cati vo reci be l os pul sos
de cuenta ,1"
"t-- trada. EI di agrama de un contador de ri zado bi nai i o de 4 bi ts se muestra
err l a F-i gura 7-12. Todas l as entradas J y K son i gual es a l . El peque,r
crcul o en l a entrada CP i ndi ca que
el fl i p-fl op se compl ementa dui ante Ia
t ransi ci n del f l anco negat i vo o cuandr l a sal i da a l a cual est conect ada
282
Fi gur a 7- 12 Cont ador bi nar i o de r i zado de 4 bi t s
http://libreria-universitaria.blogspot.com
Tabl a 7- 4 Secuenci a de cuent a
par a
un cont ador bi nar i o de r i zado
.E
rt
i 1
i :
I
Secuenci a de cuent a Condi ci ones para compl ement ar l os f l i p-f l ops
A4 A3 A2 AI
0000
0001
0010
00l l
f-\^l
0r 00
0 I 0
- l
0l f
' o
0l l l
I
^A^l
1000
Compl ement ar At
Compl ement ar At
Compl ement af A,
Compl ement ar A,
Compl ement ar A,
Compl ement ar A,
Compl ement ar At
Compl ement ar At
y as sucesi vament e
i r de 1 a 0 y compl ement aA2
i r de 1 a 0 y compl ement a A2
;
i r de 1 a 0 y compl ement a. 4, 3
i r de 1 a 0 y compl ement a A,
i r de 1 a 0 y compl emert i : 1, ,
;
i r de 1a 0 y compl er ner i i a A1 :
i r de 1 a 0 y compl emenr a, 4,
A. l
A l
A l
A2
AI
A2
A3
va de 1 a 0. Par a ent ender l a oper aci n de un cont ador bi nar i o, se debe
'
hacer r ef er enci a a l a secuenci a de cuent a dada en l a Tabl a 7- 4. Es obvi o
que el bi t de ms baj o or den A, debe ser compl ement ado con cada pul so
de cuent a. Cada vez que A, va de 1 a 0, est e compl ement a Ar . Cada vez
que 42 va de 1a 0, est e compl ement a , 43 y as sucesi vament e. Por ej er n-
pl o. t mese l a t r ansi ci n desde l a cuent a 0111 hast a 1000. Las f l echas en
I a t abl a enf at i zan l as t r ansi ci ones en est e caso. A, se compl ement a con
el pul so de cuent a. Como . 41 va de 1 a 0, est e di spar a 42 y l o compl emen-
t a. Como r esul t ado, A2 va de 1 a 0, l o cual a su t ur no compl ement a A, . A.
va de 1 a 0, I o cual compl ement a Ar . La t r ansi ci n de sal i da de A. , , . si se
conecta al si gui ente estado, no di spara el si gui ente fl i p-fl op ya que sta va
desde 0 hasta 1. Los fl i p-fl ops cambi an cada uno a su ti empo en rpi da
cadenci a y l a seal se propaga por el contador a manera de ri zo. Los conta-
dor es de r i zo se l l aman al gunas veces conodor es asi ncr ni cos.
Un cont ador bi nar i o con una cuent a i nver t i da se l l ama un cont ador
b nar i o decr eci ent e. En est e cont ador l a cuent a bi nar i a se di smi nuye en 1
con cada pul so de cuent a de ent r ada. La cuent a de un cont ador decr eci en-
t e de 4 bi t s comi enza con el bi nar i o 15 y
cont i na con l as cuent as bi nar i as
74, 1, 3, 12,
,
0 par a pasar
de nuevo a 15. El ci r cui r o de l a Fi eur a T- 12 f un-
ci onar como un cont ador bi nar i o decr eci ent e si l as sal i das se t oman de
l os termi nal es compl ementados
Q'
de todos l os fl i p-fl ops. Si sl o estn di s-
poni bl es l as sal i das normal es de l os f l i p-fl ops, el ci rcui to debe ser modi fi -
cado l i geramente de l a forma descri ta a conti nuaci n.
Una I i st a de una secuenci a de cuent a de un cont ador bi nar i o decr e-
ci ente muestra que el bi t de menor orden debe ser compl ementado con
cada pul so de cuent a. Cual qui er ot r o bi t en l a secuenci a es compl ement a-
do, si el bi t previ o de menor orden va de 0 a 1. Por tanto, el di agrama de
un contador bi nari o decreci ente se ve de l a mi sma forma que el de l a Fi gu-
283
http://libreria-universitaria.blogspot.com
2A REGI STROS, CONTADORES Y UNI DAD DE MEMORI A CAP. 7
ra 7-r2, teni endo en cuenta que todos l os fl i p-fl ops se di sparan con ei
f l anco posi t i vo
del pul so. (EI pequeo
c rcul o en l a ent rada CP debe est ar
ausente.) Si se usan fl i p-fl ops de di sparo por fl anco negati vo, entonces l a
entrada cP de cada fl i p-fl op debe estar conectada a Ia sal i da
Q'
del fl i p-
fl op anteri or. Entonces cuando
Q
vaya de 0 a 1,
Q,
i r de 1 a 0 y se compl e-
mentar el si gui ente fl i p-fl op como se requi ere.
Cont ador BDC de r i zado
un contador deci mal si gue una secuenci a de di ez estados y regresa a 0 des-
pus de Ia cuenta de 9. Tal contador debe tener por Io menos cuatro fl i p-
fl ops para representar cada dgi to deci mal , como un dgi to deci mal se
representa por medi o de un cdi go bi nari o con cuatro bi ts al menos. La
secuenci a de estados en un contador deci mal se deduce del cdi go bi nari o
usado para representar un dgi to deci mal . Si se usa BDC, l a secuenci a de
estados es como se muestra en el di agrama de estado de l a Fi gura ?-18.
Esto es si mi l ar a un contador bi nari o, excepto que el estado despus de
1001 (cdi go para el dgi to deci mal 9) es 0000 (cdi go para el dgi l o deci -
mal 0) .
@-o-@-@-@
ir
it
@-@-@-@*@
Fi gura 7-13 Di agrama de estado de un contador BDC deci mal
El di seo para un contador de ri zado deci mal o para
cual qui er conta-
dor de ri zado que no si ga l a secuenci a bi nari a no es un procedl mi ento
di -
recto. Las herrami entas formal es del di seo l gi co pueden servi r sol amente
com-o una gua.
Un producto
sati sfactori amente acabado requi ere l a i nge-
nui dad e i magi naci n del di seador.
El di agrama l gi co de un contador de ri zado BDC se muestra en l a
Fi gura 7-14.* Las cuatro sal i das se desi gnan por
el smbol o
Q
con un sus-
cri to numri co i gual a l a carga bi nari a del bi t correspondi ente en el cdi go
BDq Los fl i p-fl ops se di sparan en el fl anco negati vo, es deci r, cuando l a
seal cP va de 1 a 0. Ntese que l a sal i da de
Q'
es apl i cada a l as entradas
cP de ambas
Qz
y
Qs
y Ia sal i da de
Qz
se apl i ca a l a entrada cp de
Q+.
Las entradas J y K se conectan a una seal permanente
de 1 a l as sa-
l i das de l os fl i p-fl ops como se muestra en el di agrama.
un contador de ri zado es un ci rcui to secuenci al asi ncrni co y no pue-
de ser descri to por ecuaci ones de Bool e desarrol l adas para
desri bi r ci r-
cui tos secuenci al es tempori zados. Las seal es que
afectan l a transi ci n
*Est e
ci rcui t o es si mi l ar al CI t i Do 7490.
+
http://libreria-universitaria.blogspot.com
Fi gur a 7- 14 Di agr ama l gi co de un cont ador de r i zado BCD
del fl i p-fl op dependen del orden en el cual cambi an de 1 a 0. La operaci n
.i .o"tua".
puede ser expl i cada
por una l i sta de condi ci ones
para l as
i rarrri ci ones
dl l os fl i p-fl ops. Estas condi ci ones se deducen del di agrama
Igi co
y del conoci mi ento
de cmo opera un fl i p-fl op Jl l . Tngase en cuenta
cu"attao l a entrada CP va de 1 a 0, el fl i p-fl op se pone a uno si J
:\ y se po-
ne a cef o si K: 1, se compl ement a
si J: K- - 1,
y se dej a si n cambi o si J:
K--0. Las si gui entes soi l as condi ci ones
para l a transi ci n de estado de
cada fl i p-fl op:
1.
Qr
se compl ementa
en el fl anco negati vo de cada
pul so de cuenta.
2.
Q2
se compl ement a
si
Q,
: 0 y
Q'
va de I a 0'
Q:
se bor r a si
Qt
: 1YQr v adel a0'
3.
Qn
se compl ementa
cuando
Qz
va de 1 a 0'
4.
Qe
se compl ementa
cuando Qn Q,r
:
11 y
Qr
va de 1 a 0'
Qt
se bo-
r r as i Q, Q2 es 0YQr v adel a0'
Para veri fi car
que estas condi ci ones
resul tan en Ia secuenci a
reque-
ri da por un contadoi de ri zado BDC, es necesari o veri fi car
que l as transi -
Pulsos
de conteo
Q l
n, o
o
[ --l -l n
o
I T--' _l o -r--l
Or
o o o o o
-j --! ---qj i
I
t g
F i g u r a ? - l s Di a g r a ma d e t i e mp o p a r a e l c o n t a d o r d e c i ma l d e l a F i g u r a T - 1 4
285
L-rL-n-[
Q4
http://libreria-universitaria.blogspot.com
Qs Qa Q2 Q1 Qa Q Qz Qt
I o
2
dgito l o
I
dgi to t oo d gi t o
Fi gur a 7- 16 Di agr ama de bl oque de un cont ador BDC deci mal de 3 dcadas
ci ones del fl i p-fl op si gan ci ertamente una secuenci a de estados como se
especi fi ca por el di agrama de estado de l a Fi gura ?-13. Otra manera de ve-
ri fi car l a operaci n del contador es deduci r el di agrama de ti empo para
cada fl i p-fl op de l as condi ci ones l i stadas anteri ormente. Este di agrama
se muestra en l a Fi gura 7-15, con l os estados bi nari os l i stados despus de
cada pul so de rel oj .
Q1
cambi a de estado despus de cada pul so de rel oj .
Q2
se compl ementa cada vez gue
Qr
va de I a 0 durante el ti empo en que
Q,
: 0.
Cuando
Q
se vuel ve 1,
Q2
per manece en 0.
Qn
se compl ement a
cada vez
eue Qz
va de 1 a 0.
Q*
permanece
en puesta a cero durante el
ti empo en que
Q,

Q,
es 0. Cuando arnbas
Qz
y
Q*
se convi erten en 1,
Q,
se compl ementa cuando
Q,
vaya de I a 0.
Q
se pone a cero en Ia
si gui ent e t r ansi ci n de
Q,
.
EI cont ador BDC de I a Fi gur a 7- 14 es un cont ador en dcada, ya que
cuent a desde 0 hast a
g.
Par a cont ar en deci mal de 0 hast a 99 se necesi t an
dos contadores en dcada. Para contar desde 0 hasta 999 se necesi tan tres
contadores en dcada. Los contadores mul ti dcada pueden
construi rse
conectando i os contadores BDC en cascada, uno para cada dcada. Un
cont ador de t r es dcadas se muest r a en l a Fi gur a 7- 16. Las ent r adas de
l a segunda y t er cer a dcadas vi enen de
Q*
de l a dcada pr evi a.
Cuando
Qs
en una dcada vara de 1 a 0, esta di spara l a cuenta para
l a dcada
conti gua de mayor orden mi entras que su propi a dcada va de
g
a 0. Por
ej empl o, Ia cuenta si gui ente a 399 ser 400.
7. 5 CONTADORES SI NCRONI COS
Los cont ador es si ncr ni cos se di st i nguen de l os cont ador es de r i zado en
que l os pul sos de rel oj se apl i can a l as entradas o termi nal es cP de todos
l os f' l i p-fl ops. El pul so
comn di spara todos l os fl i p-fl ops si mul tneamente
en vez de una a l a vez en cadenci a como en un cont ador de r i zado. La de-
ci si n de cundo se debe o no compl ementar un fl i p-fl op se determi na de
l os val or es de l as ent r adas J y
K en el moment o del pul so. Si J: K: 0, el
f l i p- f l op per manece
si n cambi o. Si J: K: I el f l i p- f l op se compl ement a.
Un procedi mi ento
de di seo para cual qui er ti po de contador si ncr-
ni co f ue pr esent ado
en l a Secci n 6- 8. El di seo de un cont ador bi nar i o de
3 bi t s se l l ev a cab en det al l e y se i l ust r a en l a Fi gur a 6- 30. En est a sec-
ci n se pr esent an al gunos cont ador es t pi cos MSI si ncr ni cos y se expl i ca
su oper aci n. Se debe t ener en cuent a que no hay necesi dad de di sear un
contador si se puede encontrar en l a forma de CI comerci al .
286
.l
http://libreria-universitaria.blogspot.com
Cont ador bi nar i o
El di seo de contadores bi nari os si ncrni cos es tan si mpl e que no es ne-
cesari o pasar por un proceso de di seo l gi co secuenci al ri guroso. En un
contador bi nari o si ncrni co, se compl ementa el fl i p-fl op en l a posi ci n de
menor orden con cada pul so. Esto si gni fi ca que l as entradas J y K deben
,
mantenerse en l a l gi ca 1. un fl i p-fl op en cual qui er otra posi ci n se com-
pl ementa con un
pul sq si empre
y cuando todos l os bi ts en l as posi ci ones
d" tnenot orden sean i gual es a 1, porque l os bi ts de menor orden
(cuando
estn dados en 1) cambi arn a 0 en el si gui ente
pul so de cuenta. La cuen-
ta bi nari a di ce cuando el si gui ente bi t de mayor orden debe ser compl e-
mentado. Por ej empl o, si el estado
presente de un contador de 4 bi ts es
A ABAI , A: : 0011, l a si gui ent e cuent a ser 0100. At se compl ement a
si empr e. 4, se compl ement a
por que el est ado pr esent e de Ar : 1. A se
compl ement a
por que el est ado
pr esent e de A2Ar : 11. Per o Ar no se com-
pl ement a por el est ado
pr esent e de A| A2A : 011, I o cual no dar una con-
di ci n de sol o unos.
Los cont ador es' bi nar i os
si ncr ni cos t i enen un pat r n r egul ar
y pueden
fci l mente ser construi dos con fl i p-fl ops conrpl ementados
y compuertas'
EI patrn regul ar puede verse cl aramente del contador de
' 1
bi ts i l ustrado
en i a Fi guruT-l l . Los termi nal es CP de todos l os fl i p-fl ops estn conecta-
r
"
fuente de pul sos de rel oj comn. La pri mera etapa A' ti ene J y K
i gual a 1 si el contadbr est habi l i tado. Las otras entradas J y K son i gual es
a 1 si todos l os bi ts previ os de menor orden son i gual es a 1 y se habi l i ta l a
cuenta. La cadena de compuertas AND generan l a l gi ca necesari a
para
I as ent r adas J y K en cada et apa. El cont ador
puede expandi r se a cual qui er
nmero de etapas; cada etapa contendr un fl i p-fl op adi ci onal
y una com-
puer t a AND
que da una sal i da de 1si t odas l as sal i das de l os f l i p- f l ops
previ os son 1.
Ntese
que l os fl i p-fl ops se di sparan con el fl anco negati vo del pul so.
Esto no es esenci al aqu como l o fue en el contador de ri zo. El contador
po-
dra haberse di sparado en el fl anco
posi ti vo del pul so'
Cont ador bi nar i o cr eci ent e- decr eci ent e
En un cont ador bi nar i o si ncr ni co cr eci ent e- decr eci ent e el f l i p- f l op en l a
posi ci n de menor orden se compl ementa con cada pul so. un fl i p-fl op en
i ual qui er ot r a posi ci n se compl ement a con un pul so si empr e
y cuando t o-
dos l os bi ts de menor orden sean i gual es a cero. Por ej empl o, si el esta-
do pr esent e de un cont ador bi nar i o de 4 bi t s cr eci ent e- decr eci ent e es
Al A3A2At : 1100, l a cuent a si gui ent e ser 1011. A, si empr e se compl e-
ment a. A, se compl ement a
por que el est ado pr esent e de A,
: 0.
A se
compl ement a
por que el est ado
pr esent e de Ar Al
: 00.
Per o Aa no se com-
pl ement a por que el est ado pr esent e de A, A2At : 100, el cual no es una
condi ci n de soi o cer os.
Un cont ador bi nar i o cr eci ent e- decr eci ent e
puede ser const r ui do como
se muest r a en I a Fi gur a 7- 17, except o
que l as ent r adas de l as compuer t as
AND deben veni r de l as sal i das compl ement adas de
Q'
y no de l as sal i das
287
http://libreria-universitaria.blogspot.com
_ i
t -
6.
c
a
O
r.
-
!
!
< , J
288
http://libreria-universitaria.blogspot.com
o
@
O
a
o
o
4
(,
@
.
L
b!
6 : x
< r ; j
o
289
http://libreria-universitaria.blogspot.com
29O REGI STROS, CONTADORES Y UNI DAD DE MEMORI A
CAP. 7
normal es
Q
de l .s fl i p-fl ops previ os.
Las dos operaci ones se pueden
com-
bi nar en un ci r cui t o. un cont ador bi nar i o
" upu,
d" cont ar hci a ar r i ba o
haci a abaj o se muesta, en l a Fi gura T-1g. Los fi i p-fl ops r empl eados en este
ci rcui to pueden
consi derarse como fl i p-fl ops
JK coi l os termi nal es J v K
uni dos ent r e s . cuando l a ent r ada del cnt r ol cr eci ent e es 1, el ci r cul i o
cuent a haci a ar r i ba, ya que l as ent r adas ? se det er mi nan a par t i r
de l os
val ores previ os
de l as sal i das nori :i al es en
e.
cuando l a entrda del con-
t r ol decr eci ent e es 1, el ci r cui t o cont ar hci a abaj o, ya que l as sal i das
compl ementadas
Q'
determi nan l os estados de l as eni rads ?' . cuando
ambas seal es cr eci ent e y decr eci ene son 0, el r egi st r o no cambi a de es-
t ado per o per r nanece
en l a mi sma cuent a.
Cont ador BDC
un cont ador BDC cuent a en bi nar i o deci mal codi f i cado desde 0000 hast a
1001 y
de vuel ta a 0000. Debi do al regreso a 0 despus de l a cuenta de
g,
un contador BDC no ti ene un patrn
regul ar como el contador bi nari o di -
r ect o. Par a di sear el ci r cui t o de un cont ador si ncr ni co BDC es necesa-
r i o pasar por
un pr ocedi mi ent o
de di seo como el di scut i do en I a Secci n
6- 8.
La secuenci a de cuent a de un cont ador BDC se da en l a Tabl a 7- 5. La
exci taci n para
l os fl i p-fl ops ? se obti enen de l a secuenci a de cuenta. Una
sal i da y
se rnuestra tambi n en l a tabra. Esta sal i da es i gual a 1 cuancl o
el contador de estado presente
es 1001. De esta manera,
v' p.,"de habi l i tar
l a. cuent a- de
- l a
si gui ent e dcada de mayor or den mi ent i as que
el mi smo
pul so
cambi a l a presente
dcada de 1001 a 0000.
Las funci ones de entrada del fl i p-fl op de l a tabl a de exci taci n pueden
ser si mpl i fi cadas por
me_di o de l os mapr. Los estados si n usar pra l os
trmi nos mni mos 10 a 1b se toman como trmi nos de no i mporta. Las fun-
ci ones si mpl i f i cadas
se l i st an a cont i nuaci n:
TQt : I
r Qz:
QQ'
TQq:
QzQt
TQa:
QaQt
+
QoQr Qt
y
:
QeQt
El ci rcui to puede
di buj arse fci l mente
con cuatro fl i p-fl ops z, ci nco com-
puer t as
AND y una compuer t a OR.
Los contadores si ncrni cos BDC pueden
conectarse en cascada para
l brmar un contador para
l os nmeros deci mares de cual qui er l ongi tud. La
conexi n en cascada se hace como en I a Fi gur a T- 16 except o que l a sal i da
1,
debe ser conectada a l a entrada de cuenta" de l a dcad si gui ente de m-
vor or den.
t
l
http://libreria-universitaria.blogspot.com
Tabl a 7- 5 Tabl a de exci t aci n
par a
un cont ador BDC
Secuenci a de cuent a Entradas del fl i p-fl op Arrast re de sal i da
TQt TQz TQo TQa
Qt Qz Qo Qa
0001
00l l
0001
0l l l
0001
0011
0001
l l l l
0001
1001
0000
0001
0010
00l l
0100
0l 0l
0l l 0
0l l l
1000
l 00l
0
0
0
0
0
0
0
0
0
I
Cont ador bi nar i o con car ga en
par al el o
Los contadores usados en l os si stemas di gi tal es a menudo requi eren una
condi ci n de carga en paral el o para trasferi r un nmero bi nari o i ni ci al
ant es de l a oper aci n de cont eo. La Fi gur a 7- 19 muest r a el di agr ama l gi -
co de un regi stro
que ti ene una caractersti ca de carga en pal al el o y puede
operar tambi n como un contadol .* La entrada de control de carga, cuan-
do es i gual a 1, i nhabi l i ta l a secuenci a de cuenta
y causa l a trasf' erenci a
de datos 1' hasta 1., a l os fl i p-fl ops 41 hasta Aa respecti vamente. Si l a
entrada de carga es 0 y l a entrada del control de cuenta es 1, el ci rcui to
opera como un contador. Los pul sos de rel oj causan entonces cambi os del
estado de l os fl i p-fl ops de acuerdo a l a secuenci a de cuenta bi nari a. Si
ambas entradas de control son 0, l os pul sos de rel oj no cambi an el estado
del r egi st r o.
El t er mi nal de sal i da del ar r ast r e se convi er t e en 1 si t odos l os f l i p-
f l ops son i gual es a l mi ent r as se habi l i t a I a ent r ada de cuent a. Est a es una
condi ci n
para compl ementar l os fl i p-fl ops
que al macenan el bi t si gui ente
de mayor orden. Esta sal i da es ti l para expandi r el contador a ms de
cuatro bi ts. La vel oci dad del contador se aumenta si se genera el arrastre
di rectamente de l as entradas de todos l os fl i p-fl ops en vez de i r a travs
de una cadena de compuer t as AND. De maner a si mi l ar , cada f l i p- f l op se
asoci a con una compuerta AND que reci be todas l as sal i das de l os fl i p-
fl ops anteri ores di rctamente
para determi nar cundo el fl i p-fl op debe
ser compl ementado.
La oper aci n del cont ador se r esume en l a Tabl a l - 6. Las cuat r o en-
tradas d control : borrado, CP, carga
y cuenta determi nan el si gui ente
estado de sal i da. La entrada de borrado es asi ncrni ca
y cuando sta es
0, causar
que el contador sea puesto a cero, i ndependi entemente de l a
presenci a de l os pul sos de rel oj de otras entradas. Esto se i ndi ca en l a
*Est o
es si mi l ar per o no i dnt i co al CI t i po 74161.
http://libreria-universitaria.blogspot.com
292 REGI STROS, CONTADORES
Y UNI DAD DE MEMORI A
CAP, 7
t abl a por
medi o de l as ent r adas X, I as cual es si r nbol i zan l as condi ci ones
de no_i mpor t a par a l as ot r as
ent r adas, bi en sea que su val or sea 0 1. La
entrada de borrado debe i r al estado de 1 para Las operaci ones tempori za-
das l i st adas en l as si gui ent es t r es ent r adas en i a t abl a. con l as eni r adas
de car ga y,
cuent a i gual es a 0, l as sal i das no cambi an bi en sea que se apl i -
que
un pul so
en el t er mi nal CP o no. t t na ent r ada. de car ga de 1- causa una
trasf' erenci a
de l as entradas /1 a 1., al regi stro durant' e el fl arrco posi _
t i . ' o de un pul so
de ent r ada. La i nf or maci n
de ent r ada se car ga a un r e-
gi r i t r o
a pesar
del val or del t er mi nal de cuent a, por que
l a ent r acl a de cuent a
se i nhi be cuando el t er mi nal de car ga es 1. Sl ei t er r ni nal de cuent a se
manti ene er.r 0, Ia entrada de cuenta control a l a operaci n del contador.
l , as sal i das cambi a' a I a si gui e' t e cuent a bi nar i a, en l a t r ansi ci n dei
f l anc' posi t i vo
de cada pul so
de r el oi , per o
no ocur r e ni ngn cambi o de
est adc si l a ent r ada de cuent a es 0.
El cont ador de 4 bi t s most r ado en l a Fi gur a 7- 19 puede
encapsul ar se
en un ci . Se necesi t an dos cI par a
l a const r ucci n
cl un cont ador de
g
bi t s: - cuat r o cI par a
un cont ador de 16 bi t s y as sucesi vament e. El ar r as-
t r e de sal i da de u; : cI debe ser conect ado al i er mi nal de cuent a del cI que
al macena l os cuai r o bi t s si gui ent es de mayor or den del cont ador .
Los contadores con l a caractersti ca
e carga en paral el o que ti enen
un nmero especi fi co de bi ts son muy ti l es en el di so de i oi si stemas
di gi tal es. Ms tarde se tratarn como regi stros con carga y caractersti ,
cas de i ncremento.
La funci n de i ncremento
es u.ru op"ru"i n que
agrega
1 al conteni do presente
del regi stro. Al habi l i tar el control de cuent d.-u-
rante el perodo
de un pul so
de rel oj . er conteni do del regi stro se puede
i ncr ement ar
en 1.
un contador con- carga en paral el o puede
ser usado para generar
cual -
qui er
nmero deseabl e de secuenci as
de cuenta. un co' l ador de r\ mdu-
l os (abrevi ado
en i ngl s mod N) es un contador que pasa por
una secuenci a
repeti da de N cuentas. Por ej empl o, un contadr bi nari de 4 bi ts es un
cont ador de 16 mdul cr s ( mod- 16
count er ) . Un cont ador BDC es un cont a_
dor de 10 mdul os ( mod- t O
count er ) . En al gunas apl i caci ones, se puede.
no estar i nteresado
ccn i os l y' estados parti cul are. qe uru el contaor de
\' ndul os. Si este es el caso, entonce; el contador con carga en paral el o
puecte
usarse para
co;rstrui r cual qui er ccntador de l / mdul os, si endo l y'
c' ral qui er val or escogi ri o. Esto se expl i ca en el si gui ente ej empl o.
EJEMPLa
z-4: construir
un contador de 6 mdulos usando
el ci r cui t o MSI especi f i cado
en l a Fi gur a 7_l g.
La Fi gura 7-20 muestra cuatro maneras en l as cual es un con-
tador con carga en paral el o puede
usarse, para generar
una se_
cuenci a de sei s cuentas. En cada caso el contro-l de cuenta se
l l eva a 1 para
habi l i tar l a cuenta por medi o de l os pul sos
en l a
entrada cP. Se usa tambi n
el hecho de que
el control de carga
i nhi be l a cuenta y que l a operaci n
de borrado es i ndependi ente
e
otras entradas de control .
_
La compuerta AND en l a Fi gura r-2a@) detecta Ia ocurrenci a
del est ado 0101 en l a sal i da. cuando el cont ador est en est e est a_
do, l a entrada de carga es habi l i tada y todos l os ceros de entrada
http://libreria-universitaria.blogspot.com
Fi gura 7-19 Cont ador bi nari o de 4 bi t s con carga en paral el o
Tabl a 7-6 Tabl a de f unci n para el cont ador de I a Fi gura 7-9
Borrado CP Carga Cont eo Funci n
il
. - :
i,u
:
r
r - l
i ,
r
T 1
kt
x
0
I
0
X
X
1
t
X Borrar a 0
0 No cambi ar
X Cargar entradas
I Contar si gui ente estado bi nari o
ZJJ
http://libreria-universitaria.blogspot.com
294 REGI STROS, CONTADORES Y UNI DAD DE MEMORI A
CAP 7
se cargan al regi stro. As, el contador pasa por l os estados bi nari os
0, 1, 2, 3, 4 y
5 par a r egr esar l uego a cer o. Est o pr oduce
una secuen-
ci a de sei s cuent as.
La entrada de borrado del regi stro es asi ncrni ca es deci r,
que no depende del rel oj . En l a Fi gura 7-20(b), l a compuerta NAND
detecta l a cuenta de 0110, pero
tan pronto ocurra esta cuenta, el
regi stro se borra. La cuenta 0110 ti ene oportuni dad de permane-
cer por al gn ti empo porque el regi stro va i nmedi atamente a cero.
Un pi co momentneo ocurre en l a sal i da 42 cuando l a cuenta va
de 0101 a 0110 e i nmedi at ament e a 0000. Est e pi co moment neo
puede. ser i ndeseabl e y por el l o no se r ecomi enda est a conf i gr r a-
ci n. Si el cont ador t i ene una ent r ada de bor r ado si ncr ni ca, es
posi bl e
borrar el contador con el rel oj despus cl e ocurri r Ia cuen-
t a 0101.
En vez de usar l as pri meras
sei s cuent as,
escoger l as l ti mas sei s cuentas desde 10 hasta
es posi bl e
t omar vent aj a del arrast re de sal i da
se puede desear
15. En est e caso
para
cargar un
i
A l
A A
^ 3 A ^
A l ' '

A 3
A
( a)
Est ados bi nar i os 0, 1, 2, 3, 4, 5
t 0 l 0
(c) Est ados bi nai os 10, 11, 12. 13, 14, l 5
Cuent a: 1
+Ca r g a :
0
CP
Las ent r adas no t i enen ef ect o
( b)
Est ados bi nar i os 0, 1, 2, 3, 4, 5
0011
Est ados bi nar i os 3, 4, 5, 6,
cont ador de 6 mdul os
par al el o
Cuent a: I
Borrado
-
I
CP
Cuent a: I
Borrado
-
I
CP
A l
A
A . A A
( d)
Fi gur a 7- 2O Cuat r o maner as de conf i zur ar un
usando un cont ador con car ga en
Ent r adas
-
0
A 4 A 3 A ) A 1
Cont ador de
l a Fi g. 7- 19
Cont ador de
l a Fi g. 7- 19
14 13 12 I l
http://libreria-universitaria.blogspot.com
SECUENCI AS DE TI EMPO 295
nmer o en el r egi st r o. En l a Fi gur a i - 20( c) , el cont ador coni et l za
con l a cuent a 1010 y cont i na hast a 1111. El ar r ast r e de s l i da
gener ado dur ant e el l t i mo est ado est abl e habi l i t a el ct nt r t ' l de
i ur gu, el cual car ga ent onces l a ent r ada
que se est abl ece a 101( ) .
" s
posi bl e t am i n escoger cual qui er cont ador i nt er medi O de
sei s est dos. El conLador de 6 mdul os de l a Fi gur l - l { ' t r d' pasa
por l a secuenci a de cuent a 3, 4, 5, 6, ? y 8. Cuando se l c' gr a i a l t i -
ma cuent a 1000, l a sal i da A* va a 1y se habi l i t a el cr - , t ' t t r ol de
car ga. Est o car ga al r egi st r o el val or 0011
y l a cuent a bi n. r na con-
t i na a par t i r de est e est ado.
7- 6 SECUENCI AS
DE TI EMPO
La secuenci a de l as t . ' per aci ones en un si st ema di gi t al se pr odr r ce en l a ul i i -
dad de control .
L-A."uni dad
de con_trol
que supervi za l as operactotres en un
si st ema di ei t al Ci i i l st i . i a nor mal ment e en seal es de t i emp<'
que det er -
mi n" i a scuenci a cl e t i empo en l a cual se ej ecut an l as oper aci c, t r es' Las
seue. r i al de t i empo en l a uni dad de cont r ol
pueden gener ar se f ci l ment e
por medi o de co t dor es
g
r egi st r os de despl azami ent o.
Est a secci n de-
muest r a el uso de est as f unci ones MSI en l a gener aci n de seal es de
ti ernpo
para l a uni dad de control .
Gener ac i n
de un t i emPo de
Pal abr a
Pr i mer o, se muest r a un ci r cui t o
que gener a l a seal de t i enl po r equer i da
; ^; ;
; " ' modo de oper aci n
" . t
. " i i e. La t r asf er enci a
et r ser i e de l a i nf br -
' r ou" i n
f ue di scut i da en l a Secci n 7- 3, con un ej empl o
i l ust r ado en l a Fi -
g" . " r
g.
La uni dad de cont r ol en un comput ador en ser i e debe
gener ar
i na seol de t i empo de pal abr a que per manezca
por un nmer o de pul sos
i uf"^t ul l -,-".o' de bi ts en l os i egi stros de despl azami ento'
La seal de'
ti "-po de pal abra puede ser
generada por medi o de
' -tn contador
que cuen-
ta el nmero requeri do de
Pul sos.
Asi tmase
que una seal de ti empo de pal abra que va
1 i 9t.
generada
debe
per manecer por un per odo de ocho
pul sos. La Fi gur a 7- 2( a) muest r a
un ci r cui t o cont ador
que r eal i za est a t ar ea. I ni ci al ment e
un cont ador de
i l bi t s se bor r a a 0. Unl seal de comi enzo
pondr a cer o el f l i p- f l op
Q'
La
sal i da de est e f l i p- f l op sumi ni st r a el cont r ol de t i empo de pal abr a y t am-
bi n habi l i t a el cnt ador .
Despus de una cuent a de ocho pul sos, el f l i p- f ' l op
; ;
; " ; ;
a cer o
y
e
va a 0. Ei di agr ama r l e t i emp. de l a Fi gur a 7- 21( b) de
- r r i . t r u l u op" . u. i r , del ci r cui t o.
La seal de comi enzo se si ncr oni za con
el r el oj y per manece por un
per odo de un pul so de r el oj ' , Despus- de
qYu q
. " po" ! u 1,
" i
cont ador comi enza a cont ar l os pul sos de r el oj ' Cuando el
. o t udi , . al canza l a cuent a de ?
( bi nar i o l l 1) . envi ar una seal de par ada
a l a entrada de puesta a cel o del f' l i p-fl op. La seal de
parada se convi er-
l e er " , t despus de l a t r ansi ci n
por t l anco negat i vo del nt r l so 7. El si gui ent e
;;i l
"-;"i r,j cambi a el contadci r
al estado 000 y tambi n borra a
Q.
Ahora
ei conl ador se habi l i t a
, -
el t i empo de pal abr a per manece en 0' Nt ese
que
ei cont r ol de t i empo de pal abr a per manece
por ul l
per odo de ocho
pul sosr '
http://libreria-universitaria.blogspot.com
296 REGI STROS,
CONTADORES
Y UNI DAD DE MEMORI A
CAP. 7
Ntese
tambi n que
l .a seal de parada
en- este ci rcui to puede
usarse para
comenzar
otro contror de cuenta de parabra
en otro ci rcui to j ustamente
cuando se usa l a seal de comi enzo
en est e ci r cui t o.
Seal es de t i empo
Fl n.un
modo parai el o
de operaci n,
un sol o pul so
de rel oj puede
especi fi car
el ti empo
durante el cuai puede
ej ecutar l u op".aci .r.-Lu' .i l ' ' i aua
de control
gn
u.tt si stema
di gi tal que opera en el modo e., pa.ul ul o-d"b"
g".r..ar
sea-
l -e1 de
-ti empo
que permanecen
por
un sol o p.ri oao
.
;"1;;,
pero esras se-
ai es de t i empo deben di st i ngui r se
ent r e s .
Las seal es de ti empo que
control an l a secuenci a
de operaci ones
en un
si stema
d-i gi tal pueden
ser generadas
con un regi stro
de despl azami ento
o
un cont ador con un decodi f i cador .
un cr nt ador he
ani t Lo e. un. egi . t r o
de
despl azami ento
ci rcul ar con sl o un fl i p-fl op qu. ." porr""u
,.ru en un ti em-
po parti cul ar
y
todos l os dems .e pontr
u ce.o. El sol o bi t se despl aza de
un fl i p-fl op
a otro para prodei r
l a-secuenci a
de seal es de ti empo. La Fi _
gur a
7- 22( a) muest r a un r egi st r o de despl azami ent o
de - bi t . conect ados
a un cont ad. r de ani l l o.
El val or i ni ci al del r egi st r o
es 1000, l n
" ual
pr oJu" "
l a vari abl e 7' ,i . Fl l sol oti t se despl aza a l a de' rechu
"o" "uu
pul so
de rel oj
y
ci rcul a de nuevo de z, a 7,,. ada fl i p-fl op
est en
"l -".tuao
cl e r, una
vez cada cuatro pul sos
de rel oj y produce
una de l as cuatro seal es de ti em_
Comi enzo
Cont r ol del
ti empo de
pal abra
( a)
I ) i agr ama del ci ct i t o
J
Habi l i t a cuent a Cont ador
de 3 bi t s
c"
2
Com i enzo
Pa ada
?
|
. - Ti empo
de pal a[ r a . g
pr r l sos*l -
( b)
f ) i ag ama de t i empo
Fi gur a 7- 21 Gener aci n
de un cont r ol de t i empo de pal abr a
par a
oper aci ones
en ser i e
http://libreria-universitaria.blogspot.com
s Ec . 7- 6 SECUENCI AS DE f I ' MPO 297
po mostradas en l a Fi gura i -22(c). Cada sal i da se convi erte en 1, despus
de l a transi ci n por fl anco negati vo de un pul so de rel oj y permanece en 1
durante el si gui ente pul so de rel oj .
Las seal es de ti empo pueden ser generadas tambi n por habi l i taci n
conti nra de un contador de 2 bi ts que pasa por cuatro estados di f' erentes.
El decodi fi cador mostrado en l a Fi gura i -22(b) decodi fi ca l os cuatro esta-
dos del contador y genera l a secuenci a requeri da de l as seal es de ti empo.
Las seal es de ti empo, una vez que se habi l i ten por el pul so de rel oj ,
sumi ni strarn pul sos de rel oj de ml ti pl e fase. Por gj empl o, si I, se apl i -
ca con CP a una compuer t a AND, l a sal i da de l a compuer t a
gener a l os pul -
sos de rel oj de un cuarto de frecuenci a de l os pul sos de rel oj maestros. Los
pul sos de rel oj de ml ti pl e fase pueden ser usados para control ar di feren-
tes regi stros con di ferentes estados de ti empo.
Para generar 2" seal es de ti empo, se necesi ta o un regi stro de des-
pl azami ento con 2" fl i p-fl ops o un contador de n bi ts con un codi fi cador
de n a 2" l neas. Por ej empl o, 16 seal es de ti empo pueden ser generadas
con un regi stro de despl azami ento de 16 bi ts conectados a un contador de
ani l l o o con un cont ador de 4 bi t s y un decodi f i cador de 4 a 16 l neas. En el
pri mer caso, se necesi tan 16 fl i p-fl ops. En el segundo caso. se necesi tan
cuatro fl i p-fl ops y 16 compuertas AND de 4 entradas para el decodi fi ca-
dor. Es posi bl e generar l as seal es de ti empo con una combi naci n de re-
gi stro de despl azami ento y un decodi fi cador. De esta manera. el nmero
de fl i p-fl ops es menor que en un contador de ani l l o y el decodi fi cador re-
qui ere sol amente compuertas de 2 entradas. Esta combi naci on se l l ama
al gunas veces un contedor Johnson.
Cont ador Johnson
Un contador de ani l l o de ft-bi ts ci rcul a un sol o bi t por l os fl i p-fl ops para
sumi ni strar A estados di sti ngui bl es. El nmero de estados pueden dobl ar-
se si el regi stro de despl azami ento se conecta como un contador de ani l l o
de
f i nal
conmut ado
( swi t ch- t ai l r i ng count er ) . Un cont ador de ani l l o de
de fi nal conmutado es un regi stro de despl azami ento ci rcui ar con l a sal i da
compl ementada del l ti mo fl i p-fl op conectado a Ia entrada del pri mer fl i p-
fl op. La Fi gura 7-23(a) muestra tal regi stro de despl azami ento. La conexi n
ci rcui ar se hace de l a sal i da compl ementada del fl i p-fl op del extremo de-
recho a l a entrada del fl i p-fl op del extremo i zqui erdo. El regi stro despl aza
su conteni do una vez a l a derecha con cada pul so de rel oj y al mi smo ti em-
po, el val or compl ementado del fl i p-fl op E se trasfi ere al fl i p-fl op A. Comen-
zando de un estado de borrado, el contador de ani l l o de fi nal conmutado
pasa por una secuenci a de ocho' estados de l a manera Ii stada en l a Fi gura
7-23(b). En general un contador de ani l l o de fi nal conmutado de A-bi ts pa-
sar a travs de una secuenci a de 2ft estados. Comenzando en 0, cada ope-
raci n de despl azami ento i nyecta unos por l a i zqui erda hasta que el regi s-
tro se l l ene de sl o unos. En l as secuenci as si gui entes, se i nyectan ceros
por l a i zqui erda hasta que el regi stro se l l ene con 0.
Un contador Johnson es un contador de ani l l o de fi nal conmutado de
A-bi ts con 2k compuertas decodi fi cadoras para sumi ni strar sal i das para
2 seal es de ti empo. Las compuertas decodi fi cadoras no se muestran en
F
:
http://libreria-universitaria.blogspot.com
( al
Cont ador de ani l l o l val r l r
i ni ci al
=
10001
(b) Contador
y decodi fi cador
a"
a,
n
l--l
t l
T2
-,
( c) Secuenci a de cuat r o seal es de t i empo
Fi gura 7-22 Generaci n de seal es de ti empo
Habi l i t a
cuenta
To Tt T) T3
Decodi f i cador
2 x 4
298
http://libreria-universitaria.blogspot.com
1
r
Nmero
de l a secuenci a
( a)
Cont ador de ani l l o de f i nal
Salidas de flip-floPs
ABCE
conmut ado
de 4 est ados
Compuer t a AND r equenda
para l a sal i da
A' E'
AB'
BC'
CE'
AE
A' B
B' C
C' E
(b)
Secuencia de conteo
y decodificador requerida
Fi gura 7' 23 Construcci n de un contador Johnson
! a Fi gur a ?- 23 per o se especi f i can en l a l t i ma col umna de l a t abl a. Las
o. t o
- . o*p" er t a;
AND l i st adas en I a t abl a, una vez conect adas
al ci r cui t o,
compl eta;n
l a construcci n
del contador
.Iohnson. Como cada compuerta
se t abl l i t a dur ant e una secuenci a de est ado
par t i cul ar , i as sal i das de l as
compuer t as
gener ar n ocho secuenci as de t i empo en cadenci a'
La decodi fi caci n
de un contador
de ani l l o de fi nal conmutado
de
k-bi ts
para obtener 2h secuenci as
de ti empo si gue un patl n regul ar. El
estado de sl o 0 se decodi fi ca tomando
l as sal i das normal es de l os fl i p-
fps de l os dos extremos. Todos l os otros estados se decc' di fi can
de un
pa-
l t-" uVu.ente de 1, 0 0, 1 en l a secuenci a. Por ej empl o
l a secuenci a
7
i i ".r" ,r.
patrn adycente
b, 1
".t
l os fl i p-fl ops B y. C' La. sal rda decodi fi -
cada se obti ene entonces toando el compl emento
de B
'
1a sal i da normal
de C, B' C.
una desvent aj a
del ci r cui t o en l a Fi gur a' i - 23( al
es
que, sr se encuen-
tra en un estado
-desconoci do,
persi sti r en
pasar de un estado no vl i do
a otro
y nunca encontrar un ami no a un stado r' l i do. Esta di fi cul tad
;";;
Jer corregi da modi fi cando
el ci rcui to
para er-i tar esta condi ci n no
eseabl e. IJn prcedi mi ento de correcci n es desconectar
l a sal i da del fl i p-
fl ;t C
que va u tu
""t.uaa
D del fl i p-fl op C,
y a cambi o habi l i tar l a entrada
del ni p-1oo C
por medi o de l a funci n:*
*Esta
es l a manera que se hace en el CI ti po' {022'
299
I
2
J
4
5
6
'7
8
ii
:'
j
t
0000
1000
1100
l l l 0
l l l l
0l 1l
00l l
0001
http://libreria-universitaria.blogspot.com
DC: ( A+C) B
donde DC es l a funci _n
del fri p-fl oppara
l a entrada D del fl i p-frop c.
Los contadores
J.ohnson puedn
ser construi dos
con cual qui er nme-
ro de secuenci as
de ti empo. Er nme.o
de fri p-fi p;;;;;;;"s
es l a mi tad
del nmero
de seal es d ti empo. El nmero
d" ;"-;;;;;;s
decodi fi cado_
ras es i gual al nmero
de seai es de ti empo y
sol amente
se empl ean com-
puertas
de 2 entradas.
7- 7 LA UNI DAD DE MEMORI A
Los regi stros
de un computador
di gi tal pueden
ser cl asi fi cados
der ti po
operaci onal
o de al macenami ento.
un ci rcui to
op"ri i o"ol
".
.rpu, de acu_
mul ar i nformaci n
bi nari a
en sus fl i p-fl ops
I
"a"-.
i i ene compuerras
combi naci onal es
capaces
de real i zar
tai eas d" pro"..*i ento
ae datos. un
regi st ro d, e al macenami ent o
se usa sol ament e para
el al macenami ent o
t em_
poral
de l a i nformaci n
bi nari a. Esta i "ror-".i "
""
-or.a"
ser al terada
cuando se trasfi ere. haci a adentro y afuer" .l ;ri ]' u' "
uni dad de
memori a
es una col ecci n
de regi stros
de al macen";;;"
conj untamente
con l os ci rcui tos
asoci ados
necesari os para
trasferi r i nformaci n
haci a
adentro y
afuera de l os regi stros.
Los regi stros
de al macenami ento
en una
uni dad de memoi a se l l aman regi stros
d memori a
La mayora de- l os regi stros
en un computador
di gi tar son regi stros
de
memori a, a l os cual es se tasfi ee l a i nformci n
para
l -""r,u-i ento y
de
Ios cual es se obti ene l a i nformaci n
necesari a para .t pro""ru-i ento.
com-
parati vamente
se encuen-tran pocos
regi stros' operaci ;";i ;.
en Ia uni dad
procesadora.
cuando se l reva cabo .I pro.".u' -i ;;;i l ;
datos, l a i nfor-
maci n de
-l os
regi stros sel ecci onados
en l a uni dad de memori a se trasfi ere
gri .merg
a tos regi stros
operaci onal es
en l " ;;i J;d
;;";;;"r". Los resur-
tados i ntermedi os y
fi nai es que
se obti enen
en l os regi stros
operaci onal es
se trasfi eren
de nuevo.a l os regi stros
de memori a sel econados.
De manera
si mi l ar, l a i nformaci n
bi nari a reci bi da
de l os
"r"-"Jo.
de entrada se
al mace-na pri mero
en..l os regi stros
de memori a.
La i nformaci n
trasferi da
a l os el ementos
de sal i da re tomu de l os regi stros
en l a uni dad de memori a.
. .El
componente que
forma l as cel das i nari as
a" r.
-i "gi .tros
en una
uni dad de memoi a debe tener ci ertas propi edades
bsi cas, de l as cual es
l as ms i mportantes
son: (1)
debe tener una propi edad
dependi ente
de dos
estados para
l a-representaci n
bi nari a. (2)
d' eb .., p"q.i .o
en tamao.
(3)
el costo por
bi t de al macenami ento
debe ser l o -i 4o posi bre. (4)
el
ti empo
de acceso al regi stro
de memori a
eb" .ei ;;;;;i .-ente
rpi do.
Ej empl os
de componentes
de uni dad de memori a son l os ncl eos
magnti cos,
l os cI semi condubtores
y l as superfi ci es
magnti cas
de l as ci ntas, tambo-
res y
di scos.
una uni dad de memori a al macena i nformaci n
bi nai a en grupos
l ra-
m1d9s pal abras,
cada pal abra
se armacena
en un regi stro
de memori a. una
pal abra
en l a memori a es una enti dad de n bi ts qu."."
-u.ven haci a aden_
tro y
afuera del al macenami ento
como una uni dad' . u"u putuu.a
de memori a
puede
representar
un operando,
una i nstrucci n,
o un gr"p"
aa caracteres
3@
\
http://libreria-universitaria.blogspot.com
SEC. 7. 7
LA UNI DAD DE MEMORI A 30'
al fanumri cos o cual qui er i nformaci n codi fi cada bi nari amente. La comu-
ni caci n entre una uni dad de memori a
y l o que l a rodea se l ogra por medi o
de dos seal es de control
y dos regi stros externos. Las seal es de control
especi fi can l a di recci n de l a trasferenci a requeri da, esto es, cuando una
pai abra debe ser acumul ada en un regi stro de memori a o cuando una pa-
i abra al macenada
previ amente debe ser trasferi da haci a afuera del regi stro
de memori a. Un regi stro externo especi fi ca el regi stro de memori a
parti cu-
l ar escogi do entre l os mi l es di sponi bl es; el otro especi fi ca l a confi guraci n
de bi ts
parti cul ar de l a pal abra en cuesti n. Las seal es de control
y l os
regi stros se muestran en el di agrama de bl oque de l a Fi gura 1-21.
El regstro de di recci ones de memori a especi fi ca l a pal abra de memo-
ri a sel ecci onada. A cada
pal abra en Ia memori a se Ie asi gna un nmero
de i denti fi caci n comenzando desde 0 hasta el nmero mxi mo de pal abras
di sponi bl e. Para comuni carse con una pal abra de memori a especfi ca, su
nmero de l ocal i zaci n o drecci n se trasfi ere al regi stro de di recci ones'
Los ci rcui tos i nternos de l a uni dad de memori a aceptan esta di recci n del
regi stl o
y abren l os cami nos necesari os
para sel ecci onar l a pal abra busca-
al Un i egi stro de di recci n con n bi ts puede especi fi car hasta 2" pal a-
bras de memori a. Las uni dades de memori a del computador
pueden tener
un rango entre 1.024
pal abras que necesi tan un regi stro de di recci ones de
10 bi t s, hast a 1. 048. 576: 220
pal abr as que necesi t an un r egi st r o de di r ec-
ci ones de 20 bi ts.
Las dos seal cs de control apl i cadas a l a uni dad de memori a se l l aman
de l ectura y escri tura. Una seal de escri tura especi fi ca una funci n de
trasferenci a entrante; una seal de l ectura especi fi ca una funci n de
l ect u r a
Seal es
de cont r ol
escnt ur a
ent ada sal i da
Informaci n
Fi g.ura 7-24 Di agrama de bl oque de una uni dad
de memori a mostrando su cornuni caci n con l o
oue Io odea
UNIDAD
DE MEMORIA
n pal abras
m bi ts por pal abra
Regi stro separador
de memor i a
http://libreria-universitaria.blogspot.com
302 RFGI STROS, CONTADORES Y UNI DAD DE MEMORI A CAP, 7
trrsferenci a sal i ente. Cada una es referenci ada por l a uni dad de memori a.
Despus de aceptar una de l as seal es, l os ci rcui tos de control i nterno den-
tro de l a uni dad de memori a sumi ni stran Ia funci n deseada. Ci erto ti po
de uni dades de al macenami ento, debi do a l as caractersti cas de sus com-
ponentes, destruyen l a i nformaci n al macenada en una cel da cuando se l ea
el bi t de el l a. Este ti po de uni dad se di ce que es una memori a de l ectura
destructi bl e en oposi ci n a una memori a no destructi bl e donde l a i nforma-
ci n permanece
en l a cel da despus de haberse l edo. En cada caso, l a i nfor-
maci n pri mari a se destruye cuando se escri be Ia nueva i nformaci n. La
secuenci a del control i nterno en una memori a de l ectura destructi bl e debe
proveer seal es de control que puedan causar que l a pal abra sea restaura-
da en sus cel das bi nari as si l a apl i caci n requi ere de una funci n no des-
t r uct i va.
La i nformaci n trasferi da haci a adentro y afuera de l os regi stros en
l a mernori a y al ambi ente externo, se comuni ca a travs de un regi stro co-
mn l l amado (buffer regi ster) regi stro separador de memori a
(otros
nom-
bres son regi stro de i nformacn y regi stro de al macenami ento). Cuando
Ia uni dad de memori a reci be una seal de control de escri tura, el control
i nterno i nterpreta el conteni do del regi stro separador como Ia confi gura-
ci n de bi ts de l a pal abra que se va a al macenar en un regi stro de memori a.
Con una seal de control de Lectura, el control i nterno enva l a pal abra del
regi stro de memori a al regi stro separador. En cada caso el conteni do del
regi stro de di recci ones especi fi ca el regi stro de memori a parti cul ar refe-
renci ado para escri tura o l ectura. Por medi o de un ej empl o se puede resu-
mi r l as caractersti cas de trasferenci a de i nformaci n de una uni dad de
memori a. Consi drese una uni dad de memori a de 1.024 pal abras con ocho
bi ts por pal abra. Para especi fi car 1.024 pal abras, se necesi ta una di recci n
de 10 bi ts, ya que 2to
:
I.024. Por tanto, el regi stro de di recci ones debe
contener di ez fl i p-fl ops. El regi stro separador debe tener ocho fl i p-fl ops
para al macenar l os conteni dos de l as pal abras trasferi das haci a dentro y
afera de Ia memori a. La uni dad de memori a ti ene 1.024 regi stros con
nmeros asi gnados desde 0 hasta
.I.023.
La Fi gura 7-25 muestra el conteni do i ni ci al de tres regi stros: el regi s-
1r , de di r ecci ones de memor i a, ( MAR:
memor y addr ess r egi st er ) el r egi s-
tro separador de mem,rri a (MBR:
memory buffer regi ster) y el regi stro de
memori a di recci onadc' por MAR. Como el nmero bi nari o equi val ente en
l "{AR es el deci mal 42, el regi stro de memori a di recci onado por el MAR es
uno con un nmero de di recci n 42.
La secuenci a de oper aci ones necesqr i as par a comuni car se con I a uni -
dg-{ de memori a para propsi tos de trai feri r una pal abra haci a afuera di ri -
gi da al MBR es:
1. Trasferi r l os bi ts de di recci n de l a
-pal aba
sel ecci onada al MAR.
2. Acti var l a entrada de control de l ectura.
Ei r esul t ado de l a oper aci n de l ect ur a se i l ust r a en l a Fi gur a 7- 26( a) . La
i nformaci n bi nari a al macenada hasta ei presente en el regi stro de memo-
ri a 42 se trasfi ere al MBR.
http://libreria-universitaria.blogspot.com
0000101010
Regi st ro de di recci ones
de memori a
(MAR)
Uni dad
de memori a
0- l 0l l l 0
10010010
Di r ecci ones

0-1023
|
I
43
42
4 l
40
Regi stro separador de memori a
(MBR)
Fi gura 7-25 Val ores i ni ci al es de l os regi stros
La secuenci a de operaci ones necesari as
para al macenar una nueva
palabra a Ia memoria es:
1. Trasferi r l os bi ts de di recci n de l a pal abra sel ecci onada al MAR.
2. Trasferi r l os bi ts de datos de l a pal abra al MBR'
3.-Acti var l a entrada de control de e.scrtura'
El resul tado de Ia operaci n de escri tura se i l ustra en Ia Fi gura 7-26(b)'
Los bi ts de datos ef VtgR se al macenan en el regi stro de memori a 42.
En el ej empl o anteri or, se asume una uni dad de memori a con Ia propi e-
dad de l eci ur no destructi va. Tal es memori as
pueden ser construi das
con CI semi conductores.
El l as reti enen l a i nformaci n en el regi stro de
memori a cuando el regi stro se catea durante el proceso de l ectura de ma-
nera que no ocurre
perai aa de i nformaci n. Otro componente usado comn-
mente en Ias uni daes de memori a es el ncl eo magnbi co. Un ncl eo mag-
nti co ti ene l a caractersti ca
de tener l ecturas destructi vas, es deci r,
pi erde l a i nformaci n bi nari a al macenada durante el proceso de Iectura'
' Ej empl os
de memori as de semi conductores
y de ncl eos magnti cos
se pre-
sent an en l a Secci n 7- 8'
Debi do a Ia propi edad de l ectura destructi va, una memori a de ncl eos
magnti cos debe tener funci ones de control adi ci onal es
para reponer l a
pul b.u al regi stro de memori a. Una seal de control de l ectura apl i cada
u ,,rru -e-"ri u de ncl eos magnti cos trasfi ere el conteni do de l a pal abra
di recci onada a un regi stro externo
y al mi smo ti empo se borra el regi stro
de memori a. La secuenci a de control i nterno en una rnemori a de ncl eos
magnti cos sumi ni stra entonces seal es apropi adas
para causar l a recu-
perci n de l a pal abra en el regi stro de memori a. La trasferenci a de i nfor-
' maci "
de una memori a de ncl eos magnti cos durante una operaci n de
enQ
http://libreria-universitaria.blogspot.com
0l l 0l l l 0
MAR
=
42
MBR 01r 0l l l 0
(a)
Operaci n
de l ect ura
Figura
7-26 Trasfeencia
de inf<rmacin
duante

"".*-l
I
O. memoria
i
t i ni dad
r
I
oe memorl a
I
I
MAR
=
42 10010010
MBR
(b)
Operaci n de escri tura
l as oper aci ones
de l ect ur a y escr i t ur a
I ect ur a
se i l ust r a en l a Fi gur a 7- 27. una oper aci n
de l ect ur a
dest r uct i va
trasfi ere l a pal abra
sel ecci onada
al MBR pf."
uu
"r-r"i .tro
de merrrori a
con puros
ceros. La operaci n
de memori a
nor-ui requi e-re que
el conteni _
do de l a pal abra
sel ecci onada permanezca
en l a me' mori a
despus de l a
operaci n
de l ectura..por
tanto, es necesari o pasar p_
;;" operaci n
de
recuperaci n
que
escri be el val or del MBR u"
"t
,"i i .l rJ-d"
^"-ori a se_
l ecci onada.
Durante l a operaci n
de recuperaci n,
l os conteni dos
del MAR
y
el MBR deben permanecer
i nvari abl es_
una entrada de control de escri tura
apl i cada a una memori a
,l e
ncl eos
magnti cos
causa una trasferenci a
de i nfbrmaci n
com- se muestra e., l a
Fi gura 7-28. Para trasferi r l a nueva i nformaci n
a un regi stro sel ecci onado,
9e
de!9 pri mero
borrar l a i nforrnaci n
anteri or
borrando todos l os bi ts de
l a pal abra
a 0. Despus
de hacer l o anteri or,
el conteni o "1 n4gn se pue-
de trasferi r a l a pal abra
sel ecci onada.
El MAR no debe cambi ar
durante l a
operaci n para-asegurar
que
l a mi sma parabra
..i """]""ra; q;-;;"i l ;
borrado es aquel l a que
reci be l a nueva i nformaci n
Uni dad
de memoria
MAR
=
42 0l 10l l r 0
MBR Cual qui er
cosa
01t 01110
Lectura destructi va
Ini ci al
Lectura destructi va
Fi gtra
7-27 Tasferenci a
de i nformaci n
en una memorl a
duante una operaci n
de l ectura
304
Uni ad
de memori a
Conteni do
restaurado
de ncl eos magnti cos
10010010
00000000
0l l 0l I I 0
0 l l 0 l I 1 0
http://libreria-universitaria.blogspot.com
Uni dad
de memori a
MAR
=
42 0r 101110
10010010
I ni ci al
Uni dad
de memoria
00000000
r 0010010
Uni dad
de memori a
r 0010 010
MBR
I ni ci al Pal abr a de bor r ado Pal abr a de escr i t ur a
Fi gur a 7- 28 l ' r asf er enci a de i nf or maci n en una memor i a de ncl eos magnt i cos
dur ant e una oper aci on de escr r r ur a
una memori a de ncl eo magnti co requi ere dos medi os ci cl os para l eer
o escri bi r. EI ti empo que se toma l a memori a para cubri r l os doi medi os
ci cl os se l l ama t i empo de un ci cl o de memor i a.
El modo de acceso de un si st ema de memor i a se det er mi na por el t i po
de componentes usados. En una memori a de acceso al eatori o. se debe oen-
sar que l os regi stros estn separados en el espaci o, con cada regi str., n"rj -
pando un l ugar espaci al par t i cul ar
en una memor i a de ncl eos magnt i cos.
En una memor i a de acceso secuenci al , l a i nf or maci n al macenada en al sn
medi o no es accesi bl e i nmedi at ament e per o se obt i ene sol ament e en ci er t os
i nt er val os de t i empo. Una uni dad de ci nt a magnt i ca es de est e t i po. Cada
l ugar . de l a memor i a pasa por l as cabezas de l ect ur a y escr i t ur a a l a vez,
per o l a i nf or maci n se l ee sol ament e cuando se ha l ogr ado l a pal abr a
sol i -
ci t ada. El t i empo de acceso de una memor i a es el t i empo r equer i do par a
sel ecci onar una pal abr a
o en l a l ect ur a o en l a escr i t ur a. En una memor i a
de acceso al eat or i o, el t i empo de acceso es si empr e el mi smo a pesar del
l ugar en el espaci o par t i cul ar
de l a pal abr a. En una memor i a secuenci al , el
ti empo de acceso depende de l a posi ci n
de l a pal abra
en el ti empo que se
sol i ci t a. Si l a pal abr a
est
j ust ament e
emer gi endo del al macenami enl o en
el t i empo que se sol i ci t a, el t i empo de acceso es
j ust ament e
el t i empo nece-
sari o para l eerl a o escri bi rl a. Pero, si l a pal abra por al prna razn est en
l a l t i ma posi ci n,
el t i empo de acceso i ncl uye t ambi n el t i empo r equer i do
para que todas l as otras pal abras
se muevan pasando por l os termi nal es.
As , el t i empo de acceso a una memor i a secuenci al es var i abl e.
Las uni dades de memor i a cuyos' component es pi er den i nf or maci n al -
macenada con el t i empo o cuando se cor t a el sumi ni st r o de ener g a, se di ce
que son uoLt i l es. Una uni dad de memor i a de semi conduct or es es de est a
categora ya que sus cel das bi nari as necesi tan potenci a
externa para man-
tener l as seal es necesari as. En contraste, una uni dad de memori a no vo-
l t i l , t al como un ncl eo magnt i co o un di sco magnt i co, r et i ene l a i nf or -
maci n al macenada una vez que se haya cor t ado el sumi ni st r o de ener g a.
Esto es debi do a\que l a i nfbrmaci n acumul ada en l osrcomponentes magn-
ti cos se mani fi gstan por l a di recci n de magneti zaci on, l a cual se reti ene
cuando se cor*,a l a energa. una propi edad
no vol ti l es deseabl e en l os'
computadores' di gi tal es po.q.," muchs programas
ti l es se dej an perma-
I
'.
{,,
:'.
,
I
100 i 0010
; " j
kil
http://libreria-universitaria.blogspot.com
3A6 REGI STRoS, coNTADoRES Y UNI DAD DE MEMoRI A CAP. 7
nentemente en l a uni dad de memori a. cuando se corte el sumi ni stro de
energa y l uego se sumi ni stre, l os programas
al macenados previ amente y
otra i nformaci n no se pi erden pero
conti nan acumul ados en l a memori a.
7- 8 EJ EMPLOS DE MEMORI A DE ACCESO ALEATORI O
La construcci n i nterna de dos ti pos di ferentes de memori as de acceso
al eat or i o se pr esent an en f br ma de di agr amas en est a secci n. La pr i mer a
se construye con fl i p-fl ops y compuertas y l a segunda con ncl eos magn-
ti cos. Para poder i ncl ui r toda l a uni dad de memori a en un di agrama, se
debe usar una capaci dad de al macenami ent o l i mi t ado. Por est a r azn,
Ias uni dades de memori a presentadas
aqu ti enen una pequea capaci dad
de 12 bi ts arregl ados en cuatro pal abras
de tres bi ts cada una. Las merno-
ri as de acceso al eatori o comerci al es pueden tener una capaci dad de mi l es
de pal abras y cada pal abra puede estar en un rango de 8 a 64 bi ts. La cons-
trucci n l gi ca de l as uni dades de memori a de gran capaci dad seran una
extensi n di recta de l a confi guraci n mostrada aqu.
Memor i a de c i r c ui t o i nt egr ado
La const r ucci n i nt er na de una memor i a de acceso al eat or i o de m pal a-
bras con n bi ts por pal abra consi ste en m X n cel das de al macenami ento
bi nari o y l a l gi ca asoci ada para sel ecci onar l as pal abras i ndi vi dual es. La
cel da de al macenami ento bi nari o es el bl oque bsi co de construcci n de
una uni dad de memor i a. La l gi ca equi val ent e de una cel da bi nar i a que
al macena un bi t de i nf or maci n se muest r a en i a Fi gur a 7- 2g. Aunque se
muest r a que l a cel da i ncl uye compuer t as y un l l i p- f l op, i nt er nament e se
const r uye con dos t r ansi st or es que t i enen ml t i pl es ent r adas. Una cel da
de al macenami ento bi nari o debe ser muy pequea para poder compri mi r
tantas cel das como sea posi bl e en l a pequea rea di sponi bl e en l a pasti l l a
de ci r cui t o i nt egr ado. La cel da bi nar i a t i ene t r es ent r adas y
una sal i da.
La ent r ada de sel ecci n habi l i t a l a cel da par a l ect ur a o escr i t ur a. Las en-
tradas de l ecturaT/escri tura determi nan l a operaci n de Ia cel da cuando
esta es sel ecci onada. Un 1 en l a entrada de l ectura,/escri tura fbrma un
cami no del f l i p- f l op al t er mi nal de sal i da. La i nf or maci n en el t er mi nal de
entrada se trasfi ere al fl i p-fl op cuando el control de l ectura,/escri tura es
0. Ntese que el fl i p-fi op opera si n pul sos de rel oj y que su propsi to
es
al macenar l a i nf or maci n de bi t s en l a cel da bi nar i a.
Las memori as de ci rcui tt i nregrado ti enen al gunas veces una sol a
l nea para el control de l ectura y escri tura. Un estado bi nari o en l a sol a
Inea especi fi ca una operaci n de l ectura y el otro estado especi fi ca una
oper aci n de escr i t ur a. Adems, se i ncl uyen una o ms l neas de habi l i t a-
ci n par a sumi ni st r ar medi os de sel ecci onar el cI y par a
expandi r var i as
pasti l l as
a una uni dad de memori a con un gran nmero de pal atrras.
La
const r ucci n l gi ca de un CI RAM se muest r a en l a t ' i gur a 7- 30. Est e con-
si st e en 4 pal abr as
de 3 bi t s cada una par a
un t ot al de 12 cel das bi nar i as.
Los pequeos r ecuadr os mar cados BC r epr esent an una cel da bi nar i a, y l as
t r es ent r adas y una sal i da en cada BC son especi f i cadas en el di aer ama
de l a F i gu r a 7
- 29.
http://libreria-universitaria.blogspot.com
Sel ecci n
Entrada
Sal i da
Ent r ada
L ec tu ra,/es c ri t u ra
( a) Di agr ama l gi co
Sal i da
Lectura,' ' escrttura
( b) Di agr ama de bl oque
Fi gur a 7- 29 Cel da de memor i a
Las dos Ineas de entrada de di recci ones
pasan px)r un decodi fi cador
i nterno de 2 a 4 l neas. El decodi fi cador
se habi l i ta con una entrada de
habi l i t aci n de memor i a. Cuando l a habi l i t aci n de memor i a es 0, t odas
l as sal i das del decodi fi cador
son 0 y ni nguna de i as pal abras en memori a
se sel ecci onan. con I a habi l i t aci n de memor i a en l . se sel ecci ona una de
i as cuatro
pal abras, dependi endo
del val or de l as dos l neas de di recci o-
nes. Ahora, con el control de l ectura,/escri tura
en I. l os bi ts de Ia pal abra
,.t...i o"uda
pasarn por l as 3 compuertas OR hasta l os termi nal es de
sal i da. Las cl das bi nar i as no sel ecci onadas
pr oducen 0 en l as ent r adas
de l as compuertas OR
y no ti enen ef' ecto en l as sal i das' Con el control de
i ectura/escri tura
en 0, l a i nformaci n di sponi bl e en l as l neas de entrada
se t r asf i er e a l as cel as bi nar i as <l e l a pal abr a sei ecci onada.
Las cel das
bi nar i as no sel ecci onadas
en l as ot r as
pal abr as son i nabi l i t adas
por sgs
e t r adas de sel ecci n
y sus val or es
pr evi os per manecen si n cambi ar ' Con
ei cont r ol de habi l i t aci n de memor i a en 0, el cont eni do de t odas l as cel das
en I a memor i a
per manece si n cambi ar
i ndependi ent ement e
del val or del
control de l ectura' /escri tura.
Un CI RAM se const r uye
i nt er nament e con cel das
que t i enen una
car act er st i ca
de OR al ambr ado. Est o el i mi na l a necesi dad de compuer t as
' I
i -r: ' l
Sel ecci n
307
http://libreria-universitaria.blogspot.com
Ent r ada
de datos
Pal ab a 0
Ent r adas
de di r ecci n
Hal i l i t aci n
de memori a
Lect ur a, , escr i t ur a
"ixT?;.
Fi gur a
7_3O Memor i a de ci r cui t o i nt esr ado
oR en el di agrama. Las l neas de sari da externas pueden
fbrmar tambi n
l i i gi ca al ambrada para
f aci l i t ar I a conexi n de do. ' ; ; ; ; past i l l as
de cI
para
formar una uni dad de memori a
con un gran nmero d
pal abras.
Memor i a
de ncl eos magnt i cos
una memori a de ncl eos
magnti cos
usa ncreos magnti cos para al mace-
nar i nformaci n
bi nari a. [Jn ncl eo magnti co
",
,r" toroi de en forma de
rosqui l l a
hecho de materi al magnti co.
En contraste
.or--rrn fl i p-fl op de
semi conductores
que necesi ta
sol amente
una canti dad fsi ca tal como el
vol taj e para
su operaci n,
un ncl eo magnti co empl ea Jres canti dades
308
D1
decodi -
fi cador
2x 4
l J l
http://libreria-universitaria.blogspot.com
SEC. 7. 8
EJEMPLOS DE MEMORI A DE ACCESO ALEATORI O 309
fi si cas: corri ente, fl uj o magnti co
y vol taj e. La seal que exci ta el ncl eo
es un
pul so de corri nte en utt al ambre
que pasa a travs del ncl eo. La
i nformci n bi nari a al macenada se representa
por l a di recci n de|
fl uj o
magnti co dentro del ncl eo. La i nformaci n bi nari a de sal i da se extrae
de un al ambre
que encadena al ncl eo, en l a forma de un pul so de t' ol taj e.
La propi edad fi si ca que hace un ncl eo magnti co uti l i zabl e
para al -
macenami ento bi nari o es su reversi n de hi stri si s, mostrada en l a Fi gura
?-31(c). Est a es un
grf i co de l a corri ent e versus el f l uj o magnt i co
1' t i ene
l a forma de una fi gui a cuadrada. Con cero corri ente, un fl uj o que puede.ser
posi ti vo en di reccl n
(haci a l a i zqui erda) o negati vo
(haci a Ia derecha)
p".-un""" en el cl eo magneti zado. Se usa una di recci n,
por ej empl o i a
magneti zaci n a l a i zqui erda,
para representar un 1 y Ia contrari a
para
representar un 0.
un pul so de corri ente apl i cado al al ambre
que pasa por el ncl eo
puede
cambi ar l a di recci n de magnet i zaci n. Como se ve en l a Fi gura 7-31(a)'
l a corri ente en di recci n haci a abaj o produce el fl uj o en di recci n haci a l a
derecha, causando
que el ncl eo vaya al estado de 0. La Fi gura i -31Q1
muestra l as di recci ones de l a corri ente
y el fl uj o para al macenar un 1. EI
cambi o
que toma el fl uj o cuando se apl i ca el pul so de corri ente se i ndi ca
por medi o de fl echas en el ci rcui to de hi stresi s.
Leer l a i nformaci n bi nari a al macenada en el ncl eo es mu!' compl i ca-
do por el hecho de que el fl uj o no puede ser detectado cuando no est cam-
bi ando. Si n embargo si el fl uj o est cambi ando con respecl o al ti empo, este
i nduce un vol taj e n el al ambre
que enl aza el ncl eo' As, l a l ectura
puede
l l evarse a cabo apl i cando una corri ente en l a di recci n negati va como se
muestra en Ia Fi gura 7-32. Si el ncl eo est en el estado 1. l a corri ente
i nvi erte l a di recci n de magneti zacn
y el cambi o resul tante de fl uj o pro-
duce un pul so de vol taj e en el al ambre sensor. Si el nci eo an est en el
estado 0j l a coni ente negati va dej a al ncl eo magneti zado
en l a mi sma
di recci n, causando una
pequea di storsi n del fl uj o magnti co l o-
.cual
produci r un vol taj e e sati a muy pequeo en el al ambe sensor. Ntese
que esta es una l ectura destructi va
ya qu Ia corri ente de l ectura regresa
.i .-pr" el ncl eo al estado de 0. El val or al macenado
previ amente se
pi erde'
La Fi gura 7-83 muestra l a organi zaci n de una memori a de ncl eos
magnti cos
que conti ene cuatro
pal abras con tres bi ts cada una. Compa-
H
R:,
t .
'
i ,
FI uj o
q
o*-
Corriente
negativa
Al macenar 0
Fi gura 7-31
'
Coriente
positiva
(b) Almacenar 1
Almacenamient de un
(c) Figura de histresis
bit en un ncleo magntico
Corriente
http://libreria-universitaria.blogspot.com
310 REGI STRoS, coNTADoRES Y UNI DAD DE MEMoRI A
CAP, 7
rndol a con Ia uni dad de memori a de CI de l a Fi gura 7-30, se nota que Ia
cel da bi nari a es ahora el ncl eo magnti co y l os l ambres que l o encade-
nan. La exci taci n del ncl eo se l ogra por medi o de un pul so de corri ente
gener ado por
un ci r cui t o acci onador ( DR:
Dr i ver ) . La i nf or maci n de sa-
l i da pasa por
un ampl i fi cador sensor (sA:
Sense Ampl i fi er) cuyas sal i das
ponen
a uno l os fl i p-fl ops en el regi stro separador. Cada ncl eo est enl a-
zado por
tres al ambres. El al ambre de pal abra
es exci tado por
un acci ona-
dor de pal abras y pasa por
tres ncl eos de una pal abra.
El l ambre de bi ts
es exci tado por un acci onador de bi t y pasa a travs de cuatro ncl eos en
l a mi sma posi ci n
de bi t. El al ambre sensor enl aza l os mi smos ncl eos que
el al ambre de bi ts y se apl i ca a un ampl i fi cador sensor que
conforma el
pul so
de vol taj e cuando se l ee 1 y rechaza l a pequea
di storsi n cuando
se l ee 0.
Durante una operaci n de l ectura, un pul so
de corri ente acci onador
de pal abra
se apl i ca a l os ncl eos de l a pal abra
sel ecci onada por el decodi -
fi cador. La corri ente de l ectura est en l a di recci n negati va (Fi gura
T-32)
y
causa que todos l os ncl eos de l a pal abra
sel ecci onada vayan al estado
de 0 i ndependi entemente
del estado anteri or. Los ncl eo. q,ru conti enen
un I pr evi ament e
cambi an su f l uj o e i nducen un vol t aj e al al ambr e sen-
sor. El fl uj o de l os ncl eos que
contena un 0 no cambi a. El pul so
de vol -
taj e en el al ambre sensor de l os ncl eos con un 1 previ o se ampl i fi ca en el
ampl i fi cador sensor y pone a uno el fl i p-fl op correspondi ente en el regi stro
separador.
. -
Durante l a operaci n de escri tura, el regi stro separador manti ene l a
i nformaci n para ser al macenada en l a pal abra
especi i i cada por el regi stro
de di recci ones. Se asume que
todos l os ncl eos de Ia pal abr
sel ecci onada
estn i ni ci al mente borrados, es deci r, todos estn en el estado de 0 de tal
manera que aquel l os que necesi ten un 1 deben sufri r un cambi o de estado.
un pul so
de corri ente se genera
si mul tneamente
en el acci onador de pa-
l abra por el decodi fi cador y
en el acci onador de bi ts cuyo fl i p-fl op del i e-
gi stro
separador correspondi ente conti ene un 1. Ambas corri entes estn
en l a di recci n posi ti va, pero
su magni tud es sol amente l a mi tad de l a ne-
cesari a para
cambi ar el fl uj o al estado 1. Esta corri ente medi a. en s mi sma.
es muy pequea para
cambi ar l a di recci n de magneti zaci n.
pero
l a suma
de dos medi as corri entes es sufi ci ente para
ca-Ei ar l a di recci n de mag-
net i zaci n al est ado de 1. t l n ncl eo cambi a al est ado de 1 sol ament e i i
Vol t i os
Al ambre
sensor
$
i
J
I
I
l
Corri ent e
de lectura
Fi gura 7-32
Ti empo
Sal i da de al ambr e sensor
Lectura de un bi t de un ncl eo magnti co
http://libreria-universitaria.blogspot.com
H
:
= . &
o r c
x @
6 p
@ o
N
D. R: Acci onado
SA: Ampl i f i cador
sensor
Entrada de
di recci ones
Informaci n
de ent r ada
B1 82 83
l nformaci n de sal i da
Fi gura 7-33 Uni dad de memori a de ncl eos magnti cos
Registro
separador
3t l
http://libreria-universitaria.blogspot.com
312 REGI STROS, CONTADORES Y UNI DAD DE MEMORI A CAP. 7
hay una coi nci denci a de dos medi as cor r i ent es de un acci onador de pal a-
br a y un acci onador de bi t s. La di r ecci n de magnet i zaci n de un ncl ecr
no cambi a si est e r eci be sol ament e medi a cor r i ent e de uno de l os acci ona-
dores. EI resul tado es que l a magneti zaci n de l os ncl eos se cambi a al es-
tado de 1 sol amente si l os al ambres de pal abra y bi t se i nterceptan, esto
es, sol amente en l a pal abra sel ecci onada en l a posi ci n
de bi t en Ia cual
el regi stro separador es un 1.
Las operaci ones de l ectura y escri tura descri tas anteri ormente son
i ncompl etas, porque l a i nformaci n al macenada en Ia pal abra sel ecci onada
se destruye por el proceso de l ectura y l a operaci n de escri tura trabaj a
adecuadamente sl o si l os ncl eos estn borrados i ni ci al mente. Como se
menci ona en l a Secci n 7-7 Ia operaci n de l ectura debe estar segui da por
otro ci cl o que restaura l os val ores previ amente al macenados en l os ncl eos.
Una operaci n de escri tura est precedi da por un ci cl o que borra l os n-
cl eos de l a pal abra sel ecci onada.
La operaci n de restauraci n durante el ci cl o de l ectura es equi val ente
a Ia operaci n de escri tura, l o cual , en efecto, escri be l a i nformaci n previ a-
mente l eda del regi stro separador de vuel ta a l a pal abra sel ecci onada. La
operaci n de borrado durante un ci cl o de escri tura es equi val ente a una
operaci n de l ectura l a cual destruye l a i nformaci n al macenada pero pre-
vi ene l a i nformaci n l eda de l l egar al regi stro separador, al i nhi bi r al am-
pl i fi cador sensor. Los ci cl os de restauraci n y borrado se i ni ci an normal -
mente por el control i nterno de l a memori a, de tal manera que l a uni dad de
memori a, parece al mundo exteri or, como que ti ene una propi edad de l ec-
tura no destructi va.
REFERENCI AS
The TTL Data Book
for
Desi gn Engi neers. Dal l as, Texas: Texas Instruments,
I nc. , 1976.
Bl akesl ee, T. R., Dgi tal Desi gn wi th Standard MSI and LSI. Nueva York: John
Wi l ey & Sons, 1975.
3. Barna A. y D. I. Porat, Integrated Ci rcui ts i n Di gi tal El ectroni cs. Nueva York:
John Wi l ey & Sons, 1973.
Taub, H. y D. Schi l l i ng, Di gi tal Integrated El ectroni cs. Nueva York: McGraw-
Hi l l Book Co., 1977.
Gri ni ch, V. H.y H. G. Jackson, Introducti on to Integrated El ectroni cs. Nueva
York: McGraw-Hi l l Book Co., 1975.
Kostopoul os, G. K., Di gi tal Engi neeri ng. Nueva York: McGraw-Hi l l Book Co.,
1975.
Scott, N. R., El ectroni c Computer Technol ogy. Nueva York: McGraw-Hi l l Book
Co., 1970, Captul o 10.
Kl i ne, R. M., Di gi tal Computer Desi gn. Engl ewood Cl i ffs, N.J.: Prenti ce-Hal l ,
Inc., 1977, Captulo 9.
].
A
5.
6.
7.
http://libreria-universitaria.blogspot.com
7- l
PRO BL EMAS
El registro de la Figura ?-1 t,rasfiere la informacin de entrada a los flip-flops
cuando l a ent rada CP pasa por una t ransi ci n de f l anco negat i vo. Modi f i que
el ci rcui t o de t al manera
que l a i nf ormaci n de ent rada se t rasf i era al regi s-
t ro cuando un pul so de rel oj pasa por una t ransi ci n de f l anco negat i vo, t e-
ni endo en cuent a que l a ent rada de cont rol de carga es i gual al bi nari t 1'
El regi st ro de l a Fi gura 7-3 carga l as ent radas durant e una t ransi ci n ne-
gat i va de un pul so de rel oj .
i Qu
cambi os i nt ernos son necesari os
para que
l as ent radas sean cargadas durant e el f ' l anco posi t i vo del pul so?
veri f i que el ci rcui t o de l a Fi gura ?-5 usando l os mapas para si mpl i f i car l as
si gui ent es ecuaci ones de est ado.
Di see el ci rcui t o secuenci al cuya t abl a de est ado est dada a cont i nuaci n
usando un regi st ro de 2 bi t s
y compuert as combi naci onal es.
n o
r
-t ).
7
-4.
Estado
presente
Estado
si gui ente Entrada
7
-5.
Di see un ci rcui to secuenci al cuyo di agrama de estado est dado en l a Fi -
gura 6-27 uslndo un registro de 3 bits y una ROM de 16 x 4.
El conteni do de un regi stro de despl azami ento de 4 bi ts es i ni ci al mente 1101.
El regi stro se despl aza sei s veces a l a derecha, con l a entrada en seri e si endo
101101.
Cul
es el conteni do del regi stro despus de cada despl azami ento?
Cul
es l a di ferenci a entre l a trasferenci a en seri e y en paral el o?
Qu
ti po
de registro se usa en cada caso?
EI regi stro de despl azami ento bi di recci onal de 4 bi ts de l a Fi gura 7-9 se en-
capsul a dentro de una
pasti l l a de CI.
(a)
Di buj e un di agrama de bl oque de un CI mostando todas l as entradas
y sal i das.
(b) Di buj e un di agrama de bl oque usando tres CI para produci r un regi stro
de despl azami ento bi di recci onal de 12 bi ts.
7- 7.
7-9. EI sumadbr en seri e de l a Fi gura 7-10 usa dos regi stros de despl azami ento
de 4 bi ts. El regi stro A reti ene el nmero bi nari o 0101 y el regi stro B reti ene
0111. El fl i p-fl op del arrastre
Q
se borra i ni ci al mente. Li ste l os val ores bi na-
ri os en el regi stro A y el fl i p-fl op
Q
despus de cada despl azami ento.
?-10.
Qu
cambi os son necesari os en el ci rcui to de l a Fi gura 7-11 para converti r-
Io a un ci rcui to
que resta el conteni do de B al conteni do de A ?
00
00
0l
0l
l 0
l 0
l l
l l
0
I
0
I
0
I
0
I
00
0l
l 0
0l
10
l l
l 0
0l
7
-6.
?- 8.
313
http://libreria-universitaria.blogspot.com
314 REGI STROS, CONT; ADORES Y UNI DAD DE MEMORI A CAP. 7
?-11. Di see un cont ador en seri e; en ot ras pal abras det ermi ne el ci rcui t o
que
debe ser i ncl urdo ext ernament e con el regi st ro de despl azami ent o para poder
obtener un cr,ntador que opera en serie.
i -12. Di bui e el di agrama de un cont ador de ri zado de 4 bi t s bi nari o usando f l i p-
flops que se disparan con el flanco positivo.
7-13. Un f l i p-f l op t i ene una demora de 20 ns desde el moment o en que su ent rada
CP va de 1a 0 hast a el moment o en que se compl ement a su sal i da.
Cul
es
l a demora mxi ma en un cont ador bi nari o de ri zado de 10 bi t s que usa est os
f l i p-f l , rps?
Cul
es I a f recuenci a mxi ma con que puede operar el cont ador
conf i abl ement e?
?-14.
Cuant os
f l i p-f l ops deben ser compl ement ados en un cont ador bi nari o de
ri zado de 10 bi t s para al canzar I a si gri ent e cuent a despus de 0111111111?
7-15. Di buj e el di agrama de un cont ador decreci ent e bi nari o de ri zado de 4 bi t s
usando f l i p-f l ops que se di sparan en
(a)
t ransi ci n de f l anco posi t i vo y (b)
t ransi ci n de f l anco negat i vo.
7-16. Di buj e un di agrama de t i empo si mi l ar a aquel de l a Fi gura 7-15 para el con-
t ador bi nari o de ri zado de I a Fi gura 7-12.
7-17. f )et ermi ne el si gui ent e est ado para cada uno de l os sei s est ados no usados
en el cont ador de ri zado BDC de l a Fi gura 7-14.
Es
el cont ador aut ocomen-
zante?
?-18. El cont ador de ri zado demost rado en l a Fi gura P7-18 usa f l i p-f l ops que se
di sparan en l a t ransi ci n de f l anco negat i vo de l a ent rada CP. Det ermi ne
l a secuenci a de cuent a del cont ador.
Es
el cont ador aut ocomenzant e?
7-19.
Qu
pasa al cont ador de l a Fi gura 7-18 si ambas ent radas creci ent e y de-
creci ent e son i gual es a I al mi smo t i empo? Modi f i que el ci rcui t o de t al ma-
nera que cuent e haci a arri ba si ocurre est a condi ci n.
?-20. Veri f i que i as f unci ones de ent rada del f l i p-f l op del cont ador BDC si ncrni co
especi f i cado por i a Tabl a 7-5. Di buj e el di agrama l gi co del cont rdor BDC e
i ncl uye una ent rada de cont rol de habi l i t aci n de cuent a.
T 21. Di see un cont ador BDC si ncrni co con f l i p-f l ops JK.
;
-22.
Muest re l as conexi ones ext ernas de cuat ro cont adores bi nari os de CI con
carga en paral el o (Fi gura 7-19) para produci r un cont ador bi nari o de 16 bi t s.
Ll se un di agrama de bl oque para cada CI .
1-23. Const ruya un cont ador BDC usando un ci rcui t o MSI de l a Fi gura 7-19.
Pul sos de
cuent a
Fi gur a P7- 18 Cont ador de r i zadcr
http://libreria-universitaria.blogspot.com
P RO BLEMAS 3I 5
7-24. Const ruya un cont ador de 12 mdul os usando el ci rcui t o MSI especi f i cado
en l a Fi gura 7-19. D cuat ro al t ernat i vas.
?-25. usando l os dos ci rcui t os MSI especi f i cados en l a Fi gura 7-19, const ruya un
cont ador bi nari o
que cuent e desde 0 hast a el bi nari o 64.
?-26. Usando l a vari abl e de parada de I a Fi gura ?-21 como seal de comi enzo cons-
truya un segundo control de tiempo de palabra que permanezca pK)r un perodo
de 16 pulsos de reloj.
i -27. Demuest re
que un cont ador bi nari o de n bi t s conect ado a un decodi f i cador
de n a 2" l neas es equi val ent e a un cont ador de ani l l o con 2" f l i p-f l ops.
Di buj e l os di agramas de bl oque de ambos ci rcui t os para n: 3.
cunt as
se-
ales de tiempo se generan?
?-28. I ncl uya una ent rada de habi l i t aci n
para el decodi f i cador de I a Fi gura 7-22(b)
y conct el a a l os pul sos de rel oj . Di buj e l as seal es de t i empo que se generen
ahora a l as sal i das del decodi f i cador.
7-2g. Compl et e el di seo del cont ador Johnson de l a Fi gura 7-23 most rando l as
sal i das de l as ocho seal es de t i empo.
T-30.
(a) Li st e l os ocho est ados no usados en el cont ador de ani l l o de f i nai conmu-
t ado de l a Fi gura ?-23. Det ermi ne el si gui ent e est ado para cada est ado no
usado
y *, "*i r"
que, si el ci rcui t o se encuent ra en un est ado i nvl i do, est e
no regresa u , rt t e*t udo vl i do.
(b) Modi f i que el ci rcui t o como se recomi enda
en el t ext o y demuest re
que (1) el ci rcui t o produce l a mi sma secuenci a de
est ados como l a l i st ada en l a Fi gura 7-23(b),
y (2) el ci rcui t o al canza un es-
t ado vl i do de cual qui era de l os est ados no vl i dos.
?-31. Const ruya un cont ador Johnson con di ez seal es de t i empo'
i 32.
(a) La uni dad de memori a de i a Fi gura 7-24 t i ene una capaci dad de 8. 192
pal abras de 32 bi t s por pal abra.
Cunt os
f l i p-f l ops se necesi t an para el
regi st ro de di recci n de memori a
y el regi st ro separador de memori a?
(b)
Cunt as
pal abras cont endr I a uni dad de memori a si el regi st ro de di rec-
ci n t i ene 15 bi t s?
?-33. cuando el nmero de pal abras que se van a sel ecci onar es muy grande, es
conveni ent e usar una cel da de al macenami ent o bi nari o con dos ent radas de
sel ecci n: una ent rada de sel ecci n X
(hori zont al ) y una Y
(vet i cal ). Ambas
X y Y deben ser habi l i t adas
para sei ecci onar l a cel da'
(a) Di buj e una cel da bi nari a si mi l ar a l a de l a Fi gura i -29 con l as ent radas
d e s e l e c c i n Xy Y.
(b)
Demuest re cmo pueden ser usados dos decodi f i cadores de 4x 16 para
sei ecci onar una pal abra en una memori a de 256 pai abras.
i -34.
(a) Di buj e un di agrama de bl oque de l a memori a de 4x 3 de l a Fi gura 7-30,
most rando t odas l as ent radas
y sai i das.
(b) Const ruya una memori a de 8X 3
que usa dos de est as uni dades. Use una const rucci n de di agrarna de bl oque.
?-35. Se requi ere const rui r una memori a con 256 pal abras, 16 bi t s
por pal abra or-
gani zada como en l a l ' i gura 7-33. Los ncl eos est n di sponi bl es en una mat ri z
de 16 f i l as y 16 col umnas.
(a)
Cunt as
mat ri ces se necesi t an?
(b)
Cunt os
f l i p-f l ops hay en l os regi st ros de di recci n
y reparaci n?
(c)
Cunt os
ncl eos reci ben corri ent e durant e el ci cl o de l ect ura?
(d)
Cunt os
ncl eos reci ben al menos medi a corri ent e durant e un ci cl o de
escri t ura?
; i . i
http://libreria-universitaria.blogspot.com
Lg i ca d e trasf e re nci a
e ntre reg i stros
8- 1 I NTROD UCCI ON
Un si stema di gi tal es urt si stema l gi co secuenci al construi do con fl i p-fl ops
y
compuertas. Se ha mostrado en l os captul os anteri ores
que un ci rcui to
secuenci al puede ser especi fi cado por medi o de l a tabl a de estado. Para
especi fi car un si stema di gi tal extenso, con una tabl a de estado, sera muy
di fi ci l , si no i mposi bl e, porque el nmero de estados sera demasi ado gran-
de. Para sobreponer esta di fi cul tad, se di sean i nvari abl emente l os si ste-
mas di gi tal es usando una al ternati va modul ar. El si stema se subdi vi de
en subsi stemas modul ares, cada uno de l os cual es real i za al gn trabaj o
funci onal . Los mdul os se construyen a parti r de funci ones di gi tal es tal es
como regi stros, contadores, decodi fi cadores, mul ti pl exores, el ementos ari t-
mti cos y l gi ca de control . Los di ferentes mdul os se i nterconectan con
datos comunes de control para formar un si stema de computador di gi tal .
Un' mdul o si stema di gi tal tpi co sera l a uni dad
procesadora de un com-
putador di gi tal .
La i nterconexi n de l as funci ones di gi tal es para formar un mdul o si s-
tema di gi tal no puede descri bi rse por medi o de tcni cas combi naci onal es
o de secuenci as l gi cas. Estas tcni cas fueron desarrol l adas
para descri -
bi r un si stema di gi tal a ni vel de compuerta
y fl i p-fl op y no son apropi adas
para descri bi r el si stema a ni vel de funci n di gi tal . Para descri bi r un
si stema di gi tal en trmi nos de funci ones tal es como sumadores, decodi fi -
cadores y regi stros, es necesari o empl ear una notaci n matemti ca de al to
ni vel . El mtodo de l gi ca de trasferenci a entre regi stros copa esta nece-
si dad. En este mtodo, se sel ecci onan regi stros como l os componentes pri -
mitivos de un sistema digital en vez de las compuertas y los flip-flops como
en l a l gi ca secuenci al . En esta forma es posi bl e descri bi r de una manera
precisa y concisa el flujo de informacin y las tareas de procesamiento
entre l os datos acumul ados en l os regi stros. La l gi ca de trasferenci a de
regi stros usa un conj unto de expresi ones y afi rmaci ones, l as cual es ti enen
una si mi l i tud con l as afi rmaci ones usadas en l os l enguaj es de programa-
ci n. Esta notaci n presenta l as herrami entas necesari as para especi fi car
un conj unto
prescri to de i nterconexi ones entre vari as funci ones di gi tal es.
316
http://libreria-universitaria.blogspot.com
sEc. 8- 1
I NTRODUCCI ON 317
Una caractersti ca i mportante de presentaci n del mtodo l gi co de tras-
frenci a entre regi stros es que est rel aci onado muy de cerca a l a forma en
que l a genta prefi ere especi fi car l as operaci ones del si stema di gi tal .
-
LoJ componentes bsicos de este mtodo son aquellos
que describen
un si stema di gi tal a parti r del ni vel operaci onal . La operaci n de un si s-
tema di gi tal se descri be de mej or manera especi fi cando:
1. El conj unto de regi stros en el si stema
y sus funci ones.
2. La i nformaci n en cdi go bi nari o al macenada en l os regi stros.
3. Las operaci ones real i zadas a parti r de l a i nformaci n al macenaci a
en l os regi stros.
4. Las fun' ci ones de control
que i ni ci an Ia secuenci a de operaci ones.
Estos cuatro componentes forman la base del mtodo de lgica de
trasferenci a entre regi stros para descri bi r si stemas di gi tal es.
l Jn regi stro como se defi ne en l a notaci n de l gi ca de trasferenci a en-
tre regi stros, no sol amente i mpl i ca un regi stro,
pareci do al defi ni do en el
Captulo 7, si no que abarca tambin todos los otros tipos de registros,
tal s como regi stros de despl azami ento, contadores
y uni dades de memo-
ri a. Un contador se consi dera como un regi stro cuya funci n es i ncremen-
tar en 1 l a i nformaci n al macenada en 1. Una uni dad de memori a se con-
si dera como una col ecci n de regi stros de al macenami ento donde se va a
al macenar l a i nformaci n. Un fl i p-fl op por si sol o se toma como un regi s-
tro de 1 bi t. De hecho, l os fl i p-fl ops y l as compuertas asoci adas de cual qui er
ci rcui to secuenci al se l l aman regi stro, al usar este mtodo de desi gnaci n.
La i nformaci n bi nari o al macenada en l os regi stros
podra ser nme-
ros bi nari os, nmeros deci mal es bi nari os codi fi cados, caracteres al fanu-
mri cos, control de i nformaci n cual qui er i nformaci n bi nari a codi fi cada.
Las operaci ones
que se real i zan medi ante l os datos al macenados en l os
regi stros, depende del ti po de datos
que se encuentren. Los nmeros se
mni pul an con operaci ones ari tmti cas, mi entras
que el control de i nfor-
maci n se mani pul a por l o general con operaci ones l gi cas tal es como acti -
vando o borrando bi ts especfi cos del regi stro.
Las operaci ones real i zadas con l os datos al macenados en l os regi stros
se l l aman mi crooperacones. Una mi crooperaci n es una operaci n el emen-
tal que puede ser real i zada en paral el o durante un perodo de
pul so de re-
l oj . El resul tado de l a operaci n puede rempl azar l a i nformaci n bi nari a
pievia de un registro o puede ser trasferido a otro registro. Ejemplos de
mi crooperaci ones son: despl azar, contar, sumar, borrar
y cargar. Las fun-
ci ones di gi tal es i ntroduci das en el Captul o 7 son regi stros que confi guran
mi crooperaci ones. Un contador con carga en paral el o es capaz de real i zar
el i ncremento de l as mi crooperaci ones
y l a carga. Un regi stro de despl aza-
mi ento bi di recci onal es apto para real i zar mi crooperaci ones de despl aza-
mi ento a l a derecha o a l a i zqui erda. Las funci ones MSI combi naci onal es,
i ntroduci das en el Captul o 5 pueden ser usadas en al gunas apl i caci ones
para real i zar mi crooperaci ones. Un sumador bi nari o en paral el o es ti l para
i eal i zar l a mi crooperaci n de suma
(add) a parti r de l os conteni dos de l os
dos regi stros que reti enen nmeros bi nari os. Una mi crooperaci n requi ere
http://libreria-universitaria.blogspot.com
318 LOGI CA DE TRASFERENCI A ENTRE REGI STROS
CAP. 8
sol amente
un pul so
de rel oj para
su ej ecuci n, si se hace l a operaci n
en
paral el o.
En l os computadorer
en .e.i e, una mi crooperaci n
requi ere
un
nmero de pul sos
i gual al ti empo de pal abra
en el si si ema. Este l ti -o
".
i gual al nmero de bi ts en l os regi stros
de despl aza.tri u.tto que
trasfi eren
l a i nformaci n en sei e mi ent."r q.ru
l a mi crooper""i ;
;; ej ecuta.
.
Las
f unci ones
. de . cont r ol
que
i ni ci an l a secuenci a de oper aci ones
con-
si sten de seal es de. ti empo qu
l e dan secuenci a a Ias operci ones
una por
una. ci ertas condi ci ones que
dependen de l os resul tados' de
l a, ope.a"i oi ".
previ as pueden
determi nar
tambi n el estado de l as funci ones
de control .
una funci n de control es una vari abl e bi nari a qu.
.., un estado bi nari o
i ni ci a una operaci n y en el otro i nhi be l a operaci n.
-
El propsi to
de este captul o es i ntrodci r
en detal l e l os componentes
del mtodo de l gi ca de trasferenci a
entre regi stros. El captul o i ntroduce
una not aci n si mbl i ca par a
r epr esent ar
r egi st r os. par a
oper aci ones
espe_
cfi cas en l os conteni dos
de l os regi stros y para
especi fi car funci ones de
control . Esta notaci n si mbl i ca .e l l ama
-al gunas
i eces l enguaj e de tras_
ferenci a
entre regi stros o l enguaj e descri pti ui
de materi al di l c' omputador
(regi ster-transfer
l anguage or computer hardware
descri pti on l anguage) .
El . l enguaj e
de tra.sferenci a
entre i egi stros
adoptado aqui pretende
ser el
ms senci l l o posi bl e.
Debe tenerr" ei
".,errta,
si n e-ba.go, que
no exi ste
si mbol oga normal i zada para
el l enguaj e
de i rasferen"i u
?rt." regi stros y
f' uentes di ferentes adoptan
convenci ones
di ferentes.
fJna afi rmaci n
en un
-renguaj e
de trasferenci a gntre
regi stros consi s-
te de una funci n
de control y
,rna l i sta de mi crooperi ci o""..
t,u funci n de
control (l a
cual puede
ser omi ti da al gunas veces) especi fi ca l a condi ci n
de co_ntrol y secuenci a
de ti empos pa
ej ecutar l a l i sta " -r".ooperaci o-
nes... Las mi crooperaci ones
especi fi an l as operaci ones
etemerrtates que se
real i zan con Ia i nfbrmaci n
al macenada
e.r l o. regi stros. Los ti pos de mi _
crooperaci ones
encontradas
ms a menudo en l os- si stemas
al gi tat". pr_,e_
den cl asi fi carse
en cuatro categoras:
1. Mi crooperaci o' es
d,e trasferenci a
entre regi stros que no cambi an er
conteni do de l a i nformaci n
cuando l a i ni ormaci n
bi nari a se mue_
ve de un r egi st r o a ot r o.
2. Las mi cr ooper aci ones
ar i t mt i cas
r eal i zan ar i t mt i ca
con l os n_
meros al macenados
en l os regi stros.
3' Las_mi crooperaci ones
l gi cas real i zan
operaci ones
tal es como AND
y
oR con el par de bi ts i ndi vi duares
ai macenados
en l os regi stros.
4' Las mi crooperaci ones
de desprazami ento
especi fi can
operaci ones
para
i os regi stros de despl azami ento.
Las secci ones 8-2 hasta 8-4 defi nen un conj unto bsi co de mi croope-
r aci ones.
Se asi gnan_s mbol os
especi al es
a l as ml cr ooper aci ones
en el con-
j unt o
y
cada s mbol o se muest r a asoci ado
con l os' mat er i al es
di gi t al es
correspondi entes
que
confi guran Ia mi crooperaci n
establ eci da. Es i mpor_
t ant e t ener en cuent a que
l a not aci n
r gi ca de t r asf er enci a ent r e. " gi r i . n,
' se
rel aci ona
di rectarnente
con l os regi stros y Ias funci ones di gi tal s que
esta defi ne y no pueden
separarse del l l os.
http://libreria-universitaria.blogspot.com
-nl
sEc. 8- 2
TRASFERENCI A ENTRE REGI STROS 319
Las mi crooperaci ones ral i zadas con l a operaci n al macenada en l os re-
gi stros depende del ti po de datos
que resi den en l os regi stros' La i nforma-
i n bi ttai i a encontrada comnmente en l os regi stros de l os computadores
di gi tal es
puede cl asi fi carse en tres categoras:
-
1. Datos numri cos tal es como nmeros bi nari o o deci mal es bi nari os
codi fi cados usados en l os cl cul os ari tmti cos.
2. Datos no numri cos tal es como caracteres al fanumri cos u otros
smbol os bi nari os codi fi cados usados en apl i caci ones especi al es.
3. Cdi gos de i nstrucci ones, di recci ones
y otra i nformaci n de control
usada
para especi fi car l os requeri mi entos de procesami ento de da-
tos del si stema.
Las Secci ones 8-5 hasta 8-9 tratan sobre l a representaci n de datos
numr i cos
y su r el aci n con l as mi cr ooper aci ones ar i t mt i cas. La Secci n
8-10 expl i ca el uso de l as mi crooperaci ones l gi cas para el procesami ento
de datos no numri cos. La representaci n de l os cdi gos de i nstrucci n
y
su mani pul aci n con mi cr ooper aci ones, se pr esent a en l as Secci ones 8- 11
y 8- 12.
8- 2 TRASFERENCI A ENTRE REGI STROS
Los regi stros de un si stema di gi tal son desi gnados
por l etras mayscul as
(al gunas veces segui das de nmeros) para denotar l a f' unci n del regi stro.
Por ej empl o, el regi stro que reti ene una di recci n
para Ia uni dad de me-
mori a se l l ama comnmente regi stro de di recci ones de memori a
y se desi g-
na como MAR
(memory address regi ster). Otras desi gnaci ones
para el re-
gi stro son A, B, Rl , R2 e IR. Las cel das o fl i p-fl ops de un regi stro de n bi ts
se numeran en secuenci a desde t hasta n
(o desde 0 hasta n
-
1) comen-
zando desde l a i zqui erda o desde l a derecha. La Fi gura 8-1 muestra cuatro
maneras de representar un regi stro en l a forma de di agrama de bl oque. La
forma ms comn de representar un regi stro es por medi o de un rectngul o
con el nombre del regi stro dentro de l de l a manera mostrada en l a Fi gura
8- 1( a) . Las cel das i ndi vi dual es
pueden ser di st i ngui das como en
( b) ,
cada
cel da con su r espect i va l et r a y nmer o suscr i t o. La numer aci n de l as cel -
das de derecha a i zqui erda
puede ser marcada en l a parte superi or del
rectngul o como en el regi stro MBR de 12 bi ts en
(c).
l l n regi stro de 16
A
( a)
Regi st r o A
( b)
Se muest r an l as cel das i ndi vi dual es
I 6
MBR
PCt t
) |
PC(L)
Por ci ones de r egi st r o
l os regi stros
( c) Numer aci n de cel das
( d)
Fi gur a 8- 1 f ) i agr ama de bl oque de
,
n 8 A .
A . I A 3
A
^)
I
l
http://libreria-universitaria.blogspot.com
324 LoGI cA DE TRASFERENCI A ENTBE REGI STRoS
CAP. 8
bi ts se di vi de en dos partes en
(d).
Los bi ts 1a 8 se desi gnan por medi o de
l a l etra L (vi ene
de l ow) y l os bi ts 9 a 16 se l es asi gna l a l etra H
(vi ene
de
hi gh). El nombre del regi stro de 16 bi ts es PC. El smbol o PC(H) se refi ere
a l as ocho cel das de mayor orden y PC (L\
se refi ere a l as ocho cel das de
menor orden del regi stro.
Los regi stros pueden
especi fi carse en el Ienguaj e de trasferenci a entre
regi stros con una afi rmaci n decl aratori a. Por ej empl o, l os regi stros de l a
Fi gura 8-1 pueden defi ni rse con l as afi rmaci ones decl aratori as tal es como:
DECLARE REGTSTER A(8), MBR(12),
pc(t6)
DECLARE SUBREGISTER PC(L): PC(l -8), PC(H)
:
PC(9-t6)
Si n embargo, en este l i bro no se usarn proposi ci ones de decl araci n para
defi ni r l os regi stros; en vez de el l o l os regi stros se mostrarn en Ia forma
de di agrama de bl oque como en l a Fi gura 8-1. Los regi stros mostrados en
un di agrama de bl oque pueden converti rse fci l mente en proposi ci ones de
decl araci n para propsi tos de si mul aci n.
La trasferenci a de i nformaci n de un regi stro a otro se desi gna en
forma si mbl i ca por medi o del operador de rempl azo. La proposi ci n:
A<_B
denota l a trasferenci a del conteni do del regi stro B al regi stro A. Esta de-
si gna un rempl azo del conteni do de A por l o conteni do en B. Por defi ni ci n,
l o conteni do en el regi stro fuente B no cambi a despus de l a trasferenci a.
Una proposi ci n que especi fi ca una tasferenci a entre regi stros i m-
pl i ca que l os ci rcui tos estn conectados entre l as sal i das del regi stro fuen-
te hasta l as cel das de entada del regi stro de desti no. Normal mente no se
requi ere que ocurra esta trasferenci a con cada pul so de rel oj , si no sol a-
mente baj o una condi ci n predetermi nada. La condi ci n que determi na
cuando ocurre l a trasferenci a se l l ama
funci n
de control . Una funci n de
control es una funci n de Bool e que puede ser i gual a 1 0. La funci n de
control se i ncl uye en l a proposi ci n
como si gue:
x' Tr :
A <__ B
La funci n de control se determi na con dos puntos. Esta si mbol i za l as ne-
cesi dades que l a operaci n de trasferenci a puede ej ecutar por medi o de
l os mat er i al es, sol ament e cuando l a f unci n de Bool e x' Tr : 1, es deci r ,
cuando l a var i abl e : 0 y l a var i abl e de t i empo Tt : 1.
Cada proposi ci n escri ta en el l enguaj e de trasferenci a de regi stros
i mpl i ca una construcci n con materi al es para confi gurar l a trasferenci a.
La Fi gura 8-2 muestra l a confi guraci n para l a proposi ci n escri ta ante-
ri ormente. Las sal i das del regi stro B se conectan a l as entradas del regi s-
tro A, y el nmero de l neas en esta condi ci n es i gual al nmero de bi ts
en l os regi stros. El regi stro A debe tener una entrada de control de carga
de tal manera que pueda habi l i tarse cuando l a funci n de bontrol es 1.
http://libreria-universitaria.blogspot.com
' cj
i l
F i g u r a S - 2 Co n f i g u r a c i n c o n c o mp o n e n t e s d e l a p r o p o s i c i n x , T ' . . A _ B
Aunque no se muestra, se asume
que.el regi stro A ti ene una entrada adi -
ci onal
que acepta
pul ro* conti nuos si ncroni zados
de rel oj . La funci n de
control se
genera por medi o de un i nversor
y una compurta
AND. Se asu-
*" i "-i i
que l a uni dad de control
que genera l a vari abl e de ti empo T1
.u-ri .r".o' i za
con l os mi smos
pul sos d rel oj
que se apl i can al regi stro A'
La funci n , .o.,i roi
p.r-u.r""" acti va durante un perodo de pul so de
."l o
1.,rundo
l a vari abl e de ti empo es i gual a 1) y l a trasferenci a
ocurre
d.r.tti " l a si gui ente transi ci n
de un
pul so de rel oj '
Los smbol os bsi cos de l a l gi ca de trasferenci a
de regi stros se- l i sta
en l a Tabl a 8-1. Los regi stros se denotan
por l etras mayscul as
y l os n-
meros
pueden estar coni i guos
a l as l etras. Los suscri tos se usan
para di s-
ti ngui r l as cel das i ndi vi dual es
del regi stro. Los parntesi s se usan
para
aefi ni r una
porci n de un regi stro. L fl echa denota una trasferenci a
de
l "i .*u.i "
y l u di ."""i n de l a mi sma. Dos
puntos termi nan una funci n
de control
y i ,
"o-u
Se usa
para separar dos o ms operaci ones
que se ej e-
cutan al mi smo ti empo. La
proposi ci n:
Tt : A<- 8,
B<- A
denota una operaci n de i ntercambi o
que trasfi ere l os conteni dos
de dos
regi stros durante un
pul so de rel oj comn. Esta operaci n
si mul tnea es
po"ritu en los .ugirt-r con flip-fiops maestro esclavo o por disparo de
fl anco.
-
Las l l aves cuadradas
se usan conj untamente
con l a trasferenci a
de
memori a. La l etra M desi gna una
pal abra de memori a
y el regi stro ence-
rrado dentro de l as l l aves Juadradas si gni fi ca l a di recci n
para l a memori a'
Esto se expl i ca en ms detal l e a conti nuaci n'
Hay ocasi ones cuando el regi stro de desti no reci be i nformaci n
de dos
fuentes
pero evi dentemente
tro Ll -i t-o ti empo. Consi drese
dos
propo-
si ci ones:
Tt : C<- A
Ts i C<- B
La pri mera l nea establ ece
que el
feri do al regi stro C cuando ocurre
proposi ci n usa el mi smo regi stro
conteni do
del regi stro A va a ser tras-
una var i abl e de t i empo 71. La segunda
de desti no
que l a pri mera
pero con un
321
Registro B
http://libreria-universitaria.blogspot.com
Tabl a 8- l S mbol os bsi cos de l a l gi ca de t r asf er enci a ent r e r esi st r os
Smbol o
Descri pci n
Ejemplos
Letras (y
numeral es)
Suscri to
Parntesi s (
)
Fl echa *
I)os puntos
:
Coma
,
Ll aves cuadradas
[ ]
Denota un registro
Denot a un bi t de un regi st ro
Denota una porcin
de un registro
Denot a una t rasf erenci a
de i nf ormaci n
Termi na una f unci n de cont rol
Separa dos microoperaciones
Especi f i ca una di recci n para una
t rasf erenci a de memori a
A, MB& R2
Az, Be
PC(H), MBR(OP)
A<- B
x' Ts:
A<_B, B<- A
MBR + MIMARI
regi stro fuente di ferente y
una vari abl e de ti empo di ferente. La conexi n
de dos regi stros fuente al mi smo regi stro
de aesi i no
"o
pu.a"
hacerse di -
rctamente, pero
requi ere
un ci rcui to mul ti pl exor para
sel ecci onar
entre
dos cami nos posi bl es.
EI di agrama de bl oque del i i .cui l qr" confi gura
l as dos proposi ci ones
se muestra en l a Fi gura
g-8. p".ul .gi .tro.
con cua-
tro bi ts cada uno, se necesi ta
un mul ti pl "exor
de z a t l i Teas cudrupl e,
si mi l ar al mostrado previ amente
en l a i gura
b-12 pra sel ecci o' ar
el .e_
gi stro-
A o el regi stro B. Cua,ndo Ts: I i e sel ecci ona el regi stro B, pero
cuando Tt: I se sel ecci ona el regi stro A (porque
?u debe .ei o c,ru.rdo ?,
es 1). El mul ti pl exor y l a entrada de carg dei regi stro c se habi l i ta cada
vez. que
ocurra Tt 75. Esto causa una trasferenci a
de i nformaci n
del
regi stro fuente sel ecci onado
al regi stro de desti no.
Bus de t r asf er enci a
A menudo un si stema di gi tar ti ene muchos regi stros y
se debe proveer
de
cami nos para
trasferi r i nformaci n
de un regi stro u otro. consi drese por
T.
' )
I l
Figura
de dos
8-3 Uso de un multiplexor para
trasferi informacin
fuentes a un solo destino
Mul t i pl exor
cudruple
2 x l
MUX (Fi gura
5-17)
Habi l i t ar
322
http://libreria-universitaria.blogspot.com
sEc. 8- 2
TRASFERENCI A ENTRE REGI STROS
323
Fi gura 8-4 Trasferenci a entre tres regl stros
i t
: t
{
. t ' {
( {
irr
1 . 1
;
1
ej empl o l os requeri mi entos
de trasferenci a
entre l os tres regi stros como
. -rrertra en i a Fi gura 8-4. Hay sei s l neas de datos
y cada regi stro re-
"1.r.
r" mul ti pl ex
para sel eci onar entre dos fuentes. Si cada regi stro
.,si ste de n fl i p-fl op., huy necesi dad de 6 n l neas
y tres mul ti pi exores.
A medi da
qrru u,r^.rri a' el nmero de regi stros, aumertta el nmero de mul -
t i pi u*or " .
y el nmer o de l neas de i nt er conexi n. Si se r est r i nge l a t r as-
ferenci a a uno a uno, el nmero de cami nos entre l os regi stros,
pueden
."n.i t.u consi derabl emente.
Esto se muestra en l a Fi gura E-5' donde l a
,ui i u
y entrada de cada fl i p-fl op se conecta a l a l nea comn a travs de
un ci rcui to el ectrni co
que acta como un i nterruptor. Todos l os i nterrup-
tores estn abi ertos normal mente
hasta
que se requi era una trasferenci a'
Para una trasferenci a
de F, a F3
,
por ej empl o, se ci erran l os i nterrupto-
raa S,
y S]
para formar el cami no requeri do' El esquema
puede ser exten-
di do a i o,,.gi rt.os con n fl i p-fl ops,
y este requi ere n Ineas comunes.
- -
Un grup; de al ambres a' travs e Ios cual es se trasfi ere
l a i nformaci n
bi nar i a l t u i t , un bi t a l a vez ent r e r egi st r os se l l ama. bus. Par a l a t r as-
rerenci a en
paral el o, el nmero de l neas en el bus es i gual al nmero de bi ts
""
l o. regi si ros. La i dea de un bus de trasferenci a
es anl oga al si stema de
i .u.porte""entral
usado
para l l evar
gente de un l ado
para el otro' En vez de
que' cada vi aj ero use trai porte
pri vado para i r de un l ugar a otro. se usa un
si stema de bl s y l os vi aj eros espel an en fi l a su turno hasta
que est di spo-
ni bl e el t r aspor t e.
IJn si stema de bus comn
puede construi rse con mul ti pl exores
y uu
regi stro de desti no
para que el bus de trasferenci a
pueda sel ecci onarse
pol
,"Eai o de un decodi i i cador.
Lo. mul ti pl exores
sel ecci onan un regi stro fuen-
l " pu.u el bus
y el decodi fi cador
sel ecci ona un regi stro de desti no
para
trasferi r
l a i nfoi maci n desde el bus. La construcci n
de un si stema de
bus
para cuatro regi stros se di buj a en l a Fi gura 8-6. Los cuatro bi ts en Ia
-i .u
posi ci n si j ni fi cati va de i os regi stros
pasan a_ travs de un mul ti -
;i .;;;
. u 1 l ea
para formar una l nea de bus. Sol amente
dos mul ti -
pl exores se muestran en el di agrama: uno
para dos bi ts si gni fi cati vos
de
*".rol . orden
y uno
para dos bi ts si gni fi cati vos
de mayor orden. Para re-
: -
: l
Fi gura 8-5 Trasferenci a a travs de una l nea comn
http://libreria-universitaria.blogspot.com
Sel ecci onar
n LINEAS DE BUS
Lnea No. 1
Registro D
324
Figura 8-6 Sistema de bus para cuatro registros
http://libreria-universitaria.blogspot.com
q
Fuente de sel ecci n
:
00
Dest i no sel ecci onado
:
10
sEc. 8- 2
TRASFERENCI A ENTRE REGI STROS 325
gi stros de n bi ts, se necesi tan n mul ti pl exores
para produci r un bus de
n l neas. Las n l neas en el bus se conectan a n entradas de todos l os re-
gi stros. La trasferenci a de i nformaci n de un bus a un regi stro de desti no
se l ogra acti vando el control de carga de ese regi stro. El control de carga
parti cul ar acti vado se sel ecci ona medi ante l as sal i das del decodi fi cador
cuando se habi l i ta. Si el decodi fi cador no se habi l i ta, no se trasferi r ni n-
guna i nformaci n, aunque l os mul ti pl exores col oquen el conteni do de un
regi stro fuente en el bus.
Para i l ustrar l o anteri or con un ej empl o
parti cul ar, consi drese Ia si -
gui ente proposi ci n:
C<_A
La funci n de control
que habi l i ta esta trasferenci a debe sel ecci onar el
regi stro A para el bus y el regi stro C
para el desti no. Las entradas de se-
l ecci n de l os mul ti pl exores
y el decodi fi cador deben ser:
(l os
MUX sel ecci onan l os regi st ros A)
(el
decodi f i cador sel ecci ona el regi st ro C)
Habi l i t aci n decodi f i cador : 0
( el
decodi f i cador se habi l i t a)
En el si gui ente pul so de rel oj el conteni do de A, l ocal i zado sobre el bus, se
carga el regi stro C.
Tr as f er enc i a de memor a
La oper aci n de una uni dad de memor i a f ue descr i t a, en l a Secci n 7- 7. La
trasferenci a de i nformaci n a parti r de un regi stro de memori a al exteri or
se I l ama oper aci n de l ect ur a. La t r asf er enci a de l a i nf or maci n nueva a
un regi stro de memori a se l l ama l a operaci n de escri tura. En ambas ope-
rai ones, el regi stro de memori a sel ecci onado se especi fi ca
por medi o de
una di r ecci n.
Un regi stro de memori a o pal abra se si mbol i za
por medi o de Ia l etra M.
El regi stro de memori a parti cul ar entre l os muchos di sponi bl es en una uni -
dad de memori a se sel ecci ona
por medi o de l a di recci n de memori a duran-
te l a trasferenci a. Es necesari o especi fi car l a di recci n de M cuando se
escri ben
proposi ci ones de trasferenci as de memori as. En al gunas apl i ca-
ci ones, sol amente un regi stro de di recci ones se conecta a l os termi nal es
de di recci ones de Ia memori a. En otras apl i caci ones, l as l neas de di rec-
ci n forman un si stema de bus comn,
para permi ti r que muchos regi stros
especi fi quen una di recci n. Cuando se conecta sol amente un regi stro a Ia
di recci n de memori a, se sabe que este regi stro especi fi ca l a di recci n
y
que se puede adoptar una convenci n
que si mpl i fi ca Ia notaci n. Si l a l etra
M aparece por s sol a en una proposi ci n, desi gnar si empre un regi stro
de memori a sel ecci orado por l a di recci n que est al presente en el MAR.
De otra rnanera, el regi stro que especi fi ca Ia di recci n
(o l a di recci n en
s) se encerrar entre l l aves cuadradas despus del smbol o M.
i
l
http://libreria-universitaria.blogspot.com
- i ' i ai \
1' " ,
i

) ,
" ' , 1 ' r
326 LOGI CA DE TRASFERENCI A
ENTRE REGI STROS
CAP. 8
consi drese una uni dad de memori a que tenga un sol o regi stro de di -
recci ones
MAR como se muestra en l a Fi gura
g-?.
El di agrama muestra
tambi n un sol o regi stro separador de memori a MBr? usao para trasfe-
ri r datos haci a adentro y
afuera de l a memori a. Hay dos operaci ones de
trasferenci a de memori a: l ectura y
escri tura. La opraci n de l ectura es
una trasferenci a de un regi stro M de memori a sel ecci onado al MBR. Esto
se desi gna si mbl i camente por
medi o de l a proposi ci n:
R: MBR <- M
R es l a funci n de control que i ni ci a l a operaci n de l ectura. Esto causa Ia
trasferenci a de l a i nformaci n al MBR del regi stro sel ecci onado de memo-
ri a M especi fi cado por l a di recci n en el MAR. La operaci n de escri tura
es una trasferenci a del MBR al regi stro de memori a i el ecci onado M. Esto
se desi gna por medi o de l a si gui ente proposi ci n:
W: M <- MBR
I4l es l a funci n de control que i ni ci a l a operaci n de escri tura. Esta l ti ma
causa una trasferenci a de l a i nformaci n
del MBR al regi stro de memori a
M sel ecci onado por l a di r ecci n pr esent e
en el MAR.
I Pt
ti empo de acceso de una uni dad de memori a debe estar si ncroni zado
i con
l os pul sos
maest r os de r el oj en el si st ema que di spar a l os r egi st r os del
{
procesador.
En
l nemori as
rpi das el ti empo de acceso debe ser menor que
1
o i gual a un per odo
de pul so
de r el oj . En memor i as l ent as, podr a
, " , nu-
l ' cesa"rro esperar por
un nmero de pul sos
de rel oj , para que' se
compl ete l a
Ltrasterencra.
.bj n memori as de ncl eos magnti cos, l os regi stros dei proce_
sador deben esperar para que
el ti empo d ci cl o e me*' ori a ." .o-pl "t".
Para una operaci n de l ectura, el ti empo de ci cl o i ncl uye Ia restauraci n
de i a pal abra
despus de l a l ectura.
pra
una operaci n de escri tura, el
ti empo de ci cl o i ncl uye el borrado de Ia pal abra
de memori a despus d l a
l ectura.
En al gunos si stemas, l a uni dad de memori a reci be di recci ones y
datos
de muchos regi stros conectados a l os buses comunes. Consi drese el cu.o
di buj ado en l a Fi gura 8-8. La di recci n a l a uni dad de memori a vi ene de
un bus de di recci n. Se conectan cuatro regi stros a este bus y
cual qui era
puede
sumi ni strar una di recci n. La sal i da e l a memori a puede i r a cual _
qui era
de l os cuatro regi stros, l os cuares se sel ecci onan por medi o de un
decodi fi cador.
La entrada de datos a l a memori a vi ene dei bus de datos. l a
Uni dad
de memoria
Fi gura 8-7 uni dad de memori a que se comuni ca con dos regi stros externos
http://libreria-universitaria.blogspot.com
q
SEC. 8- 3 MI CROOPERACI ONES ARI TMETI CAS. LOGI CAS Y DE DESPLAZAMI ENTO 327
cual sel ecci ona uno de l os cuatro regi stros. Una pal abra de memori a se
especi fi ca en tal si stema por medi o del smbol o M segui do por un regi stro
encerrado en l l aves cuadradas. EI conteni do del regi stro dentro de l as
Il aves cuadradas especi fi ca l a di recci n de M. La trasferenci a de i nfbr-
maci n del regi stro 82 a una
pal abra sel ecci onada de memori a
por l a di -
r ecci n en el r egi st r o A1 se si mbol i za
por medi o de l a pr oposi ci n:
W: Ml At f <- 82
Est a es una opel aci n de escr i t ur a, con el r egi st r o A1 especi f i cando l a di -
recci n. Las l l aves cuadradas despus de l a l etra M dan el regi stro di rec-
ci onado usado
para sel ecci onar el regi stro de memori a M. La proposi ci n
no especi fi ca expl ci tamente Ios buses. Empero, sta i mpl i ca l as entradas
de sei ecci n requeri das
por l os dos mul ti pl exores
que forman l os buses de
di recci n
y de datos.
La operaci n de l ectura en una memori a con buses puede especi fi carse
de maner a si mi l ar . La pr oposi ci n:
R: B0 <- Ml A3l
si mbol i za una operaci n de l ectura de un regi stro de memori a cuya di rec-
ci n est dada
por 43. La i nf or maci n bi nar i a
que sal e de l a memor i a se
trasfi ere al regi stro 80. De nuevo, esta decl araci n i mpl i ca l as entradas
de sel ecci n r quer i das por el mul t i pl exor di r ecci onado
y l as var i abl es de
sel ecci n Dara el decodi fi cador de desti no.
8- 3 MI CROOPERACI ONES ARI TMETI CAS, LOGI CAS Y
,
DESPLAZAMI ENTO
Las mi crooperaci ones de trasferenci a entre regi stros no cambi an el conte-
ni do de i nf r maci n bi nar i a, cuando st a pasa del r egi st r o f uent e al r egi s-
tro de desti no. Todas l as dems mi crooperaci ones cambi an el conteni do
de l a i nfbrmaci n durante l a trasferenci a. Entre todas l as operaci ones
posi bl es que pueden exi sti r en un si stema di gi tal , hay un_conj unto
bsi co
el cual i ods Ias dems operaci ones
pueden obtenerse. En esta secci n
se defi ne un conj unto de mi crooperaci ones bsi cas, su notaci n si mbl i ca
y l os materi al es di gi tal es
que l as confi guran. Se
pueden defi ni r otras mi -
trooperaci ones con smbol os adecuados, si es necesari o,
para amol darse
a una apl i caci n
par t i cul ar .
Mi cr oope r aci ones a r i t mt i cas
Las mi cr ooper aci ones ar i t mt i cas bsi cas son: sumar , r est ar , compl emen-
tar y despl zar. Los despl azami entos
ari tmti cos se expl i can en l a Secci n
8-? conj untamente con el ti po de representaci n en datos bi nari os.
' Iodas
l as dems opel aci ones ari tmti cas
pueden obtenerse de una vari aci n o
secuenci a de estas mi crooperaci ones bsi cas.
http://libreria-universitaria.blogspot.com
Ent r adas
Lect ur a
Bus de
di r ecci n
Esc i t ur a
Bus de Catos
Sel ecci n
Car ga
Sel ecci < n
Sel ecci n
Fi gur a 8- 8 Uni dad de memor i a que
se comuni ca
con ml t i pl es r egi st r os
La mi crooperaci n
ari tmti ca
se def.i ne por l a proposi ci n:
F<- A- I
B
l a- cual
especi f i ca
una oper aci n
de sumo. Est a est abl ece que
el cont eni do
del r egi st r o
A se va a sumar al cont eni do
del r egi st . o
,
vl u
. u- u se t r as-
fi .ere al regi stro
i ..
.
para
confi gurar
ra proposi i n,
se ,equi e.e.,
tres re-
gi st r os,
A, B y
F y l a f nci n
di gi t al que
r eal i za l a oper aci n
de suma, t al
como un sumador en par ar el o.
Las ot r as oper aci o. es
ar i t mt i cas
bsi cas
se l i st an
en l a Tabl a 8- 2. La sust r acci n
ar i t mt i ca i mpl i ca
I a di sponi bi l i _
dad de un sust r act or par al el o
bi nar i o compuest o
de ci r cui t ' s susr r act or es
cornpl etos
conectados
en cascada. La sustracci n
se confi gura
a menudo
328
Sal i das
Uni dad
de
memor i a
Decodi -
fi cador
de dest i no
http://libreria-universitaria.blogspot.com
?
SEC, 8- 3 MI CROOPERACI ONES ARI TMETI CAS. LOGI CAS Y DE DESPLAZAMI ENTO 329
por medi o de l a compl ementaci n
y suma como se especi fi ca por l a si gui ente
proposi ci n:
F<- A+8+l
E es el s mbol o par a el compl ement o de 1de B. Al agr egar 1ai compl ement of c
de 1, dar el compl emento de 2 d,e B. Agregando A al compl emento de 2 de
\
'
B, se produ ci r A menos B.' i
Las mi crooperaci ones de i ncremento y decremento se si mbol i zan
por
una operaci n de ms uno menos uno ej ecutadas con l os conteni dos del
regi stro. Estas mi crooperaci ones se confi guran con un contador creci ente
o decreci ente respecti vamente.
Debe haber una rel aci n di recta entre l as proposi ci ones escri tas en
un l enguaj e de trasferenci a entre regi stros
y l os regi stros
y funci ones di -
gi tal es que se necesi tan para su confi guraci n. Para i l ustrar esta rel aci n,
consi drese l as dos proposi ci ones:
Tz i A<- Al B
Ts : A<- A * |
Tabl a 8-2 Mi crooperaci ones ari tmti cas
Desi gnaci n
si mbl i ca
Descri pci n
F<_A + B
F<- A
_
B
B<_E
B<- E+l
F<- A+E+l
A<- A+l
A<- A- l
Contenido de A ms B se trasfiere a F
Contenido de A menos B se trasfiere a F
Se compl ement a el regi st ro B (compl ement o de 1)
Formar el compl ement o de 2 del cont eni do del regi st ro B
A ms el compl ement o de 2 de B se t rasf i ere a F
I ncrement ar el cont eni do de A en 1
(cuent a
creci ent e)
Decrement ar el cont eni do de A en 1
(cuent a
decreci ent e)
Ts
Registro B
umaoor
par al el o
( Fi s . 5 - 1 )
Suma
Incrementa
Registro A
( Fi e. 7- 19)
f
T2
Fi gura 8-9 Cori fi guraci n para l as mi crooperaci ones de suma e i ncremento
\
http://libreria-universitaria.blogspot.com
330 LOGI CA DE TRASFERENCI A ENTRE REGI STRoS CAP. 8
La vari abl e de ti empo T2 i ni ci a una operaci n para sumar el conteni do
del regi stro B al conteni do presente de A. La vari abl e de ti empo ?, i ncre-
menta el regi stro A. EI i ncremento puede hacerse fci l mente con un con-
tador y l a suma de dos nmeros bi nari os puede generarse con un sumador
en paral el o. La trasferenci a de l a suma del sumador en paral el o al regi stro
A puede acti varse con una entrada de carga al regi stro. Esto i ndi ca que
el regi stro es un contador con capaci dad de carga en paral el o. La confi -
guraci n de l as dos decl araci ones se muestra en el di agrama de bl oque en
l a Fi gura 8-9. Un sumador paral el o reci be i nformaci n de entrada de l os
r egi st r os A y B. Los bi t s suma del sumador par al el o se apl i can a l as ent r a-
das de A y l a vari abl e de ti empo T2 carga l a suma al regi stro A. La vari a-
bl e de ti empo ?, i ncrementa el regi stro habi l i tando l a entrada de i ncre-
mento (o
entrada de conteo como en l a Fi gura 7-19).
Ntese que l as operaci ones ari tmti cas de mul ti pl i caci n y di vi si n
no estn l i stadas en Ia Tabl a 8-2. La operaci n de mul ti pl i caci n puede ser
representada por el si mbol o
*,
y l u di vi si n por un
/.
Estas dos operaci o-
nes son operaci ones ari tmti cas vl i das pero no se i ncl uyen en el conj unto
bsi co de mi crooperaci ones. El ni co l ugar donde estas operaci ones pueden
consi derarse como mi crooperaci ones es un si stema di gi tal en donde se
confi guran por medi o de l os ci rcui tos combi naci onal es. En tal caso, l as se-
al es que
ej ecutan estas operaci ones se propagan a travs de l as compuer-
tas, y l os resul tados de Ia operaci n pueden ser trasferi dos a un regi stro
de desti no por medi o de un pul so de rel oj , tan pronto se propagan l as sea-
l es de sal i da a travs del ci rcui to combi naci onal . En Ia mayora de l os
computadores, l a operaci n de mul ti pl i caci n se ej ecuta con una secuenci a
de mi crooperaci ones de suma y despl azami ento. La di vi si n se ej ecuta con
una secuenci a de mi crooperaci ones de resta y despl azami ento. Para espe-
ci fi car l a confi guraci n de l os materi al es en tal caso, se requi ere una l i sta
de proposi ci ones que usan mi crooperaci ones
bsi cas
de suma, resta y des-
pl azami ent o.
Mi cr oope r aci ones l gi cas
Las mi crooperaci ones Igi cas especi fi can operaci ones bi nari as para una
cadena de bi ts al macenados en l os regi stros. Estas operaci ones consi de-
ran cada bi t en l os regi stros separadamente y l o tratan como una vari abl e
bi nar i a. Como i l ust r aci n, I a mi cr ooper aci n del OR excl usi vo se si mbol i za
por medi o de Ia proposi ci n:
F<_A@ B
Esta especi fi ca una operaci n l gi ca que consi dera cada par de bi ts en l os
regi stros como vari abl es bi nari as. Si el conteni do del regi stro A es 1010 y
el del regi stro B 1100, Ia i nformaci n trasferi da al regi stro F es 0110:
l 0l 0 cont eni do de A
I 100 conteni do de B
0l l 0 conteni do de F
.-A
O B
http://libreria-universitaria.blogspot.com
q
'q
SEC. 8- 3 MI CROOPERACI ONES ARI TMETI CAS, LOGI CAS Y DE DESPLAzAMTEt \ r O 331
Hay 16 operaci ones l gi cas di ferentes posi bl es que pueden reai i zars
con dos vari abl es bi nari as. Estas operaci ones l gi cas se l i stan en l a Taoi
2-6. Todas Ias 16 operaci ones pueden expresarse en trmi nos de A\D. OR
y compl emento. Se adoptarn smbol os especi al es para estas tres mi cro-
operaci ones para di sti ngui rl as de l os smbol os correspondi entes usados
para expresar funci ones de Bool e. El smbol o v se usar para demostrar
una mi crooperaci n OR
y el smbol o A para denotar una mi crooperaci n
AND. La mi crooperaci n compl emento es l a mi sma que el compl emento de
1 y usa una barra enci ma de l a l etra
(o
l etras) que denotan el regi stro.
Usando eqtos-- smbo.l os, es posi bl e di ferenci ar entre una mi crgoperaci n
l gr_ca y una funci n de control
(o"de
Bool e). Los smbol s para l as cuatro
mi croperaci nes l gi cas se sumari zan en Ia Tabl a 8-3. Los l ti mos dos
smbol os son para l as mi crooperaci ones de despl azami ento expuestas a
cont i nuaci n.
Tabl a 8-3 Mi crooperaci ones l gi cas y de despl azami ento
Desi gnaci n
si mbl i ca
Descri pci n
A<_F
F<- A\ / B
F<_A
\ B
F<_A@B
A<- s hl A
A <-shr A
Compl ementa todos l os bi ts del regi stro A
Mi crooperaci n O R l gi ca
Mi crooperaci n AND l gi ca
Mi crooperaci n OR excl usi va Igi ca
Regi stro A de despl azami ento a l a i zqui erda
Regi stro A de despl azami ento a l a derecha
Una razn muy i mportante para adoptar un smbol o especi al
para l a
mi crooperaci n OR es di ferenci ar el smbol o
*
cuando se usa como un ms
ari tmti co en una operaci n l gi ca OR. Aunque el smbol o *
ti ene dos si g-
ni fi cados,"?s pbsi bl e di sti ngui rl os notando cuando ocurren l os smbol os.
Cuancl o este smbol o se presenta en una mi crooperaci n, denota un ms
ari tmti co. Cuando ocurre en una funci n de control
(o
de Bool e) denota
una operaci n l gi ca OR. Por ej empl o en Ia decl araci n:
Tr +Tr : A<- A* B, C<- D\ / F
el
{
entre Tr y Tz es una operaci n OR entre dos vari abl es de ti empo
de una funci n de control . EI
*
entre A y B especi fi ca una mi crooperaci n ,
de suma. La mi crooperaci n OR se di sti ngue
por el smbol o V entre l os re-
gi st ros D y F.
Las mi crooperaci ones l gi cas pueden confi gurarse fci l mente con un
grupo de compuertas. EI compl emento de un regi stro de n bi ts se obti ene
de n compuertas i nversoras. La mi crooperaci n AND se obti ene de un gru-
po de compuertas AND, cada una de l as cual es reci be un par de bi ts de l os
dos regi stros fuente. Las sal i das de l as compuertas AND se apl i can a l as
entradas del regi stro de desti no. La mi crooperaci n OR requi ere un grupo
de compuertas OR di spuestas de manera si mi l ar.
http://libreria-universitaria.blogspot.com
Mi cr ooper aci ones
de despl azami ent o
Las mi crooperaci ones
de despl azami ento
trasfi eren l a i nformaci n
bi nari a
entre regi stros
en l os computadores
en seri e. Se usan taui ., ."
"o-pui u-
dores en paral el o para
operaci ones
ari tmti cas,
l gi cas
v
de control . Los
regi stros pueden
trasferi rse
a l a i zqui erda
o a l ; de;e;ha. No hay smbol os
convenci onal es para
l as operaci ones
de desprazami ento.
En est ri bro, se
adoptan l os smbol os convnci onal es para
l as operaci or,.,
" despl azami en_
t o.
4n
est e l i bro, se adopt an l os s mbol os shl y, ar p". u-r"s
operaci ones de
despl azami ento
a l a i zqui erda y a l a derecha ..pu.ti u-r' t..^po.
.j upr,o,
A <- shl A, ,B <_ shr .B
son dos- mi crooperaci ones que
especi fi can
un despl azami ento
de 1 bi t a l a
i zqui erda-
del regi stro
A y r bi t Ia derecha
a.r' r"gi .l ;-g.
Br smboro de
:t^*l:,:
g:be
ser el mismo en ambos lados de Iu fl.""h; ;;-o .r'u operacin
oe l ncrement o.
Mi entras l os bi ts. de un regi stro se despl azan, l os fl i p-frops extremos
reci ben i i i formaci n
de ra entrad"
"n
..--nl -nrp-"p' e*tre-o
ett en
l a posi ci n
de extrema i zqui rda
i "-i e"gi l tro,
dunte^ una operaci n de
despl azami ento
a l a derech.y
en l a posi ci n
de extrema i zqui erda
durante
una operaci n
de despl azami ento
a l a i zqui erda.
La i nformci n
tasferi da
a l os fl i p-fl ops
extremos no se especi fi ca por
l os smbol os si L y rhr.
por
tan_
to, una proposi ci n
de una mi crooperaci n
de despl azami ento
debe estar
acompaada
con otra mi crooperaci n que
especi fi ca el val or de l a entrada
en seri e del bi t trasferi do al fl i p-fl op
.i tr.-.
por
ej empl o:
A <-- shl A, A, <_ An
e-s un despl azami ento-.ci rcul ar
que
trasfi ere
el bi t de l a extrema i zqui erda
desde An hast a el f l i p-f l op
ae t a ext rema
derecha , . -n. manera si -
mi l ar:
.B <- shr B, An <- E
es una operaci n
de despl azami ento
a l a derecha con el fl i p-fl op de l a ex_
trema i zqui erda
A" reci bi endo
el val or del regi stro f a" i i t.
8- 4 PROPOSI CI ONES
CONDI CI ONALES
DE CONTROL
Es
,conveni ente
al gu.nas
veces especi fi car
una condi ci n de control por
med-i o de una proposi ci n
condi ci onal
en vez de una funci n
de control de
Bool e. una proposi ci n
de control condi ci onal
se si mbol i za por
medi o de
una proposi ci n
de si -entonces-por
tanto de l a si gui ente manera:
P: sl (condi ci n)
ent onces
I mi crooperaci n(es)]
por
tanto
I
mi crooperaci n(es)]
La proposi ci n
se i nterpreta
de manera que
si l a condi ci n de control , es-
tabl eci da
entre parntesi s
despus de l a pal abra
r;, es u"l aua.ru,
enton-
332
!F
l
http://libreria-universitaria.blogspot.com
SEC. 8 - 4
PROPOSI CI ONES CONDI CI ONAL ES
DE CONTBO' 3 3 3
ces se ej ecuta l a mi crooperaci n
(o mi crooperaci ones
t encerrada ent re
parnt esi s despus de l a pl abra ent onces. Si l a condi ci n no es verdadera'
se ej ecuta l a mi croopetu.i tt
l i stada despus de l a. pal abra pc)r tanto. De
cual qui er forma, l a funci n de control P debe ocurri r para cuai qui er evento
qu" ." haga. Si l a parte por tanto f.al ta, entonces si l a condi ci n no es ver-
dadera-rro*se ei6Cta nada'
-"' Li l pi oposi ci n
de cont rol condi ci onal es ms una corrveni enci a
que
,r,a ,receri dad. Esta habi l i ta l a escri tura de
proposi ci ones mas cl aras
que
son ms fci l es de i nterpretar
por l a gente. Puede ser reescri ta
por una
proposi ci n convenci onal si n l a forma si -entonces-por tanto'
Como ej empl o, consi drese
Ia proposi ci n de control condi ci onal :
?z: si ( C: 0) ent onces
( F
*
1) por t ant o ( F
*
0r
Se asume
que F es un regi stro de 1 bi t
(fl i p-fl op) qre puede ser puesto a 1
o borrado. Si el regi stro C es un regi stro de 1 bi t, Ia afi rmaci on es equl va-
l ente a l as dos
proposi ci ones si gui entes:
C' Tr : F<- I
CTt: F<-- 0
Ntese
que l a mi sma vari abl e de ti empo
puede ocurri r en dos tunci ones de
cont rol separadas. La vari abl e C puede ser 0 1; por t ant o soi ament e una
de l as ,oi "rooperu.i ones
se ej ecutan durante T2
,
dependi endo
del val or
de C.
si el regi stro c ti ene ms de un bi t, l a codi ci n c_: 0 si gni fi ca
que
todos tos i tl ae C deben ser 0. Al asumi r que el regi suo f' ti ene cuatro bi ts
Cr, Cr, Cz
y Ca l a condi ci n
para C: 0
puede ser expresada con una
funci i r de Bool e:
x
:
C Cl CCl :
(C, + c2 + c3 + cl )'
La vari abl e r puede ser
generada con una compuerta \oR. usando l a de-
fi ni ci n de r como ." ..Iubl u"i ,
l a proposi ci n del control condi ci onal
es
equi val ente
a dos
ProPosi ci ones:
xTr: F <- I
x' Tr: l f <- 0
La vari abl e : 1 si C: 0
pero es i gual a 0 si C I 0'
Cuando se escri ben
proposi ci ones de control condi ci onal ,
se debe tener
en cuenta
que l a proposi i i n establ eci da despus de l a pal abra sl , es parte
de l a funci n de cbntrol
y no parte de l a proposi ci n de mi crooperaci n.
La
condi ci n debe establ ecerse cl aramente
y debe
poder confi gurarse con un
ci rcui to combi naci onal .
.;
.l

, i j
. :
' i
.' .' t
http://libreria-universitaria.blogspot.com
8- 5 DATOS BI NARI OS DEL PUNTO FI JO
La i nformaci n
bi nari a encontrada en l os regi stros representa datos o i n-
.r-ormaci n
de control . Los datos son operando-s y otros^el ementos
di scretos-
de i nformaci n
con l os cual es se opera para
l ograr l os resul tados requeri -
dos. La i nformaci n de control es un bi t o g*l po
de bi ts que
especi fi can
Ias-operaci ones que
se van a ej ecutar. una u"i aa de i nfomaci n
de con_
trol al macenada en l os regi stros de computador
di gi tal se l l ama i nstruc_
ci n. y
es un cdi go bi nari o que especi fi ca l as opei a"i o.r". que se van a
real i zar con l os datos acumul ados.
Los cdi gos d i nstrucci n y su repre-
sentaci n en l os regi stros se presentan
en l a Secci n
g-11.
Al fi nal de^ l as
si gui entes secci ones se presentan
al gunos ti pos comunes de datos y su
r epr esent aci n.
Repr esent aci n
del si gno y
el punt o
r adi cal
Un regi stro con n fl i p-fl ops puedc
al macenar un nmero bi nari o de n bi ts;
cada fl i p-fl op repres_enta un dgi to bi nari o. Este representa Ia magni tu
del nmero pero no da i nformaci n acerca de su si gno o l a posi ci n de"l pun-
to bi nari o. El si gno se necesi ta para
operaci one ari tmi i cas yu q.r ."-
presenta
cuando el nmero es posi ti vo
o negati vo. La posi ci n
del punto
decimal es necesaria para representar
enteros, fracciones o nmeros en-
teros y fracci onari os mezcl ados.
El si gno de un nmero es una canti dad di screta de i nformaci n que
ti ene dos val ores: ms o menos. Estos dos val ores pueden
ser represen-
tados por un cdi go de un bi t. La convenci -n qs representar un ms cor .r.,
0 y u. n menos. con un L Par a r epr esent ar
u. t nmr o bi nar i o con si gno, se
necesi tan n: k
+
1 fl i p-fl ops, k de el l os para
l a magni tud y uno para
al ma-
cena el si gno del nmero.
La representaci n
del punto
bi nari o se compl i ca por el hecho de que
ste se caracteriza por
una posicn
entre los dos flip-flops en el registio.
Ha}' dos maneras p-osi bl es
de especi fi car l a posi ci n
i el
i unto
bi nari o en
un regi stro: dndol e una posi ci n
de punto
/ryo
o empl eando una represen-
taci n de punto
fl otante.
El mtodo del punto fi j o ai ume que el prr.,to
bl -
rari o est si empre fi j o en un posi ci n.
Las dos posi ci ones
ms uJadas son
'
L' un punto
bi nari o en el extremo i zqui erdo cl el regi stro para hacer del
sumer o al macenado una f r acci n, y ( 2)
un punt o
bi nar i o en el ext r emo del
regi stro para hacer del nmero al macenado
un entero. En ambos casos el
punto
bi nari o no es_ vi si bl e fsi camente, pero
se asume a parti r
del hecho
de que el nmero al macenado en el regi stro se trata
"orn
.,.r, fracci n o
como un entero. La representaci n
del punto
fl otante usa un segundo re-
gi stro para
ai macenar un nmero que
desi gna l a posi ci n
del punl o bi nari o
en el pr i mer
r egi st r o. La r epr esent aci n
el punt o
f l ot ant e i e expl i ca en
l a Secci n 8- 9.
Nmer os bi nar i os con si gnos
cuando un nmero bi nari o de punto
fi j o es posi ti vo,
el si gno se representa
como 0 y l a magni tud por
un nmero bi nari o posi ti vo.
cua-ndo el nmero es
334
/
+
I
http://libreria-universitaria.blogspot.com
1
sEc . 8- 5
njgglyg el signo se representa por un
rpisariiado
por cualquiera de Las tres
1. Si gno-magni t ud.
2. Si gno-compl emento
de 1.
3. Si gno-compl ement o
de 2.
Si gno- magni t ud
DATOS BI NARI OS DEL PUNTO FI JO 335
1 y el resto del nmero puede ser
maneras si gui entes. Estas son:
En l a representaci n de l a magni tud del si gno, sta se representa por un
nmero bi nari o posi ti vo. En l as otras dos representaci ones, el nmero es-
tar en compl emento de 2 de 1. Si el nmero es posi ti vo, l as tres repre-
sentaci ones son i gual es.
Como ej empl o, el nmero bi nari o 9 se escri be a conti nuaci n en tres
modal i dades. Se asume
que se di spone de un regi stro de 7 bi ts para al ma-
cenar el si gno y l a magni tud del nmero.
+9
0 00100r
- 9
I 001001
Si gno- compl ement odel
0 001001 I l l 0l l 0
Si gno- compl ement ode2
0 001001 I l l 0l l l
Un nmero
posi ti vo en cual qui er representaci n ti ene un 0 en el bi t de l a
extrema i zqui erda para un ms, segui do de un nmero bi nari o
posi ti vo. Un
nmero n"guti no si l mpre ti ene un 1 en el bi t de l a extrema i zqui erda
para
un menos,
pero l os bi ts de magni tud se representan en forma di ferente.
En l a reprei entaci n de si gno-magni tud, estos bi ts son el nmero
posi ti -
vo; en l a representaci n del compl emento de 1, estos bi ts son el compl e-
mnto del nmero bi nari o;
y en I representaci n dei compl emento de 2, el
nmero est en su forrna de compl emento de 2.
La cl ara representaci n del si gno-magni tud
de
-
9 se obti ene de
*
9
(0001001) .o^pi "rn".,tando
sol amente el bi t del
.si gno'
La reprresentaci n
de si gno-compi emento
de i de
-
9
!"
obti ene
gompl ementando
fodos. l os
bi t s de 00g1001
(+g), i ncl uyendo el bi t del si gno- La represent aci n de
si gno-conpl emento de 2 se l ogra obteni endo el compl emento de 2 del nme-
ro posi ti vo, ncl uyendo su bi t de si gno.
Suma ar i t mt i ca
La razn
para usar l a reprsentaci n de si gno-compl emento
para l os n-
meros tt"gti not se har aparente una vez se consi deren
l os di ferentes
pu.o, p"t formar l a suma de dos nmeros con si gno. La representaci n
de si gno-magni tud es l a que ms se usa en l os cl cul os coti di anos. Por
ej empl o,
+i S V
-3b
son representados con un si gno segui do
por l a magni -
tud
^det
nmei o. Para sumar estas dos funci ones' es necesari o restar Ia
magni tud menor de l a magni tud mayor
y usar el si gno del nmero mayor
cori o el si gno del resul t ado, es deci r
11-23) a 1-35):
-
(35
-23): -
12' EI
http://libreria-universitaria.blogspot.com
336 LoGI cA DE TRASFERENCI A
ENTRE REGI STRoS
cAP. 8
proceso
de sumar dos nmeos con si gno, cuando l os nmeros negati vos
estn representados_9n-
l a forma de si gno-magni tud,
requi ere que
se com-
paren
estos si gnos. Si l os dos si gnos no son i gual es, se o*pu.n l as mag-
ni t udes r el at i r - as de l os nmer os y l uego se r est a el menor - del mayor . s
necesai o
determi nar tamtl i n el si gno del resul tado. Este es un proceso
que r equr er e
una secuenci a
de deci si ones de cont r ol de l a mi sma que
ci r _
cul tos que puedan
co-mparar, sumar y restar nmeros, cuando ."
"orrfi gu.u con mat er i al es di gi t al es.
comprese ahora el procedi mi ento
anteri or con el procedi mi ento
que
forma l a suma de dos nmeros bi nari os con si gno,
".ru.rdo
l os nmeros ne-
gat i r o- r
est n r epr esent ados
en l a f or ma de compl ement o
de 1 2. Est os
pr ocedi mi ent os
son muy si mpl es y pueden
f o mul ar se
de l a si zui ent e ma_
ner a:
5uno representada por si gno-compl ementr
de 2. La suma de dos n-
meros bi nari os con si gno y l os nmeros negati vos representados
por sus compl ementos
de 2 se obti enen de Ia suma de dl os nmeros
con sus bi t s de si gno i ncl ui dos.
se descar t a el ar r ast r e en el bi t
ms si gni f i cat i vo ( si gno) .
.\umo
representada por
sgno-compl emento
de 1. La suma de dos n-
meros bi nai os
con nmeros
negati vos representados por
sus
compl ementos de 1, se obti enen de l a suma de dos nmei os, con
sus bi t s de si gno i ncl ui dos.
si hay un ar r ast r e del bi t ms si gni -
f i cat i vo ( si gno) ,
el r esul t ado se i ncr ement a
en 1 y
el ar r ast r J se
descar t a.
Los ej empl os numri cos para
l a suma con nmeros negati vos, repre-
sentados por su compl emento
de 2, se muestran a conti nuci n.
Ni ese
que
dos nmeros negati vos
deben estar i ni ci al rhente
representad,os por
s,
compl ement o
de 2 y que
l a suma obt eni da despus de adi ci n est ar
si empr e con l a r epr esent aci n
adecuada.
I
+ 6 0 000110
+ 9 0 001001
6 I i l 1010 F
- r +
+ 9 0 001001
+15
+6
9
0 00l l l r
000110
*
, +
l l 0l l l

0 000011
- ,
I I l 0l l l
l I l 0l l l
+3
9
9
3 I l l l l 0l
* r t
18 I l 0l l l 0
\
Los dos nmeros de l os cuatro ej empl os se suman, con sus bi ts de
si gno i ncl ui dos.
cual qui er ar r ast r e del bi t de si gno se descar t a y
l os r esul _
http://libreria-universitaria.blogspot.com
4
sEc S- 5
DATOS BI NARI OS DEL PI J \ TO
F
, ] 3 3 -
tados negati vos se producen automti camente en l a forma de compi en:e:.:
de 2.
Los cuatro ej empl os se repi ten a conti nuaci n con l os nmeros nega-
ti vos representados por su compl emento de 1. El arrastre del bi t de si gno
se regresa
y agrega al bi t menos si gni fi cati vo
(arrastre fi nal l l eva fi nal de
r ei ni ci o) .
+15 0 00l l l l
+6
+9
+6
9
0001l 0
+
001001
0001l 0
I l 0l l 0
6
+9
l l l l 00l
0 001001
C':
0 00001 l
I l l 0l l 0
I I 10110
+3
I
9
9
3 I l l l l 00
- 18
I l 0l l 0l
La ventaj a de l a representaci n en l a fbrma de si gno-compl emento de
2 sobr e l a f or ma si gno- compl ement o de 1( y l a f or ma si gno- magni t ud) es
que l a pri mera conti ene sol amente un ti po de cero. Las otras dos repre-
sent aci ones t i enen ambas un cer o posi t i vo y un cer o negat i vo. Por ej em-
pl o, agregndo *
9 a
-
9 en l a representaci n de compl emento de 1, se
obt i ene:
+ 9 0 001001
- 9
I l l 0l l 0
- 0
l l l l l l l
y el r esul t ado es un cer o negat i vo, es deci r ,
( cer o posi t i vo) .
el compl emento de 0 000000
t t
t }t t 00
\ - l
-
IJn cero con su bi t de si gno asoci ado aparecer en el
l as si gui entes formas dependi endo de l a representaci n
ros negati vos:
regi stro en una de
usada
para nme-
http://libreria-universitaria.blogspot.com
338 LOGI CA DE TRASFERENCI A
ENTRE REGI STROS
I
Ambas representaci ones
de si gno-magni tud
y
compl emento
de 1 ti enen
asoci adas
con el l as l a posi bi l i dad
de
"r.r
"".o
negati vo.
La representaci n
del
.si gno-compl emento
de 2 ti ene sol amente
un cero posi ti vo.
Esto ocurre
debi do a que
el compl emento
de 2 de 0 000000 ("".n p.i ti ro)
".
0 000000 y
puede
ser obt eni do del compl ement o
de 1 ms t ( es
deci . 1111111*
1) t e-
ni endo
en cuenta que
se descarta
el arrastre
fi nal o l l eva fi nal de rei ni ci o.
El rango de l os nmeros
enteros bi nari os q"" pr"aarr^r".
u"orrrodados
en un r egi st r o
de n: &+t ur t es
- r ( 2- 1) ,
donde se r eser van
& bi t s par a
el . nmero y
un bi t para
el si gno.
u.r regi si ro
"o"
s i t,
l u.a"
al macenar
nmeros
bi nari os en el rango " *
12,
_
1)
:
-+
127. Si n embargo, como l a
representaci n
de si gno compl emento
de 2 ti ene soramente
un cero, debe
acomodar
un nmero_
ms que l as otras dos represe";;;;;r.
consi drese
l a representaci n
de l os nmeros
mayores y
menores:
+0
En signo-magnitud
0 0000000
En si gno-compl emento
de 1
0 0000000
En si gno-compl emento
de 2 0 0000000
Si gno
compl emento
de 1
CAP. 8
- 0
l 0000000
l i l l l l l l
ni nguna
Si gno
compl emento
de 2
r 0000010
l 0000001
I 0000000
En l a representaci n
.de
si gno-compl emento
cre 2, es posi bre
representar
-
128 con ocho bi ts. En general ,
l a representaci n
de si g.ro-compl emento
de 2 puede
acomodar nmeros
en er rango
+
(20
-
1) a
-
2k, d.ond.e h: n
-
I y n es el nmero
de bi ts en el regi stro.
Sust racci n
ari t mt i ca
La sustracci n
de dos nmeros
bi nari os con si gno, cuando l os nmeros
negati vos
estn en l a f913g de compl emento
de i , .i ;;t;i mpl e y puede
exponerse
como si gue:.obtngase
el compl emento
de z dl susi ro"b
6r_
cl uyendo
el si gno de bi t) y smese aL mi nuend,o (i ncruyeni o
et bi t de si g)o).
Este procedi mi ento
hace uso der hecho
!e
que
;"; ;r;;;n
de resta pue_
de cambi arse
a una operaci n
de suma si el i i gno et^.ust.aerrdo
se cambi a.
Esto se demuestra por
Ias si gui entes rel aci ones (B
es er sustraendo):
( ! A) - ( - B) : ( t . q) +( +r )
( t )
-
( + B)
:
( t A)
+ ( _ B)
cambi ar
un nmero posi ti vo
a un nmero negati vo
se hace fci l mente
to-
t"1q9
el compl emento de
2
(i ncl uyendo
el bl t a" si g.,oj . Lo contrari o es
tambi n
verdad, por.que
el compl emento
del
"o."pi ".""1' .Jgr".u
ar nme-
ro a su val or ori gi nal .
* 126: 0
l l l l l l 0
+127: 0
l l l l l l l
+ 128 (i mposi bl e)
-
126: I 0000001
-127
:
I 0000000
-
128 (i mposi bl e)

I
http://libreria-universitaria.blogspot.com
SEC. 8- 6
SOBRECAPACI DAD 339
La sust r acci n con nmer os en compl ement o de 1es si mi l ar . er ceDl { '
por el ar r ast r e f i nal o l l eva f i nal de r ei ni ci o. La sust r acci on con si gnt r - n, - g-
ni t ud r equi er e que sol ament e el bi t si gno del sust r aendo se compl ement e.
La suma
y r est a de l os nmer os bi nar i os en l a r epr esent aci n de si gno-
magni t ud se demuest r a en I a Secci n 10- 3.
Debi do a que el pr ocedi mi ent o ms senci l l o par a sumar
'
r est ar n-
meros bi nari os con nmeros negati vos l o consti tuye l a forma de si gno-
compl emento de 2, l a mayora de l as computadoras adoptan esta represen-
taci n sobre l a forma ms fami l i ar de si gno-magni tud. La razn por l a cual
el compl ement o de 2 se escoge, en vez del compl ement o de 1. es par a evi t ar
el ar r ast r e f i nal o l l eva f i nal de r ei ni ci o v
l a ocur r enci a de un cer o negat i vo.
8- 6 SOBRECAPACI DAD
Crrando dos nmeros con n dgi tos cada uno se suman
y l a suma ocupa
nf 1 d gi t os, se di ce
que hay un desbor dami ent o
por sobr ecapoci dod. Est o
es verdadero
para l os nmeros bi nari os o nmeros deci mal es con o si n
si gno. cuando se hace una suma con l pi z y papel , una sobr ecapaci dad
no
".
,rtt probl ema ya que no hay l i mi taci ones
por el ancho de l a pgi na
para
escri bi r l a suma. Una sobrecapaci dad
es un probl ema en un computa-
dor di gi tal
ya que l as l ongi tudes de todos l os regi stros, i ncl ul -endo todos
l os regi stros de memori a son de l ongi tud fi ni ta. Un resul tado de n
-t
I bi ts
no puede acomodarse en un regi stro de l ongi tud normal i zada n. Por esta
razn, muchos computadores comprueban Ia ocurrenci a de l a sobrecapaci -
dad y cuando esto ocurre,
ponen a 1 el fl i p-fl op de sobrecapaci dad
para que
el usuari o veri fi que.
un sobrecapaci dad no puede ocurri r despus de una suma si un nme-
ro es posi ti vo y el otro es negati vo
ya que agregando un nmero posi ti vo a
un nmero negati vo produce un resul tado
(posi ti vo o negati vo), el cual es
menor que el mayor de l os dos nmeros ori gi nal es. Una sobrecapaci dad
puede ocurri r si l os dos nmeros se suman
y ambos son posi ti vos o ambos
negati vos. Cuando se suman dos nmeros representados en si gno-magni -
tu, se puede detectar fci l mente una sobrecapaci dad
por el arrastre o el
nmero de bi ts. Cuando se suman dos nmeros representados en si gno-
compl emento de 2, el bi t si gno se trata como parte del nmero
pero no ne-
cesari amente i ndi ca una sobrecapaci dad.
El al gori tmo para sumar dos nmeros representados por si gno-com-
pl emento de 2, como se ha establ eci do antes, produce un resul tado i nco-
rrecto cuando sucede una sobrecapaci dad. Esto ocurre debi do a que una
sobrecapaci dad de l os bi ts del nmero cambi an si empre el si gno del resul -
tado y se causa una respuesta errnea de n bi ts. Para observar cmo ocu-
rre esto, consi drese el si gui ente ej empl o: dos nmeros bi nari os con si gno
35 y 40 se al macenan en dos regi stros de ? bi ts. La capaci dad mxi ma del
r egi st r o es
( 28
-
1) : G3 y l a capaci dad m ni ma es
- 6t j : *64.
Como l a su-
ma de l os nmeros es ?5, esta excede l a capaci dad del regi stro. Esto es va-
l edero si l os nmeros son ambos posi ti vos o negati vos. Las operaci ones en
bi nari os se muestran a conti nuaci n conj untamente con l os dos l ti mos
arrastres de l a suma:
rf
i
I
http://libreria-universitaria.blogspot.com
34O LoGI cA DE TRASFERENCI A ENTRE REGI STRoS
ar r ast r e:
- 35
-40
CAP. 8
0l r l 0l
0l 1000
ar r ast r e: 0
+35
+40
l 0
I
I
I
0
0
10001I
10r000
* 75
0 l l 0l 0l
En amb.s casos, se observa que
el resul tado de T bi ts, que debera ser po-
si t i ' t . es negat i vo o vi cever sa. obvi ament e, l a r espuest a bi nar i a es i nco-
rrecta v el al gori tmo para sumar nmeros bi nari os representados en l a
toma de compl emento de 2, como se ha establ eci do antes, fal l a en produci r
: esul t ados cor r ect os cuando ocur r e una sobr ecapaci dad. Nt ese que
si el
ar r ast r e que se er nana de l a posi ci n
del bi t de si gno se t oma como el si gno
del esul tado, entonces l os 8 bi ts de l a respuesta sern correctos.
Li na condi ci n de sobrecapaci dad puede ser detectada observando el
r r r asi r e c l o posi ci n del bi t del si gno y el ar r ast r e de l a posi ci n del bi t
oel si gno. Si estas dos categoras no son i gual es, se producen condi ci ones
' i e
s' r b sc' paci dad. Est o se i ndi ca en el ej empl o ant er i or en el cual se mues-
I fan expl ci tamente l as dos categoras. El Iector puede tratar vari os
e,empl os de nmeros qu no producen
una sobrecapaci dad para observar
cue est os dos ar r ast r es se conver t i r n ambos en 0 r i 1. Si est os se apl i can
a una compuer t a OR excl usi va, se det ect ar una sobr ecapaci dad cuando
i l sal i da de i a compuer t a es 1.
La suma de dos nmeros bi nari os con si gno, cuando se representan
Lrs numeros negati vos en l a forma de si gno y
compl emento de 2, se confi -
gi .rra con funci ones di gi tal es como se muestra en l a Fi gura 8-10. El regi stro
. f ai macena un sumando con su bi t de si gno en l a posi ci n , 4" . EI r egi s-
t r o B r l macena el ot r o sumando con su bi t de si gno en Bn. Los dos nme-
r os se - quman por medi o de un sumador en par al el o
de n bi t s. El ci r cui t o
sumador compl et o ( FA)
en l a et apa n ( l os
bi t s de si gno) se muest r a expl -
ci t ament e. El ar r ast r e que va a est e sumador compl et o es C, . El ar r ast r e
Fi gur a 8- l O Suma de nmer os en si gno- compl ement o de 2
http://libreria-universitaria.blogspot.com
s Ec . 8- 7
DESPLAZAMI ENTOS ARI TMETI COS 34l
que sal e del sumador S C, 11. La f unci n OR excl usi va de est os do= ar r as-
tres se apl i ca a un fl i p-fl op de sobrecapaci dad V. Si despues de i a sun-ra.
V: 0, ent onces l a suma car gada en A es cor r ect a. Si
y: 1.
hav una : ' ' hr e-
capaci dad
y l a suma de n bi t s es i ncor r ect a. El ci r cui t o mt ' st ado en i a
Fi gur a 8- 10 puede especi f i car se
por medi de l a si gui ent e pr . pr 5 6 q '
T: A<- A+8, V<- C, OC, * t
Las var i abl es de l a decl ar aci n se def i nen en I a Fi gur a 8- 10. \ ot ese
que l as
var i abl es C,
y Cn+r no r epr esent an r egi st r os, el l as r epr esent an ar r as-
tres del sumador
paral el o.
8- 7 DESPLAZAMI ENTOS ARI TMETI COS
Un despl azami ento ari tmti co es una mi crooperaci n
que mueve un n-
mer o bi nar i o con si gno a l a i zqui er da o a l a der echa. Un movi mi ent o ar i t -
mt i co a l a i zqui er da mul t i pl i ca un nmer o bi nar i o con si gno por 2. Un
movi mi ento ari tmti co a l a i zqui erda di vi de el nmero por 2. Los despl a-
zami entos ari tmti cos deben dej ar el si gno si n cambi o al 3rno
' a
que el
si gno del nmero permanece i gual cuando se mul ti pl i ca o di r i de por 2.
El bi t de l a extrema i zqui erda de un regi stro al macena el bi t del si gno
y l os bi t s r est ant es al macenan el nmer o. La Fi gur a 8- 11 muest r a un r e-
gi st r o de n bi t s. El bi t A" de l a ext r ema ezqui er da mant i ene el bi t del
si gno y se desi gna como A(S). Los bi ts del nmero se al macenan en l a par-
te del regi stro desi gnada
por A(N). A1 se refi ere al bi t menos si gni fi cati vo,
An
,
se i efi ere a l a posi ci n ms si gni fi cati va de l os bi ts del numero,
y A
se refi ere al regi stro entero.
n n - I I n
1
. l
. t
ri
I
. :

I
1
L
I
, 4( S) l AW\ Registro A
Bi t del Bi ts del nmero
si grro
Fi gur a 8- l l Regi st r o
que def i ne A par a despl azami ent os ar i t mt i cos
Los nmeros bi nari os de punto fi j o pueden ser representados de tres
maneras di ferentes. La manera de despl azar el nmero al macenado en un
regi stro es di ferente para cada representaci n.
Consi drese
pri mero un despl azami ento ari tmti co a l a derecha
que
di vi de el nmero por 2. Este puede si mbol i zarse
por cual qui era de l as si -
gui entes propos i ci ones :
En l a representaci n de si gno-magni tud, el despl azami ento ari tmti co a
l a derecha requi ere un movi mi ento de l os bi ts del n{rmero con un 0 col ocado
A( N) <- shr l ( N) , An- t +0
par asi gno- magni t ud
l
A <- shr A, l (S) <- l (S) para si gno-compl emento de 1 o si gno-compl emento 2)
http://libreria-universitaria.blogspot.com
342 LOGI cA DE TRASFERENCI A ENTRE REGI sTRoS
CAP. 8
en l a posi ci n
ms si gni i i cat i va. Ei bi t del si gno no se af ect a. En l a r epr e-
sent aci n de si gno- compl ement o de 2 r i de 1. t odo el r egi st r o se despl aza
mi ent r as que el bi t del si gno per manece i nal t er ado. Est r i se debe a que pa-
r a un nmer o posi t i vo
se debe col ocar un 0 en l a posi ci r i n
ms si gni f i cat i va
y par a
un nmer o negat i vo se debe col ocar un 1. Los si sui ent es ei emol os
numr i cos i l ust r an el
pr ocedi mi ent o.
Nmero posi t i vo
* 12: 0 0l 100
Si gno- magni t ud
-
12: I 0l 100
Si gno- compl ement o de 1
-
12: I l 00i I
Si gno- compl ement ode2
- 12:
I 10100
0 0l 100
l 0l 100
* 6: 0 001l 0
- 6:
I 00110
- 6:
I I l 00l
- 6:
I I l 0l 0
En cada caso el despl azami ent o ar i t mt i co a l a der echa del 12 pr oduce
un
6 si n al t er ar el si gno. Par a nmer os posi t i vos,
el r esul t ado es ei mi smo en
t odas l as t r es r epr esent aci ones. un nmer o en si gno- magni t ud, posi t i vo,
negat i vo, o cuando es despl azado, r eci be un 0 en l a posi ci n ms si gni f i ca-
t i va. La posi ci n
ms si gni f i cat i va r eci be ei bi t del si gno en l as dos r epr e-
sent aci ci nes de si gno- compl ement o. El l t i mo caso es l l amado al gunas veces
despLazami ent a con ext ensi n de si gno.
consi dr ese ahor a el despl azami ent o ar i t mt i co a i a i zqui er da que
mul t i pl i ca el nmer o por 2. Est e puede si mbol i zar se por cual qui er a de i as
si gui ent es pr oposi ci ones
:
A( N) <- shl l ( N) , A, <- 0 par a si gno- magni t ud
A <- shl A, A, <- A( S) par a si gno- compl ement o de I
A<- s hl A, Ar <- 0 para si gno-compl emento de 2
En l a r epr esent aci n de si gno magni t ud, I os bi t s del nmer o se despl azan
a l a i zqui er da con un 0 col ocado en l a posi ci n
menos si gni f i cat i va. En i a
cl e si gno- compl ement o de I t odo el r egi st r o se despl aza v el bi t del si gno
se col oca en l a posi ci n menos si gni f i cat i va. EI si gno- compl ement o de 2 es
. i mi l ar . except o que un 0 es despl azado a l a posi ci n menos si gni f i cat i va.
C' onsi dr ese el nmer o 12 despl azado a l a i zqui er da par a pr oduci r 24:
Nmer o posi t i vo
Si gno- magni t ud
Si gno- compl ement ode1 I 1001I
Si gno- compl ement ode2 I 10100
un nmero despl azado a l a i zqui erda puede
causar que ocurra un des-
bordami ento por sobrecapaci dad. LIna sobrecapaci dad ocurri r despus del
despl azami ento si exi ste l a si gui ente condi ci n rnte.s del despl azarni ento:
0 11000
I 11000
I 001l 1
l 01000
A n - l
:
I
A , @A n _ 1 : l
para sl gno-magnrtuct
para si gno-compl emento
de 1 o si gno-compl emento de 2:
http://libreria-universitaria.blogspot.com
s Ec . 8- 8
DATOS DECI MALES 343
q
, . j
I
,j
i
En el caso de si gno magni tud, se despl aza
y desaparece un 1 de l a posi ci n
ms si gni fi cati v. En el caso de si gno-compl emento, ocurri r l a sobrecapa-
ci dad si el bi t de si gno A, : A(s), no es i gual al bi t ms si gni f i cat i vo. con-
si drese el si gui ente ej empl o numri co con nmeros de si gno-compl emento
de 2:
Val or i ni ci al 9: 0 l 00l
Val or i ni ci al
- 9:
I 0l l 0
despl azami ent o
- 2:
I 0010 despl azami ent o
1- 2: 0 l l 0l
a l a i zqui erda
a l a i zqui erda
El despl azami ent o a l a i zqui er da deber a
pr oduci r 18, per o como el si gno
or i gi nal se pi er de, se obt i ene un r esul t ado i ncor r ect o con una i nver si n de
si gno. Si el bi t de si gno despus del despl azami ent o no es el mi smo que el
bi t de
- si gno
despus de 1, ocur r i r una sobr ecapaci dad. El r esul t ado co-
r r ect o ser un nmer o de n
+
1 bi t s, con el bi t de l a posi ci n ( n
+
1) cont e-
ni endo el si gno or i gi nal del nmer o el cual desapar eci despus del despl a-
zami ent o.
8- 8 DATOS DECI MALES
La representaci n de nmeros deci mal es en l os regi stros es una funci n
del cdi go bi nari o usado
para l epresentar un dgi to deci mal . Un cdi go
deci mal de 4 bi ts, por ej empl o, requi ere cuatro fl i p-fl ops para cada dgi to
deci mal . La representaci n de
*
4385 en BCD requi ere al menos i 7 fl i p-
fl ops: un fl i p-fl op para el si gno y cuatro para cada dgi to. Este nmero se
representa en un regi stro con 25 fl i p-fl ops de l a si gui ente manera:
85
0000000000 I 0000 I I I 0000 I 0 I
Al representar l os nmeros en deci mal , se desperdi ci a una canti dad
consi derabl e de espaci o de al macenami ento, ya que el nmero de fl i p-fl ops
necesar i os par a al macenar un nmer o deci mal en cdi go bi nar i o es mayor
que el nmero de fl i p-fl ops necesari os para su representaci n bi nari a equi -
val ent e. Tambi n, l os ci r cui t os r equer i dos par a r eal i zar ar i t mt i ca deci mal ,
son mucho ms compl ej os. Si n embargo, hay al gunas ventaj as en el uso de
l a representaci n deci mal ,
pri nci pal mente porque l os datos de entrada
y
sal i da del computador son generados por personas que si empre usan el
si stema deci mal . Un computador
que usa representaci n bi nari a
para ope-
raci ones ari tmti cas, requi ere conversi n de datos de deci mal a bi nari o
antes de real i zar cl cul os. Los resul tados bi nari os se deben converti r de
nuevo a deci mal es para Ia sal i da. Este procedi mi ento consume ti empo;
val e I a pena usar l o en l a si t uaci n en que l as oper aci ones ar i t mt i cas sean
enormes, como en el caso de apl i caci ones ci entfi cas. Al gunas apl i caci o-
nes, tal es como
procesami ento de datos de negoci os, requi eren pequeas
canti dades de cl cul os ari tmti cos. Por esta razn, al gunas computadoras
1
I
't
+0043
http://libreria-universitaria.blogspot.com
344 LOGI CA DE TRASFERENCI A
ENTRE REGI STROS
CAP. 8
real i zan cl cul os ari tmti cos di rectamente con datos deci mal es (en
cdi go
bi nari o) para as el i mi nar l a necesi dad de conversi n a bi nari o y de nuei o
a deci mal . Los si stemas de computadores de gran
escal a comnmente ti e-
nen componentes para
real i zar cl cul os ari tmti cos en representaci n
bi nari a y deci mal . El usuari o puede
especi fi car medi ante i nstrucci ones
programadas,
si el computador va a real i zar cl cul os en datos bi nari os o
deci mal es. Un sumador deci mal se i nt roduj o en l a Secci n b-3.
Hay tres maneras de representar nmeros deci mal es negati vos de
punto
fi j o. El l as son si mi l ares a l as tres representaci ones
de un nmero
bi nari o negati vo, excepto por
el cambi o del radi cal :
1. Si gno-magni t ud.
2. Si gno-compl ement o de 9.
3. Si gno-compl emento de 10.
un nmero deci mal _posi ti vo se representa por un 0
(para
el ms) segui do
por Ia magni tud del nmero para todas l as tres reprsentaci ones. EJ con
respecto a Ios nmeros negati vos que di fi eren l al representaci ones.
El
si gno de un nmero negati vo se representa por
un 1 y l magni tud del n-
mero es posi ti va
en l a representaci n
de si gno-magni tud. En l as otras
dos representaci ones
l a magni tud se represettl a po. l compl emento de
g
y
de 10.
El si gno de un nmero deci mal se toma argunas veces como una can-
ti dad de_4 bi ts para
estar acorde con l a repre*sentaci n
de 4 bi ts de l os
dgi tos. Es costumbre representar
un ms con cuatro ceros y
un menos
con el equi val ente
PP9
"
g,
es deci r, 1001. En esta forma todos l os pro-
cedi mi entos desarrol l ados por
l os nmeros
de si gno-compl emento
de 2 se
apl i can tambi n a l os nmeros
de si gno-compl ei rento
a ro. La suma se
hace agregando todos l os dgi tos i ncl uyendo
Lt ai gi to del si gno y descar-
tando el arrastre fi nal o i l eva fi nar de rei ni ci o.
por
e:j empl o,
+
3Tb
+
(_
240)
se hace con l a representaci n
de si gno-compl emento
aL rb ae l a si gui ent
manera:
o 375
f
9 760
0 135
E_
] ?
""
el segundo nmero representa
un menos y 260 es el compl emento de
10, de
^240.
Se
.detecta
una sobrecapaci dad
".r "ttu
representaci n
a parti r
del uR excl usi va de l os arrastres que
entran y sal en de l a posi ci n
e l os
dgi tos del si gno.
,
Las operaci ones ari tmti cas
deci mal es pueden
usar l os mi smos sm-
bol os que l as operaci ones bi nari as si empre y
uando l a base de l os nmeros
se enti enda como 10 en vez de 2. La proposi ci n:
A<- A+B+l
http://libreria-universitaria.blogspot.com
SEc, 8-9 DATOS DEL PUNTO FLOTANTE 345
puede usarse para expresar l a adi ci n del nmero deci mal al macenado en
q.l regi stro A con el compl emento de 10 del nmero deci mal en el regi stro
B. B en este caso denota el compl emento de 9 del nmero deci mal . Los des-
pl azami entos ari tmti cos son apl i cabl es tambi n a l os nmeros deci mal es
excepto que un despl azami ento a l a i zqui erda corresponde a l a mul ti pl i ca-
ci n por 10 y un despl azami ento a l a derecha a una di vi si n por 10. El
si gno-compl emento de 9 es si mi l ar al si gno compl emento de 1
5'
l a repre-
sentaci n si grro-magni tud en ambas representaci ones de radi cal es ti enen
procedi mi ent os ari t mt i cos si mi l ares.
Si l a adopci n de smbol os si mi l ares para Ias operaci ones bi nari as
y
deci mal es no fueran aceptabl es, sera necesari o formul ar smbol os di feren-
tes para l as operaci ones con datos deci mal es. Al gunas veces, l as operaci o-
nes de regi stro-trasferenci a se usan para si mul ar el si stema por medi o
de un programa de computador. En tal caso l os dos ti pos de datos pueden
especi fi carse
por decl araci ones como se hace en l os l enguaj es de progra-
maci n.
8- 9 DATOS DEL PUNTO- FLOTANTE
La representaci n del punto fl otante de l os nmeros necesi ta dos regi stros.
El pri mero representa un nmero con si gno de punto fi j o y el segundo l a
posi ci n del punto del radi cal . Por ej empl o, Ia representaci n del nmero
deci mal
+
6132.789 es de l a si gui ente manera:
si snol
I
punto deci mal i ni ci al si gno
pri mer regi stro
(coefi ci ente)
_l
E
El pri mer regi stro ti ene un 0 en l a posi ci n del fl i p-fl op ms si gni fi cati vo
para denotar un ms. La magni tud del nmero se al macena en un cdi go
bi nari o de 28 fl i p-fl ops, con cada dgi to deci mal ocupando 4 fl i p-fl ops. El
nmero en el pri mer regi stro se consi dera una fracci n, de manera que el
punto deci mal en el pri mer regi stro se fi j a a l a i zqui erda del bi t ms si gni -
fi cati vo. El segundo regi stro conti ene el nmero deci mal
+
4
(en
cdi go
bi nari o) para i ndi car que Ia posi ci n actual del punto deci mal es cuatro
posi ci ones, deci mal es a l a i zqui erda. Esta representaci n es equi val ente
al nmero expresado como una fracci n mul ti pl i cada por 10 a una potenci a
dada, es deci r,
a
6132.789 se representa como
+
.6132789 X 10+4 . Debi do a
esta anal oga, el conteni do del pri mer regi stro se l l ama coefi ci ente (y
al -
gunas veces manti sa o parte
fracci onari a)
y el conteni do del segundo re-
gi stro se l l ama exponente
(o caractersti ca).
La posi ci n del punto deci mal actual , puede estar por fuera del rango
de l os dgi tos del regi stro del coefi ci ente. Por ej empl o, asumi endo una re-
presentacin de signo-magnitud, el sigrriente contenido:
segundo regi stro
(exponente)
. .
i i :
, ; j
http://libreria-universitaria.blogspot.com
346 LoGI CA DE TRASFERENCI A ENTRE REGI STRoS
02601000
coefi ci ente
+
. 2601000X 10-a
:
*
ms a l a i zqui erda. Por
CAP. 8
.000026010000, Ios cuales produ-
otra parte,
el si gui ente conte-
E
xponente
representa el nmero
cen cuatro ceros de
ni do:
t 2601000
coefi ci ente exponente
representa el nmero
-
.2601000 X10t2:
-
260100000000, l o cual produce
ci n-
co ceros de ms a l a derecha.
En estos ej empl os, se asume que el coefi ci ente es una fracci n de pun-
to fi j o. Al gunos computadores l o asumen como un entero, de manera que el
punt o
deci mal i ni ci al en el r egi st r o del coef i ci ent e est a l a der echa del
dgi to menos si gni fi cati vo.
Otra di sposi ci n usada para
el exponente es qui tar del todo su bi t de
si gno y consi derar el exponente como "pol ari zado". Por ej empl o l os nme-
ros entre 10+4e y 16-;o pueden representarse con un exponente de dos
dgi tos
(si n
el bi t de si gno) y una pol ari zaci n
de 50. El regi stro del expo-
nente si empre conti ene el nmero E
+
50, E es el exponente actual . La sus-
tracci n de 50 del conteni do del regi stro dar el exponente deseado. En
esta forma, l os exponentes posi ti vos se representan en el regi stro en el
rango de nmeros entre 50 a
gg.
La sustracci n de 50 dar l os val ores
posi ti vos desde 00 hasta 49. Los exponentes negati vos se representan en
el regi stro en el rango de 00 hasta 49. La sustracci n de 50 da l os val ores
negati vos en el rango de
-
50 a
-
1.
Un nmero bi nari o de punto fl otante se representa de manera si mi l ar
con dos regi stros, uno para al macenar el coefi ci ente y el otro para el expo-
nente. Por ej empl o el nmero
+
1001.110 puede representarse de l a si gui en-
te manera:
si gno- punto bi nari o i ni ci al
t t
0100111000
coefi ci ente exponente
El regi stro del coefi ci ente ti ene 10 fl i p-fl ops: una para el si gno y nueve pa-
ra l a magni tud. Asumi endo que el coefi ci ente es una fracci n de punto
fi j o, el punto bi nari o actual es cuatro posi ci ones
a l a derecha, de manera
que
el exponente ti ene el val or bi nari o
-|
4. EI nmero se representa en
bi nari o como . 100111000X 10r00 (recurdese que
10roo en bi nari o es
equi val ent e al deci mal 2a
).
r SI EI ] O
t
http://libreria-universitaria.blogspot.com
SEC. 8. 9
I l l punt o deci mal se i nt er pr et a en l a r epr esent aci n de un
i a si gui ent e maner a:
c . r -
donde c representa el conteni do del regi stro coefi ci ente
y e el conteni do
del regi stro exponente. El radi cal
(base) r y l a posi ci n del punto radi cal
en el coefi ci ente se asumen si empre. Consi drese
por ej empl o, un computa-
dor que asume representaci n de ettteros
para el coefi ci ente
y base 8 para
el exponent e. El nmer o oct al
+
17. 32:
+
1132X 8' ' 2 se ver como si gue:
srgno
t
l 0r 732l
-t
Punt o
oct al i ni ci al
I
coefi ci ente
Cuando l a r epr esent aci n oct al se convi er t e
r egi st r o se convi er t e en:
exponent e
a bi nar i a, el val or bi nar i o del
000l l l l 0l l 0l 0
coefi ci ente exponente
Un nmer o de punt o f l ot ant e se di ce
que es nor mal i zado si l a posi ci n
ms si gni fi cati va del coefi ci ente conti ene un dgi to di ferente de cero. De
esta forma el coefi ci ente no ti ene ceros por del ante y conti ene el mxi mo
nmero posi bl e de dgi tos si gni fi cati vos. Consi drese
por ej empl o un
regi stro coefi ci ente
que puede acomodar ci nco dgi tos deci mal es
y un si gno,
El nmero +
.00357 X 103
:
3.57 no es normal i zado porque ti ene dos ceros
por adel ante
y el coefi ci ente no normal i zadr ti ene una preci si n hasta de
tres dgi tos si gni fi cati vos. El nmero puede normal i zarse despl azando el
coefi ci ente dos posi ci ones a i a i zqui erda y di smi nuyendo el exponente en
2 par a obt ener :
+. 35700X
10t
: 3. 5700,
el cual t i ene una pr eci si n hast a
ci nco dgi tos si gni fi cati vos.
Las operaci ones ari tmti cas con una representaci n de nmeros de
punto fl otante son ms compl i cadas
que l as operaci ones ari tmti cas con
nmeros de punto fi j o y su ej ecuci n se demora ms ti empo
y requi ere ma-
teri al es ms compl ej os. Si n embargo, l a representaci n de
punto fl otante
es ms conveni ente debi do a l os probl emas de graduaci n envuel tos en l as
operaci ones de punto fi j o. Muchos computadores ti enen una capaci dad
i nterna para real i zar operaci ones ari tmti cas de punto fl otante. Aquel l os
que- n0 ti enen esta faci l i dad se programan usual mente
para operar de este
mooo.
Sumar o restar dos nmert-rs en representaci n de punto fl otante re-
qui ere pri mero una ai i neaci n del punto del radi cal , ya que i a parte expo-
1000010
f
srgno
http://libreria-universitaria.blogspot.com
I
I
I
348 LoGI cA DE TRASFERENCI A ENTRE REGI STRoS
cAP. 8
nenci al debe hacerse i gual antes de que l os coefi ci entes se sumen o resten.
Est a al i neaci n se hace despl azando un coef i ci ent e mi ent r as que su expo-
nente se aj usta hasta que sea i gual al otro exponente. La mul ti pl i caci n o
di vi si n de punto fl otante no requi ere ai i neaci n del punto
del radi cal . El
producto puede formarse mul ti pl i cando l os dos coefi ci entes y agregando
l os dos exponentes. La di vi si n se l ogra de l a di vi si n con l os coefi ci entes
y l a sustracci n del exponente del di vi sor menos el exponente del di vi dendo.
8- 1O DATOS NO NUMERI COS
Los ti pos de datos consi derados hasta ahora representan nmeros que el
computador usa como operandos para l as operaci ones ari tmti cas. Si n
embargo, un computador no es una mqui na que sl o al macena numeros
y hace ari tmti ca a al ta vel oci dad. A menudo, un computador mani pul a
smbol os en vez de nmeros. La mayora de programas escri tos para l os
usuari os de computador estn en forma de caracteres, es deci r, un con-
j unto
de smbol os que abarcan l etras, dgi tos y vari os caracteres especi a-
Ies. Un computador es capaz de aceptar caracf,eres
1en
cdi go bi nari o),
al macenarl os en l a memori a y real i zar operaci ones con l os caracteres tras-
feri dos a un componente de sal i da. Un computador puede funci onar como
una mqui na mani pul adora de una cadena de caracteres. Por cadena de
car act er es se i mpl i ca una secuenci a f i ni t a de car act er es escr i t os uno
despus de otro.
Los caracteres se representan en l os regi stros del computador por
medi o de un cdi go bi nari o. En l a Tabl a 1-5, se l i staron 3 cdi gos de ca-
racter di ferentes de uso comn. Cada componente del cdi go representa
un. carcter y consi ste de sei s, si ete u ocho bi ts dependi endo del cdi go.
El nmero de caracteres que pueden ser al macenados en un regi stro
depende de l a l ongi tud del regi stro y el nmero de bi ts usados en el cdi -
gc. Por ej empl o, un computador con una l ongi tud de pal abra de 36 bi ts que
usa un cdi go de 6 bi ts y puede al macenar sei s caracteres por pal abra. Las
cadenas de caracteres se al macenan en l a memori a en l ugares consecuti -
vos. El pri mer carcter en l a cadena puede
ser especi fi cado a parti r de l a
di recci n de l a pri mera pal abra. El l ti mo carcter de l a cadena puede
encontrarse a parti r de l a di recci n de l a l ti ma pal abra, o por especi fi ca-
ci n de una cuenta de caracteres, o por una marca especi al que desi gna el
fi nal de l a cadena de caracteres. La mani pul aci n de caracteres se hace en
l os regi stros de l a uni dad de proceso
con cada carcter representando una
uni dad de i nf or maci n.
Otros smbol os di ferentes pueden ser al macenados en l os regi stros del
computador en forma de cdi go bi nari o. Un cdi go bi nari o puede ser adop-
tado para representar notas musi cal es para l a producci n de msi ca por
computador. Cdi gos bi nari os especi al ei rotr ncesari os para representar
patrones de l enguaj e para un si stema automti co de reconoci mi ento de
l enguaj e habl ado. La representaci n de caracteres por medi o de una ma-
tri z de puntos en pantal l a CRT (tubo
de rayos catdi cos) requi ere una re-
presentaci n en cdi go bi nari o por cada smbol o que se representa. La
i nformaci n de campo para supervi sar l a operaci n de un proceso contro-
http://libreria-universitaria.blogspot.com
DATOS NO NUMERI COS 349
s Ec . 8- 10
l ado o si stema de di stri buci n
de potenci a usa i nformaci n bi nari a codi -
fi cada
predetermi nada. El tabl ero d aj edrez
y l as fi chas
para Il evar a cabo
un
j uego por computador
requi ere al guna forma de representaci n de l a
i nformaci n en cdi go bi nari o.
Las operaci ones hechas
pri nci pal mente con datos numri cos son tras-
f"."rr"i ur, Igi ca, despl azami ntos
y deci si ones de controi . Las operaci ones
. Jru.r".unu
pr."det preparar l a i nformaci n bi nari a codi fi cada en al gn
order, ,eqrreri do
por l a mmori a
y trasferi r di cha i nformaci n de
y a l as
uni dades externas. Las operaci ones
l gi cas
y de despl azami ento
permi ten
,-rrru
"upu"i dad
de real i zar tareas de mani pul aci n
de datos
para ayudar
en el proceso de tomar deci si ones.
Las mi crooperaci ones
l gi cas son muy ti l es
para mani pul ar
bi ts i ndi -
vi duates al macnados
en un regi stro o un grupo de bi ts
que conforman un
smbol o bi nari o-codi fi cado
dad. Las operaci ones
l gi cas
pueden cambi ar
uu1o.". de bi ts, el i mi nar un
grupo de bi ts, o agregar nuev,os
val ores de bi ts
en un regi stro. Los si gui entes
ej empl os muestran cmo l os bi ts de un re-
gi stro se Lani pul an
poi l gi "a
y mi crooperaci ones
de-despl azami ento.
como
i na funci ., de opendos de i gi "a
que estn
preal macenados en l a me-
mor i a.
La mi crooperaci n
oR
puede ser usada
para poner a uno un tl l t o un
grupo sel ecci onado de bi ts en un regi stro. Las rel aci ones de Bool e
+
l : I
i
, ' + 0: r det er mi nan
que l a var i abl e bi nar i a r apl i cada a una compuer t a
bR
" o. ,
un 1, pr odu" " . , l 1 i ndependi ent ement e
del val or bi nar i o de r ; per o,
cuando se apl i ca a una compuer t a OR con un 0, no cambi ar
el val or de '
As, al apl i ar a una compuerta OR un bi t dado A, de un regi stro con un
t , s
po. i bt e poner a 1el 6l t a, si n t ener en cuent a el val or
pr evi o. Consi -
drese el si gui ente ej empl o especfi co:
0l 0l 0101 A
l l l l 0000 B
l l l l 0l 0t A<_ A\ / B
El operando l gi co en B ti ene unos en l as cuatro
posi ci ones de l os bi ts de
u' r orden. apl i car a una compuerta OR este val or con el val or pre-
seni e de A, es posi bl e poner a 1 l os cuatro bi ts de mayor orden de A pero
dej ando l os cual ro bi ts de menor orden si n cambi o. As. Ia mi crooperaci n
oR
puede ser usada
para establ ecer sel ecti vamente
l os bi ts de un regi stro.
La operaci n AND
puede ser usada
para borrar u1 bi -t o un
grupo se-
l ecci onado cl e bi t s de un r egi st r o. Las r el aci ones de Bool e . 0: 0
y ' 1
:
i mpl i can
que l a var i abl e bi nar i a f una vez apl i cadacon- un
0 a una com-
puerta i XO
pi oa.,ci r un 0 i ndependi entemente
del val or bi nari o de ; pe-
i o, .rruttdo se apl i ca con un I a una compuerta AND- no cambi ar el val or
de r. tl n bi t A dado en el regi stro A puede ser l l evado a 0 si se apl i ca con
un 0 a una compuer t a AND. consi dr ese un oper ando l gi co B: 0000 1111.
Cuando este operando se apl i ca conj untamente con l os conteni dos de un
regi stro a una compuerta AD, borrar l os cuatro bi ts de mayor orden del
r"gi .t.o
pero dej arn l os cuatro bi ts si n cambi ar:
, '
j
, :
http://libreria-universitaria.blogspot.com
3 LoGI cA DE TRASFERENcI A
ENTRE REGI STRoS
0l 0l 0l 0l A
0000 l l l l B
0000 0l0l A <_
La mi cr ooper aci n AND puede
usar se par a
de. un r egi st r o. La oper aci n AND se l l ama
moscora porque
enmascara o remueve
todos
ci onada de un r egi st r o.
0l r 0 0t 0l A
CAP. 8
A
AB
bor r ar sel ect i vament e l os bi t s
al gunas veces una operaci n de
l os unos de una por ci n
sel ec-
La oper aci n AND segui da de una oper aci n
oR puede
usar se par a
cambi ar urr ti t de un grupo
de bi ts de un val or dado a un val or .,rr"uo d"-
seado. Est o se hace par a
enmascar ar pr i mer o
l os bi t s y l uego apl i car a una
compuerta OR el nyevo val or. Por ej empl o, supngas" qrre ,r' regi stro A
cont i ene ocho bi t s, 0110 0101. Par a r empl azar l os cuat r o bi t . d" *yo. n. _
den por
el vai or 1100, se enmascar a pr i mer o
l os cuat r o bi t s
que
no se
r equ i er en:
0000 l l l l
0000 m
y l uego se agrega el nuevo val or:
0000 0t0l
I 100 0000
t r 00 0101
B1
A<- Af Bl
A
B2
A<- A\ ut Bl
La oper aci n de mscar a es una mi cr ooper aci n
AND
,
l a oper aci n de i n-
ser ci n es una mi cr ooper aci n
OR.
La- mi cr ooper aci n
xoR ( oR- excl usi va)
puede
usar se par a
compl emen-
tar' n bi t o un grupo
sel ecci onado
de bi ts de un regi stro. i as rel aci ones de
Bool e o 1: x ' y e 0: i mpl i c an que l av ar i bt ebi nar i ar puedes er
compl ement ada
cuando se apl i ca con un 1 a una compuer t a oR- excl usi va
y si es con un 0 per manece
i nal t er abl e.
Apl i cando un . i o bi t de un r esi st r o
con un I a una compuer t a
oR- excl usi va
es posi bl e
compl ement ar
l bi t
sel ecci onado.
Consi dr ese el ej empl o numr i co:
I l Ot 0l 0l A
l l l l 0000 B
00100101
A<- A@B
Los cuatro bi ts de mayor orden de A se compl ementan
despus de l a opera-
ci n oR- excl usi va
con el oper ando B. L; mi cr ooper aci n
oR- excl usi va
qy" qe usar se par a
compl ement ar
sel ect i vament e
l s bi t s de un r egi st r o.
Si el operando B ti ene s-ol o unos, Ia operaci n
oR-excl usi va compl enrerrta.
t odos l os bi t s de A. Si el cont eni do de A se apl i ca consi go mi smo a una com-
puer t a
OR- excl usi va,
se bor r ar el r egi st r o ya que
O
:
0:
http://libreria-universitaria.blogspot.com
s Ec , 8- 10
DATOS NO NUME, at cos 35!
I
I
\g
.r.!
j
, 1
q
, {
I
. J
a
:i
'i
El r al or de l gs bi t s i ndi vi dual es de un r egi st r r l puede - er l et er mi nadr '
enmascar ando
pr i mer o t odos l os bi t s except o aquel en cuest i n
l '
l uego
compr obando si el r egi st r o es i gual a 0. Supngase
que se r equi er e det er -
mi nar si el bi t 4 en el r egi st r o A es 0 1:
0l 0t 0l 0l
0101 0l 0l
0000 0000
l 0l x0l 0 A
0001000 B
A
A
A* A@A
000x 000 A<- BAA
EI bi t mar cado r puede ser 0 1. Cuando t odos l os dems bi t s est an enmas-
carados con el operando en B, el regi stro A contedr sl o cerc' s
' i
ei bi t 4
hubi er a si do 0. Si
" t
i t 4 or i gi nal ment e
f ue un 1. est e bi t
per manecer en
1. Compr obando
si el cont eni do de A es 0 no se det er mi na
si el bi t cuat r o
f ue01.
Si cada bi t del regi stro debe comprobarse
para 0 1, es mas convenl en-
t e despl azar el r egi st i o a l a i zqui er da
y t r asf er i r el bi t de mavor or den, o
""
t"gi "tt" especi a"l de 1 bi t
que comnmente
se l l ama el fl i p-i l op del bi t de
;;.;;;.". Despus de cada dspl azami ento,
el arrastre
puede comprobarse
si es 0 1 y se toma una deci si n dependi endo del resul tado.
Las operaci ones de despl azami ento
son ti l es
para agrupar o di sper-
sar i nforml ci n bi nari a codi fi cada. Agrupar i nformaci n bi nari a tal como
caracteres
es una cl peraci n
que une dos o ms caracteres el l una pal abra'
Di sper sar es l a oper aci n i nver sa
que Separ a dos o_ms car act er es
al ma-
. *i aor en una
pul r br ^ a car act er es i ndi vi dual es. consi dr ese
l a agr upa-
. i " " d gi t os OC
qn" se i nt r oduj er on
pr i mer o com( , . car act er es
ASCI I '
El cdi go e caracter"r ,qSCII
para l os dgi tos 5 y 9 se. obti ene de l a Tabl a
i - S. Cuu uno cont i ene si et e bi t s v se col oca un 0 en l a posi ci n de mayor
or den como se muest r a a cont i nuaci n.
El car ct er 5 se t r asf i er e al r egi st r o
i ,
V
" f
g
al r egi st r o B. Los cuat r o bi t s de mayor or den
no t i enen ni ngn uso
par a una r epr esenr aci n
BDC, de maner a
que se desenmascar an.
El agr u-
p- i *t e os d gi t os BDC en el r egi st r o A consi st e en despl azar el r e-
gi .tro A cuatro ,r"", u l a i zqui erda
(con ceros col ocados en l as posi ci ones
e bi ts de menor orden)
y l uego apl i ca' do a una compuerta OR el conteni -
do de l os regi stros:
ASCII 5
:
AND con 0000 1111
Despl azar A a l a i zqui erda
cuat r0 veces
A<- _A\ / B
AB
00u 0101 0011 l 00l
:
ASCI I 9
0000 0l0l 0000 l00l
0101 0000
0l 0l l 00l
:
BCD 59
http://libreria-universitaria.blogspot.com
352 LoGI cA DE TRASFERENCI A ENTRE REGI STRoS
cAP. 8
una operaci n de despl azami ento con un 0 col ocado en el bi t del extremo
se consi dera una mi crooperaci n de despl azami ento l gi co.
La operaci n bi nari a di sponi bl e en un regi stro durante operaci ones
l gi cas se l l ama una pal abra l gi ca. una pal abra l gi ca se i nrerprera como
una cadena de bi ts en oposi ci n a una cadena de caracteres o datos num-
ri cos. cada bi t en una pal abra l gi ca funci ona exactamente de l a mi sma
manera que otro bi t cual qui era; en otras pal abras, l a uni dad de i nforma-
ci n de una pal abra Igi ca es un bi t.
8- 11 CODI GOS DE I NSTRUCCI ON
La organi zaci n i nterna de un si stema di gi tal se defi ne por l os regi stros
que
usa y l a secuenci a de mi crooperaci ones que real i za con datos al mace-
nados en l os regi stros. En un si stema di gi tal para propsi tos especi al es, ra
secuenci a de mi crooperaci ones se fi j a y el si stema ej ecuta Ia mi sma tarea
especfi ca una y otra vez. Un computador di gi tal es un si stema di gi tal para
propsi tos general es
capaz de ej ecutar vari as operaci ones y adems, puede
reci bi r i nstrucci ones sobre Ia secuenci a especfi ca de operaci on". qrr"
debe
real i zar. El usuari o de un computador puede
control ar el proceso pr medi o
de un program,
es deci r, un conj unto de i nstrucci ones qL"
".pe"i fi can
l as
operaci ones, operandos y l a secuenci a en l a cual el procesami ento
ti ene que
ocurri r. La tarea de procesami ento
de datos puede ser al terada si mpl ernn-
te especi fi cando un nuevo programa
con di ferentes i nstrucci ones o espe-
ci fi cando l as mi smas i nstrucci ones
con datos di ferentes. Los cdi gos de
i nst r ucci n,
conj unt ament e con l os dat os, se al macenan en l a memor i a.
Ei control l ee cada i nstrucci n de Ia memori a y l a l ocal i za en el regi stro de
control . Ei control i nterpreta entonces l a i nstrucci n y procede
a ej ecu-
tarl a emi ti endo, una secuenci a de funci ones de' control . Cada compui ador
para propsi to general ti ene su propi o
repertori o ni co de i nstrucci ones.
La habi l i dad de al macenar y ej ecutar i nstrucci ones, el concepto de pro-
grama
al macenado, es l a propi edad
ms i mportante de un omputdor
par a pr opsi t o gener al .
Ll n cdi go de i nstrucci n es un grupo
de bi ts que Ie di ce al computador
cmo real i zar una operaci n especfi ca. Por l o general se di vi de en dos
partes,
cada una conteni endo su propi a
i nterpretaci n parti cul ar. La par-
te i ns bsi ca de un cdi go de i nstrucci n es su parte operati va. Er cdgo
de operaci n de una i nstrucci n es un grupo
de bi ts que defi ne una opera-
ci n t al como sumar , r est ar , mul t i pl i car , despl azar y compl ement ar . El
r:onj unto de operaci ones de mqui na formul ados por un computador depen-
de del procedi mi ento que se i ntenta Il evar a cabo. El nmero total de bpe-
raci ones as obteni das deterrni na' el conj unto de operaci ones de mqui na.
El nmero de bi ts requeri dos para l a parte de operaci n del cdi go de i ns-
trucci n es una funci n del nmero total de operaci ones usadas. Debe con-
si sti r de por l o menos n bi ts para
2"
(o
menos) operaci ones dadas di fe-
rentes. El di seador asi gna una combi naci n de bi ts
(un
cdi go) a cada
operaci n. La uni dad de control del computador se di sea para aceptar
esta confi guraci n de bi ts en el ti empo adecuado en una secuenci a y su-
mi ni strar l as seal es de comando adecuadas, a l os desti nos detemi nados,
para poder
ej ecutar l a operaci n especfi ca. Como ej empl o especfi co, con-
I
http://libreria-universitaria.blogspot.com
sEc. 8- 1 1
CODI GOS DE I NSTRUCCI ON 353
l
i
I
si drese un computador
que usa 32 operaci ones di sti ntas, una de el l as
si endo ,r.,u op"ru"i n de suMA. El cdi go de operaci n
puede consi sti r de
ci nco bi ts con una confi guraci n de bi ts 10010 asi gnada a l a operaci n de
suMA, cuando el cdi go de operaci n 10010 es detectado
por l a uni dad de
control , se apl i ca una seal de comando a un ci rcui to sumador
para sumar
dos nmer os.
La parte de operaci n de un cdi go de i nstrucci n especi fi ca l a opera-
ci n
qu se va a real i zar. Esta operaci n debe ej ecutarse con al gunos datos
usual mente al macenados en l os regi stros del computador. Un cdi go de
i nstrucci n,
por tanto, debe especi fi car no sol amente l a operaci n si no tam-
bi n l os regi stros donde l os operandos se encuentran de l a mi sma manera
que el regi i tro donde el resul tado se al macena. Estos regi stros deben es-
peci fi cars en un cdi go de i nstrucci n de dos maneras. Se di ce
que un
i egi stro se especi fi ca expl ci tamente si el cdi go de i nstrucci n conti ene
bi s especi al ei
para su i denti fi caci n. Por ej empl o, una, i nstrucci n
puede
contenr no sol mente una parte de operaci n si no tambi n una di recci n
de memori a. Se di ce
que l rna di recci n de memori a especi fi ca expl ci ta-
mente un regi stro de memori a. Por otra parte un regi stro se especi fi ca
i mpl ci tameni e si ste se i ncl uye como
parte de l a defi ni ci n de i a opera-
ci n, es deci r, si el regi stro est i mpl ci to en l a parte operati va del cdi go.
For mat os de cdi gos de i nst r ucci n
El formato de una i nstrucci n usual mente se di buj a en un recuadro rectan-
gul ar si mbol i zando l os bi ts de l a i nstrucci n a medi da
que el l os aparecen
n l a. pal abras de l a memori a o en un regi stro de contro,l .
Los bi ts de l a
i nstrucci n se di vi den al gunas veces en
grupos que subdi vi den l a i ns-
trucci n en partes. A cada
grupo se l e crea un nombre si mbl i co tal como
parte del ci go de operaci n o parte de una di recci n. Las di ferentes
par-
tes especi fi can di ferentes funci ones para l a i nstrucci n
y cuando se mues-
tran
j untas
consti tuyen un formato de cdi go i nstrucci n.
consi drese
por ej empl o, l os tres formatos de cdi go i nstrucci n espe-
ci fi cados en l a Fi gura 8-I2. El formato de i nstrucci n en
(a)
consi ste de un
cdi go de operaci n
que i mpl i ca un regi stro en l a uni dad
procesadora.
Se
puede ust
patu especi fi car operaci ones tal es como "borrar el regi stro
del
procesador", o "compl etar un regi stro", o "trasferi r el conteni do de un
regi tro a un segundo regi stro". El formato de i nstrucci n en
(b) ti ene un
ci go de operaci n segui do de un operando. Este se l l ama una i nstruc-
ci n de oprando i nmedi ato,
porque el operando si gue i nmedi atamente
despus d l a parte del cdi go de operaci n de l a i nstrucci n. Se puede
,r.ui puru
"tpeci fi "u.
operaci ones tal es como "sumar el operando al con-
teni d
presente del regi stro" o "trasferi r el operando al regi stro proce-
sador", o puede especi ?i car cual qui er otra operaci n a ej ecutar entre el
conteni do " ,r.t regi stto
y un operando dado. El formato de i nstrucci n
especi fi cado en l a Fi gura 8-12(c) es si mi l ar al de
(b) excepto
que el ope-
."ndo debe extraerse de la memoria al lugar especificado
por la parte de
di recci n de l a i nstrucci n. En otras
pal abras, l a operaci n especi fi cada
por el cdi go de operaci n se hace entre un regi stro procesador y un ope-
1:
+i
;
ri

$
,N
$
fl
H
H
g
u
3
i
\
' i
' - i
:
http://libreria-universitaria.blogspot.com
C di go- oper aci r i n
( a)
I mpl ci t o
( ' dr gooper aci on
I
Oper and" ( b)
Oper andc i nmedi at o
Di r ecci n
( - di go- oper aci on
I
a"f oper ancl o
Fi gur a 8- 12
' I r es
f br mat os posi bl es
de i nst r ucci n
r ando que puede al macenar se en I a memor i a de al guna maner a. La di r ec-
ci n de est e oper i ndo e' l a memor i a se i ncl uye en r a i nst r ucci n.
Asmase quc se t r ene una uni dad de memor i a con
g
bi t s por pal abr a
- v
que
un cdi go de oper aci n cont i ene 8 bi t s. La I ocal i zaci n de i os t r es
cdi gos de l a i nsr r ucci n en l a memor i a se di buj a en l a Fi gur a
g- 13.
En I a
di r ecci n 25 se t i r : ne una i nst r ucci n
i mpl ci t a que
. . p" . I f i . u una oper a-
ci n: " t r asf er i r
el cont eni do del r egi st r o pr ocesador
r ? l r egi st r o pr o" " . u-
dor 4" . Est a oper aci n puede
ser si mbol i zada por l a pr oposi ci n:
A<- R
En l as di r ecci ones de memor i a 35 y 36 se t i ene una i nst r ucci n de ope-
ando i nmedi ato que ocupa dos pal abras.
La pri mera pal abra en l a di recci n
35 es el cdi go de oper aci n par a
l a i nst r ucci n " t i asf i er a
el oner ando al
r egi st r o 4" , si mbol i zaql o como:
( c)
Di r eccr n di r ect a
A. oper ando
I i l oper ando ni smo se al macena i nmedi at ament e
despus del cdi go de
l pei aci n
en l a di r ecci n 36.
En l as di r ecci ones 45 y 4G, hay una i nst r ucci n de di r ecci n di r ect a
que
especi f i ca l a oper aci n:
A
- -
Ml di r ecci nl
Est a si mbol i za una oper aci n de t r asf er enci a de memor i a de un oper ando,
el cual se especi f i ca por l a par t e
de
di r ecci n de l a i nst r ucci n. La' segund
pal abr a
de l a i nst r ucci n
en I a di r ecci n 46 cont i ene l a di r ecci n y su- val or
es el bi nar i o 70. Por t ant o, el oper ando a t r asf er i r se al r egi st r o A, es el al -
macenado en l a di r ecci n T0 y su val or se muest r a co- o l bi nar i o 2g. N-
t ese que l a i nst r ucci n se al macena en r a memor i a en al guna di r ecci n.
Est a i nst r ucci n t i ene una par t e
de di r ecci n que
da I a di r ecci n del ope-
r ando. Par a evi t ar l a conf usi n al deci r l a pal abr a
. . di r ecci n' ,
t ant as
354
http://libreria-universitaria.blogspot.com
Di r ect i n
- -
- Memor i a
Oper ac i r , n
cod- oPer : i 4 * R
cod- oper : 2
oper ando: 44'
A. Oper ando
cod- oPer : 3
di r ecci n
-
70
A. Ml Di r e c c i n l
oper ando: 28'
Fi gur a 8- 13 Repr esent aci n de I a memor i a de i nst r ucci ones
veces, es cost umbr e r ef er i r se a l a di r ecci n de memor i a como una
" l oca-
l i zaci n" . As l a i nst r ucci n de di r ecci n di r ect a se al macena en l as l ocal i -
zaci ones 45 y 46. La di r ecci n del oper ando en l a 46 y' el oper ando est
di sponi bl e en l a 70.
De debe t ener en cl r ent a
que l a col ocaci n de l as i nst r ucci ones en l a
memor i a como se muest r a en l a Fi gur a 8- 13 es una de l as muchas al t er na-
t i vas. Sol ament e l os comput ador es muy pequeos t i enen
pal abr as de 8 bi t s.
Los computadores de gran tamao
pueden tener de 16 a 24 bi ts por pal abra.
En l a mayora de l os computadores Ia i nstrucci n compl eta
puede agrupar-
Se en una pal abr a, y en al gunos aun, se pueden agr upar dos o ms i nst r uc-
ci ones en una sol a pal abr a de memor i a.
Los f br mat os de i nst r ucci n most r ados en l a Fi Sr r a 8- 12 son t r es de
l os muchos formatos
posi bl es que pueden fbrmul arse
para computadores
di gi t al es. Se pr esent an aqu como un ej empl o
y no deben consi der ar se co-
mo l as ni cas posi bi l i dades. Los Cap t ul os 11 y 12 pr esent an y anal i zan
ot r as i nst r ucci ones y f or mat os de cdi gos de i nst r ucci n'
En est e punt o, se debe r econocer l a r el aci n ent r e una oper oci n
y una
mi cr ooper aci n de l a maner a apl i cada a un comput ador di gi t al . Una oper a-
ci n se especi f i ca
pol una i nst r ucci n al macenada en l a memor i a de un
comput ador . Es un cdi go bi nar i o
que di ce al comput ador
que r eal i ce una
oper ci n espec f i ca. La uni dad de cont r ol r eci be l a i nst r ucci n de I a me-
mor i a e i nt er pr et a l os bi t s del cdi go de oper aci n. Est a env a ent onces
una secuenci a de f unci ones de cont r ol
par a r eal i zar mi cr ooper aci ones en
l os regi stros i nternos del computador.
pr
cada i nst r ucci n en l a memor i a, que especi f i ca una oper aci n, el
cont r ol env a una secuenci a de mi cr ooper aci ones
que Se necesi l an
par a l a
confi guraci n de l os componentes de un cdi go de operaci n especfi co.
q
{ii
fi

i
,r
. T
I
d
' 7
ri
!
. - l
t ' -
ril
0 0 1 0 1 1 0 0
0 r 0 0 0 1 l 0
0001 I 100
http://libreria-universitaria.blogspot.com
356 LoGI cA DE TRASFERENCI A
ENTRE REGI STRoS
CAP. 8
Una operaci n es especi fi cada por el usuari o en l a forma de i nstrucci n
al
computador.
LI.a mi crooperaci n
es una operaci n
el emental que
est res_
tri ngi da por l os materi al es
di sponi bl es
dentro der computador.
Macr ooper aci ones
ver sus mi cr ooper act ones
Hay ocasi ones en que
es conveni ent e expr esar una secuenci a de mi cr o-
oper aci ones
en una sol a pr oposi ci n.
t ' na pr oposi ci n que r equi er e una
secuenci a de mi crooperaci ones para
su confi guraci n se l i ama una macro-
operacti n- Una proposi ci n
en el mtodo de notaci n de trasferenci a entre
regi stros, que
defi ne una. i nstrucci n,
es una proposi ci n
de macroopera-
ci n, aunque l as proposi ci ones
de macroop"ru..r
de i guar manera pueden
usarse en otros casos. El mtodo de trasferenci a entre regi stros puede
usarse para
defi ni r l a operaci n
especi fi cada por una i nstrucci n de com-
putador, ya que
todas l as i nstrucci oner
".p."i fi .an
al guna operaci n de
trasferenci a
entre regi stros, para que
sta l ti ma sea"ej ecutda por l os
componentes
del computador.
Al observar una decl araci n
de trasferenci a entre regi stros ai sl ada-
mente no se puede
deci r si .sta representa
una macro o mi crooperaci n ya
que
ambos ti pos de proposi ci ones
denotan al guna proposi ci n
d^e trasferen-
ci a entre regi stros. La ni ca manera de di sti ngui r^ entre el l as es reconocer
a parti r
del conteni do y l os componentes i nternos del si stema en cuesti n,
si l a pr oposi ci n
se ej ecut a con una f unci n de cont r ol o no. Si l a pr oposi -
ci n puede
ser ej ecutada con una funci n
de control senci l l a, sta rpre-
senta una mi crooperaci n.
Si l a ej ecuci n de l a proposi ci n por.medi
de
l os componentes, requi ere
d.s o ms funci or-,".
^d"
control , .e i o*u. i u
proposi ci n
como una mi crooperaci n.
Sol amente si se conocen l as restri c_
ci ones de. l os componentes
del si stema se puede
contestar esta pregunta.
consi drese, por ej empl o, l a i nstrucci n
de l a Fi gura
g-t3
si mbol i zada
por
medi o de l a proposi ci n:
A
.
operando
Esta proposi ci n
es ,na macrooperaci n porque
sta especi fi ca una i ns-
trucci n
de computador.
para
ej ecutar l a i nstrucci n
l a ni dad de control
debe emi ti r funci ones de control para l a si gui ente secuenci a de mi croone_
r aci ones:
1. Leer el cdi go de oper aci n
de l a di r ecci n 35.
2' Trasferi r el cdi go de operaci n al regi stro de control .
3. El control decodi fi ca
el cdi go de operaci n y l os reconoce
como una
i nstrucci n
de operando i nmedi ato,
d" -unra que l ea l a operaci n
de Ia di recci n
86.
4. El operando l edo de l a memori a se trasfi ere al regi stro A.
La mi crooperaci n
del paso
4 ej ecuta l a i nstrucci n, pero l os pasos
1 a 3
son ecesari os
antes de el l a para que
el control i nterprete l a i nstrucci n
en st.
http://libreria-universitaria.blogspot.com
1
!
SEC. 8. 12
DI SEO DE UN COMPUTADOR SENCI LLO 357
La proposi ci n que si mbol i za l a i nstrucci n:
A<_R
es tambi n una macrooperaci n
porque el control ti ene
pri mero que l eer el
cdi go de operaci n en l a di recci n 25 para decodi fi carl o
y reconocerl o. La
trasferenci a entre regi stros en s se ej ecuta con una segunda funci n de
cont r ol .
El rntodo de trasferenci a entre regi stros es adecuado para descri bi r
l as operaci ones entre l os regi stros en un si stema di gi tal . Se puede usar en
di ferentes ni vel es de presentaci n si se ti ene en cuenta que se i nterpreten
l as proposi ci ones adecuadamente. Se
puede usar especfi camente
para
Ias si gui entes tareas.
1. Defi ni r i nstrucci ones de computador de una manera conci sa por
medi o de proposi ci ones de macrooperaci n.
2. Expresar cual qui er operaci n deseada por medi o de una proposi ci n
de macrooperaci n si n ni nguna rel aci n con una confi Srraci n es-
pecfi ca de componentes.
3. Defi ni r l a organi zaci n i nterna de l os si stemas di gi tal es
por medi o
de funci ones de control
y mi crooperaci ones.
4. Di sear un si stema di gi tal especi fi cando l os componentes de l os
materi al es
y sus i nterconexi ones.
El conj unto de i nstrucci ones para un computador dado puede expl i -
carse en pal abras, pero cuando se defi ne con proposi ci ones de macroope-
raci n, puede establ ecerse Ia defi ni ci n
preci samente con un mni rno de
ambi gedad. El uso de otras proposi ci ones de macrooperaci n
puede fa-
ci l i tar l as especi fi caci ones i ni ci al es de un si stema y l as proposi ci ones pue-
den usarse para si mul ar el si stema cuando se desea comprobar l a opera-
ci n que se requi ere. La organi zacn i nterna de un si stema di gi tal se
descri be de mej or manera por medi o de un conj unto de funci ones de control
y mi crooperaci ones. La l i sta de proposi ci ones de trasferenci a entre regi s-
tros
que descri be l a organi zaci n del si stema, puede usarse para deduci r
l as funci ones di gi tal es con l as cual es se puede di sear el si stema.
La si gui ente secci n muestra un ej empl o de cmo el mtodo de tras-
ferenci a entre regi stros se usa en cada una de l as cuatro tareas l i stadas
anteri ormente. Esto se hace al defi ni r
y di sear un computador muy sen-
ci l l o.
8- 12 DI SEO DE UN COMPUTADOR SENCI LLO
El di agrama de bl oque de un computador senci l l o se muestra en l a Fi gura
8-14. El si stema consi ste de una uni dad de memori a, si ete regi stros y dos
decodi fi caci ones. La uni dad de memori a ti ene 256 pal abras de 8 bi ts cada
una, l o cual consti tuye poca capaci dad
para un computador real pero sufi -
ci ente
para demostrar l as operaci ones bsi cas encontradas en l a mayora
de l os computadores. Las i nstrucci ones
y l os datos se al macenan en Ia
uni dad de memori a, pero todo el proceso de i nformaci n se hace en l os
http://libreria-universitaria.blogspot.com
358 LoGI CA DE TRASFERENCI A ENTRE REGI STRoS
CAP, 8
r egi st r os. Los r egi st r os se l i st an en l a Tabl a 8- 4, conj unt ament e con una
br eve descr i pci n de su f unci on
5'
el ni r mer o de bi t s que cont i enen.
El r egi st r o de di r ecci n de memr ni a MAR, al macena l a di r ecci n de
l a menr or i a. El r egi st r o separ ador de memor i a MBR ar macena el cont eni do
de l a pal abr a
de memor i a l e da o escr i t a en l a memor i a. Los r egi st r os A y
-R -con regi stros del procesador para propsi to general .
El co' t ador del pr ogr ama
PC- , el r egi st r o de i nst r ucci n I R y el cont a-
dor de t i empo ?n, son par t e
de l a uni dad de cont r ol . El 1n r eci be el cdi eo
de , i per aci n de i nst r ucci ones.
El decodi f i cador asoci ado con est e r esi st i o
sumi ni st r a una sal i da par a
cada cdi go de oper aci n encont r ado. As - q, :
1. si el cdi go de oper aci n es el bi nar i o 1, qr : 1 si el cr l di go de oper aci n
es el bi nar i o 2 y as sucesi vament e. Ei cont ador z se decodi f i ca t ambi n
par a sumi ni st r ar ocho var i abl es de t i empo, t r hast a t , - ( ver
Secci n
l - 6) . Est e cont ador se i ncr ement a
con cada pul so
de r el oi , per o puede bo-
r r ar se en cual qui er moment o par a comenzar una nueva secuenci a desde 1, , .
El PC pasa por
una secuenci a de cuent a paso a paso y causa que
el
comput ador d l as i nst r ucci ones sucesi vas al macenadas pr evi ament e
en l a
memor i a' Rl PC si empr e al macena l a di r ecci on de l a si zui ent e i nst r ucci
en i a r nenl or i a. Par a l eer una i nst r ucci n,
el cont eni do de
pCse
t r asf i er e
t t I MA[ t v se i ni ci a un ci cl o de l ect ur a de meni or i a. EI PC se i ncr emer ] t a
en
I de t al maner a que al mace' ne l a si gui ent e di r ecci n en l a secr enci a de
r nst r ucci unes.
l . hr cdi go de oper aci n l e do de l a memor i a al MBR. se t r as,
l
j
f
"*-l
f
f l
f
^l
Decodi f i cador
de operaci ones
I ) ecodi f i cador
de t i empo
Fi gur a 8- l . l I ) i agr ama de bl oqi l e de r . r i r ccmput ador . qi mpl e
http://libreria-universitaria.blogspot.com
Tabl a 8- 4 l , i st a de r egi st r os par a un comput ador senci l i o
q
. :
t
Nmero
S mbol o de bi t s Nombre del regi st ro Funci n
MAR
MBR
A
D
PC
IR
7'

8
8
8
8
8
3
Regi st r o de di ecci n de memor i a
Regi st r o separ ador de memor i a
Regi stro A
Regi st r o R
Cont ador de pr ogr ama
Regi st r o de i nst r ucci n
Cont ador de t i empo
Al macena di r ecci ones de memor i a
Al macena cont eni dos de pal abr as de memor i a
Regi st r o pr ocesador
Regi st r o pr ocesador
Al ma c e n a l a d i r e c c i o n d e i n s t r u c c i o n
Al macena cr i di gos de oper ac i n n c, ' r r i c r ' r ! r , r
( l ener ador
de secuenci as
Tabl a 8- 5 T es i nst r ucci ones par a un comput ador senci l r
,
Cdi go de operaci n Mnemni co Descr i pci n F unci n
0000000r
00000010
0000001 I .
MOV R Mov er RaA
LDI OPRD Car gar OPRD. a, A
LDA ADRS Cargar el operando especi fi cado
oor ADRS a A
. 1
* R
.4
*
OPRD
.1 - I1[ADRS]
f i er e al 1R. Si l a par t e de di r ecci n de memor i a de una i nst r ucci n se l ee
al MBR, est a di r ecci n se t r asf i er e al MAR par a l eer el oper ando. As , el
MAR puede reci bi r di recci ones del PC o del MBR.
Las t r es i nst r ucci ones def i ni das en l a secci n pr evi a se especi f i can
de nuevo en l a Tabl a 8- 5. Como hay ocho bi t s en el cdi go de oper aci n, es
posi bl e especi f i car hast a 256 oper aci ones di f ' er ent es. Par a si mpl i f i car l a
pr esent aci n
se consi der a aqu sol ament e l as t r es i nst r ucci ones l i st adas.
La mnemot ecni a asoci ada con cada i nst r ucci n puede usar se por l os pr o-
gr amador es par a especi f i car l as i nst r ucci ones con nombr es si mbl i cos. La
si gl a MOV ( move)
se est abl ece par a l a oper aci n del codi go bi nar i o cor r es-
pondi ent e y si mbol i za una i nst r ucci n de " movi mi enr o" . El s mbol o R por
del ant e de MOV i ndi ca que el cont eni do de R se mueve al r egi st r o A. La
si gl a mnemni ca LDI ( l oad i nmedi at e) si mbol i za una i nst r ucci n de
car ga i nmedi at a. El OPRD en segui da de LDI se est abl ece par a un oper an-
do act ual que el pr ogr amador
debe especi f i car con est a i nst r ucci n. LDA
(l oad i nto A) es una abrevi atura para "cargar a A" y ADRS a conti nua-
ci n establ ece para
un nmero de di recci n que el programador
debe es-
peci f i car
con est a i nst r ucci n. Los val or es act ual es del OPRD y ADRS
conj unt ament e con su cdi go de oper aci n cor r espondi ent e se al macena-
r n en l a memor i a como en l a Fi zur a 8- 13.
La Tabl a 8- 5 da una descr i pci n en pal abr as par a
cada i nst r ucci n.
Est a descr i pci n en pal abr as no es muy pr eci sa. Las pr oposi ci ones
l i st a-
L.
rii
http://libreria-universitaria.blogspot.com
360 LoGI cA DE TRASFERENCI A ENTRE REGI STRoS
CAP. 8
das baj o l a col umna de funci n dan una defi ni ci n preci sa y
conci sa de
cada i nst rucci n.
-
un computador con sol amente tres i nstrucci ones
no es muy ti l . Se
debe asumi r que
este computador ti ene muchas ms i nstrucci onL.
,.r.rqrr"
se consi deren tres de el l as. un programa
escri to para el computador ,. ul -
macena en Ia memori a. Este programa
consi ste de muchas i nstrucci ones,
pero
de vez en cuando l a i nstrucci n
usada ser una de l as tres l i stadas.
Se consi deran ahora l as operaci ones i nternas necesari as para ej ecutar Ias
i nstrucci ones que
estn al macenadas
en l a memori a.
Ci cl o de env o de i nst rucci ones
El con-tador de progran\a
PC debe i ni ci al i zarse
con l o conteni do en l a pri -
mera direccin del programa
gJ.ugggaade en la memoria. cuando .. u.tirru
el . i nterruptor
de "comi enzo",T
secueni i a
del computador si gue un patrn
bsi co. un cdi go de operaci n cuya di recci n est en el
pC
se l ee de l a
memori a-al MBR. El PC se i ncrementa
en l para prepararl a para l a si gui en-
te di recci n en secuenci a. El cdi go de opei aci n s trasfi ere del M-BR al
I,R donde es decodi fi cado por
el control . bsta secuenci a se l l ama ci cl o de
enuo de i nstrucci n, ya que sta saca el cdi go de operaci n de l a me-
mori a y l o col oca en un regi stro de control . Las vari atl es de ti empo, fu,
tt y
tz que sal en del decodi fi cador
de ti empos se usan como funci ons
de control para darl e secuenci a a l as mi croopraci ones para
l eer un cdi go
de operaci n (op-code) y
col ocarl o en el IR:
to: MAR <-
pC
ttt MBR <- M,
pC
<-
pC
* l
tz: IR <-- MBR
trasferi r di recci n del cod. de operaci n
I eer el cod. de oper aci n, i ncr ement ar PC
transferi r el cod. de operaci n al IR
.
Se- asume que el contador de ti empo ? comi enza a parti r
del val or 000,
el cual produce
una vari abl e de ti empo e que
sal e dl decodi fi cador. Ei
regi stro 7 se i ncrementa-
con cada pul so
de
-rel oj
y automti camente pro-
duc.e-l -a si gui ente vari abl e de ti empo en l a secenci a. Las tres pri meras
vari abl es
de ti empo ej ecutan l as secuenci as
de mi crooperaci n l as cual es
pueden
si mbol i zarse por
medi o de l a proposi ci n
de macrooperaci n:
I R <- MI PC), PC <_ PC * |
Esta establ ece que l a pal abra
de memori a especi fi cada por l a di recci n en
el PC se trasfi ere al 1,? y l uego se i ncrement
eL
pC.
La restri cci n de l os
componentes en el computador senci l l o es que sol amente eI MAR y el MBR
pueden
comuni carse con l a memori a. com eI
pc
y el IR no pueen
comu-
ni carse di rectamente con l a memori a, l a anteri t -a.rooperaci n
debe
ej ecutarse
con una secuenci a de tres mi crooperaci ones.
otra restri cci n
de l os materi al es es que.
el PC no puede
i ncrementarse
mi entras que
su
val or se use para
sumi ni strar l a di recci n para
una l ectura de memori a.
sol amente despus de que se compl ete una operaci n de l ectura puede
http://libreria-universitaria.blogspot.com
SEC. 8- 12
DI SEO DE UN COMPUTADOR SENCI LLO 36/
i ncrement arse el PC. Al t rasf eri r el cont eni do del PC al MAR,
puede ser
i ncrementado el PC mi entras
que Ia memori a l ee l a pal abra di recci onada
por el MAR.
El ci cl o de envo es comn a todas l as i nstrucci ones. Las mi croope-
raci ones
y funci ones de control
que preceden al ci cl o de enr' o se determi -
nan en I secci n de control a parti r del cdi go de operaci n decodi fi cado'
Est e est di sponi bl e de l as sal i das
Q, ,
i : L, 2,
g,
. . . en el decodi f i cador de
operaci n.
Ej ecuci n de l as i nst rucci ones
Durante l a vari abl e de ti empo 3, el cdi go de operaci n est en el .IR
y
una sal i da del decodi fi cador de operaci n es i gual a 1. EI control usa l as
vari abl es
g, para determi nar
l as si gfri entes mi crooperaci ones
en secuen-
ci a. La i ni i rucci n MOV R t i ene un cdi go de operaci n
que hace qt : 1.
La ej ecuci n de esta i nstrucci n requi ere l a mi crooperaci n:
4J{
A <- R, I e- 0
fu, cuando
Qt
:
I en el ti empo 3, el conteni do de R se trasere al regi s-
tro A y el regi stro de ti empo ? se borra. Borrado ?, el control regresa a
produci r l a vri abl e de ti empo 6
y a comenzar de nuevo el ci cl o de en-
uo, pat" l eer el cdi go de operaci n de l a si grri ente i nstrucci n en secuen-
ci a. Recurdese
que?C se i ncrement a durant e el t i empo f r, de manera
que manti ene Ia i recci n de l a si gui ente i nstrucci n en secuenci a.
La i nstrucci n LDI OPRD ti ene un cdi go de operaci n
que hace
92
:
1. Las mi crooperaci ones
que ej ecutan esta i nstrucci n
Fon:
Qzti
MAR <- PC
trasferi r di recci n del operando
ztci
MBR <- M, PC <- PC * | Ieer el operandgi ncrementar
PC
eztsi
A <- MBR, T <-0 trasferi r el operando,
pasar al ci cl o de envo.
Las tres vari abl es de ti empo
que si guen el ci cl o de envo mi entras
Que Qz
:
i i """ el operando de l a memori a
y l o trasfi eren al regi stro A' Como el
operando est en un lugar de la memoia en seguida del cdigo de opgl-
.i n,.u l ee de l a memo"ri a a parti r de l a di recci n especi fi cada
por
"!!C.
nl operando l edo al MBR se trasfi ere entonces a A. Ntese
qu9 ef P.c se
i ncrementa una vez ms
para prepararl o para l a di recci n del si gui ente
cdi go de operaci n antes de regresar al ci cl o de envo'
La i .rsfrucci n LDA ADRS ti ene un cdi go de operaci n
que hace
q
:1.
Las mi crooperaci ones
necesari as
para ej ecutar esta i nstrucci n se
l i stan a conti nuaci n:
qttr: MAR <- PC trasferi r l a si gui ente di recci n de i nstrucci n
Q{q:
MBR<-M, PC<-PC
* I l eer DI RECCI ON,
(ADRS) i ncrement ar
PC
I
{:
Q{s:
MAR <- MBR
trasferir direccin del operando
http://libreria-universitaria.blogspot.com
362 LOGI CA DE TRASFERENCI A ENTRE REGI STROS
Q{a:
MBR <-- M
qrtr:
A <- MBR, T <*0
CAP, 8
Ieer el operando
t r asf er i r el oper ando a A, pasar
al ci cl o de env o
La di r ecci n del opef ando, si mbol i zada por
ADRS, se col oca en l a me-
mori a despus del cdi go de operaci n.
com el
pc
fu i ncrementado
en f
,
dur ant e el ci cl o de env o st e mant i ene l a di r ecci n donde se al macena
el
ADRS. El val or del ADRS se l ee de l a memor i a en el t i empo . Se i ncr e-
menta PC durante este ti empo para prepararl o
para
el ci cl de envo de l a
si gui ent e i nst r ucci n.
En el t i empo i . ,
,
se t r asf i er e el val or der ADRS del
MBR al MAR. como el ADRS especi f i ca l a di r ecci n a" i op. r unao,
una l ec-
tura de memori a durante el ti empo n causar que
el operando se esta_
bl ezca en el MBR. El operando
dei Un;n se t.asfi e.e ai ."fi .t.o A y
el con-
trol regresa al ci cl o de envo.
Las funci ones
de,col tqo_l y
mi crooperaci ones para
un computador sen_
ci l l o se r esumen en l a Tabl a 8- 6. Lai pr i mer u.
i . . . var i abl es de t i empo
consti tuyen
el ci cl o de envo medi ante fas cual es se l ee el cdi go de ope-
raci n haci a el 1R. Las mi crooperaci ones que
se ej ecutan durante"el ti empo
f3 dependen
del val o^r del cdi go de operai n
"r, "r
i n H"v tres funci ones
de
_control
que
son funci ones
e 3, p".o q, q, q, p*a"
ser i gual a
1 durante
ts . La mi crooperaci n pai ti cul r
ej eci tad" al u.arrte el t"i empo
f 3, es aquel l a cuya f unci n
de cor t r oi cor r espbndi ent e
t i ene una var i abl e
g que
es i gual a 1. Lo mi smo puede
deci rse del as otras vari abl es de ti ";p;.
. .
Un comput ador pr ct i co
t i ene muchas i nst r ucci o" e, y cada i nst r uc-
ci n requi ere
un ci cl o
.de
envo para
reer un cdi go de ope-raci n. Las mi -
crooperaci ones
necesari as paa
l a ej ecuci n
de l s i nstrucci ones parti cu_
l ares se especi fi can
medi anl e l as vari abi es
de ti empo
t ;;;1"
q, parti cul ar,
l : 9t
1, 2, 3, . . . , 2b5, que sucede est ar en el est ado i d' ur ant e est e t i empo.
La l i sta de funci ones de control y l as mi crooperaci o"-pul u
un computa-
dor prcti co
deberan ser mayores que l as mostradas en l a Tabl a
g-6.
ob-
vi amente, el si mpl e computador
no
",
,r., el emento prcti co, pero
usando
sol amente
tres i nstrucci ones
se pueden
demostrar ci aramente l as funci o_
Tabl a 8-6 Proposi ci ones
de trasferenci a entre resrstros
par a
un comput ador senci l l o
ENVIAR
MOVER
CARGA I NMED.
CARGA A A
MAR <_ PC
MBR<- M, PC<_PC+l
IR <- MBR
A<- R, T<- 0
MAR <- PC
MBR <-- M, PC <_ PC + I
A <-. MBR, T <_O
MAR <_ PC
MBR<_M, PC<_PC* I
MAR <- MBR
MBR<_ M
A <_ MBR, T <-.0
, 0.
1 .
. 2 .
4 t l t :
Qzt t :
q2. 4,
. t 2. 5.
q3, 3.
Qttc:
, t
3. 5.
4zl ai
Qzh:
http://libreria-universitaria.blogspot.com
s [ c . 8 12 DI SEO DE UN COMPUTADOR SENCI LLO 363
nes bsi cas de un comput ador di gi t al . La ext ensi n de est e pr i nci pi o al
computador con ms i nstrucci ones y ms regi stros de procesador debera
ser apar ent e a par t i r de est e ej empl o. En ei Cap t ui o 1l se usan l os pr i nci -
pi os pr esent ados aqu par a di sear un comput ador ms r eai .
Di s eo del c omput ador
Se hab a most r ado ant er i or ment e
que l a l l gi ca de t r asf er enci a ent r e r egi s-
t r os es adecuada par a def i ni r l as oper aci or t es especi l i cadas
por l as i ns-
t r ucci ones del comput ador . Se ha demost r ado
j ust ar nent e que l a l gi ca de
t r asf ' er enci a ent r e r egi st r os es un mt odo conveni ent e
par a especi f i car I a
secuenci a de f unci ones i nt er nas en un comput ador di gi t ai . cor t j unt ament e
con l as mi cr ooper aci ones que el l as ei ecut an. Se most r ar ahor a que l a l i st a
de hr nci ones de cont r ol
y mi cr ooper aci ones
par a un si st ema di gi t al es un
punt o de comi enzo conveni ent e
par a el di seo del si st ema. La l i st a de mi -
cr ooper aci ones especi f i ca el t i po de r egi st r os y l as f unci ones di gi t al es aso-
ci adas
que deben ser i ncor por adas en el si st ema. La l i st a de l unci or . r es de
cont r ol especi f i can l as compuer t as l gi cas r equer i das par a l a uni dad de
cont r ol . Par a demost r ar est e pr ocedi mi eni r se est udi ar e1 di seo del
comput ador senci l l o a par t i r de l a l i st a de pr oposi ci ones de t r asf er enci a
entre l os regi stros dados en l a Tabl a 8-6.
El pr i mer paso en el di seo es r epasar l as pr oposi ci ones de t r asf er enci a
ent r e r egi st r os, l i st adas en I a Tabl a 8- 6 y escoger t odas aquel l as pr oposi -
ci ones
que r eal i zan l a mi sma macr ooper aci n en el mi smo r egi st r o. Por
ej empl o, l a mi cr ooper aci n MAR
-
PC se l i st a en I a pr i mer a l nea con l a
f unci n de cont r ol e, n l a qui nt a l nea con l a f unci n de cont r ol
Qzt z Y
en l a oct ava l nea con l a f unci n de cont r ol
q3f 3. Las t r es l neas se com-
bi nan en una sol a
pr oposi ci n:
to + q2t3 +
%t3:
MAR <- PC
Fi gur a 8- 15 Conf i gur aci n de t r : MAR' PC
Recur dese que una f unci n de cont r ol es una f unci n de Bool e. El
*
ent r e
Ias funci ones de control denotan una operaci n de Bool e OR, y l a secuenci a
de un operador entre q2 y t3 denota una operaci n de Bool e AND. La
anteri or proposi ci n combi na todas l as condi ci ones de control
para l a tras-
ferenci a de PC hasta MAft. La confi guraci n de l os componentes de l a pro-
posi ci n antei or se di buj a en l a Fi gura 8-15. La funci n de control puede
ser mani pul ada como una funi n de Bool e para dar:
Qt
q 3
http://libreria-universitaria.blogspot.com
3& Loct cA DE TRASFERENCTA ENTRE REGt sr Ros
r r : f o + q 2 t 3 + q 3 t 3 l
x2:
Q3t 5:
xt - t t + q2t 4+
%t 4:
xt : xt +
%t 6i
xs:
ezt s
+ q3t 7:
x6:
Q t 3i
x 7 - x 5 + x 6 : .
x
-
t2i
CAP 8
xt
=
te I
Qztt
* q{t:
fo *
k,
+ qr)t,
La var i abl e
bi nar i a r r se apl i ca a l a ent r ada de car ga der MAR y l as sal i -
das del PC se apl i can a l aJent r adas
del MAR. cud; ; , : 1,
ei si gui ent e
pul so
de r el oj t r asf i er e el cont eni do del
pc
al MAR. Las var i abl es bi nar i as
que
causan que
rr sea 1 vi enen de l os decodi fi cadores
de operaci n
de
t i empo de l a uni dad de cont r ol .
Hay ocho mi crooperaci ones
di ferentes l i stadas en l a Tabl a
g-6. para
cada mi crooperaci n
di sti nta, se acumul arn
l as funci ones de control aso-
ci adas y
se apl i carn conj untamente
a una compuerta oR. El resul tado
es
como se muestra en l a Tabl a
g-7.
Las funci onei de control obteni das para
cada mi crooperaci n
se forman en una ecuaci n de l a vari abl e bi nari r,,
!:
r, 2, .
,
8. Las- ocho vari abl es x pueden
... g"rr".udu.
fci l mente
con
l as compuertas AND y
OR pero no se arn aqu.
El di seo de un computador senci i l o se puede
obtener de l a i nforma-
ci n de trasferenci a entre regi stros
dada en l a Tabl a
g-7
. El di agrama de
bl oque di seado se muestra en ra Fi gura
g-16.
Aqu se ti enen de nuevo l os
si ete regi stros,
l a uni dad de memori a y l os dos' decodi fi cadores.
Adems,
hay un recuadro
marcado "ci rcui to
combi naci onal ".
El bl ,oqrre del ci rcui to
combi naci onal genera
l as ocho funci ones
de controi ,
i
rr"rt" rr, de acuer-
do a l a l i sta de funci ones
de control de l a tabl a. t as' ru"ci ones
de control
habi l i tan
l a carga e i ncrementan
l as entradas de vari os regi stros.
un re-
gi stro que
reci be i nformaci n
de dos fuentes necesi ta
.r., ..' l ti pl u*o, pur"
sel ecci onar
entre l os- dos. Por ej empl o,
el MAR reci be i nformaci n
del MBR
o del PC' EJ mul ti pl exor
asoci do con el MAR trasfi "..
"i "o"t"ni do
del
pC
cuando su l nea sel ecci onada
es un 1 ( r , : 1)
per o
t r asf i er e el cont eni do
d' el MBR cuando l a l nea sel ecci onada
es 0. Esto es debi do a que
rr
:0,
cuando xz:1, pro
12 i ni ci a l a entrada-de
carga u ui n, du ,nur,"." qrr.
el onteni do
del MBR pasa por
el mul ti pl exor
hsta
"t
u,qnl . !I contador de
ti empo ? se i ncementa
con cada purso
de reroi ; .i n
"-nffi ,
cuando xz
:
I
se borrar y
col ocar a 0.
regi stros y otras funci ones
di gi tares especi fi cadas
en l a Fi gura
8-16 pueden
ser desi gnadas
i ndi vi dual mente
por
medi o de procedi mi en_
tos combi naci onal es y
de l gi ca secuenci al .
si el si stema se construye
con
ci rcui tos i ntegrados,
se pueden
encontrar
ci rcui tos MSI para
todos l os
regi stros y funci ones
di gi tal es. El ci rcui to combi naci o""i p".u
el control
Tabl a 8-7 Especi fi caci n
de l os componentes para
un computador senci l l o
MAR <_ PC
MAR <_ MBR
PC<- PC+I
MBR<- M
A <_ MBR
A<_R
T <- 0
IR+- MBR
http://libreria-universitaria.blogspot.com
Incrementar
Di r ecci ona
Cargar
Memo i a
y ^ Q l Q z Q t
"
. x1
x5
Ci r cui t o
x5
x2
combi naci onal
x 3
x 7
x4
t l t a o
Incrementar
q
it
1,
Figura 8-16 Diseo de un computador
sencillo
365
http://libreria-universitaria.blogspot.com
366 LOGI CA DE TRASFERENCI A
ENTRE REGI STROS
CAP, 8
puede
const r ui r se
con compuer t as
SSI . En un comput ador de gr an
t amao,
e-st_a_
_parte
se confi gura efi ci entemente
con un arregl o i gi co programabl
( PLU) ,
REFE RENCI AS
1 \ 1ano. \ { . M. , camput er ^5vsf e n Ar ch t ect ur e.
Engl ewood
cl i f f s, N. J. :
pr ent i ce_
Hal l . I nc. . 1976.
I
(-hu.
\' ., Computer organzuti ort
and Mi croprograrnmi ng.
Engl ewood cl i ffs, N.J.:
Pr ent i ce- Hal l , I nc. , 1922.
;3
Di etmeyer,
D., Logi cal Desgn ,f Di gi taL sy.s/em.s. Boston, Mass.: Al l yn y Ba-
c on, 1971.
+ Bel l , c. G y A. Newel l , comput er st r uct ur es: Readi ngs ar t d, Exampr es. Nueva
\ - or k: McGr aw- Hi l l Book Co. , 19?1.
' " .
Hi l l , F. y G. Pet er son, Di gi t at s] ' st ems: Har dwar e or gani zat i on and Desi gr t Nue-
va York: John Wi i ey & Sons, 1973.
6. Rartee, T. C., I. L. Leb_ow
l L
S. Reed, Thertry and Desgn of Di ]i taL Muchi nes.
Nueva York: McGraw-Hi l l Book Co., 1g62.
i . t^9mputer,
Speci al Issue on computer Hardware Descri pti on Languages, vol . ?,
l
No. 12 ( di ci embr e,
t 9T4) .
8. computer, Speci al Issue on Hardware Descri pti on Language Appi i cati .ns, Vol .
10, No. 16 ( j uni o,
192?) .
PROBLEMAS
8-1. Muest re el di agrama de bl oque que
ej ecut a i a proposi ci n:
x T3 ' . A< - 8 , Be A
8-2. t , rn val or const ant e puede
ser t rasf ' eri do a un regi st ro apl i cando a cada en-
t ada una seal bi nar i a equi vai ent e a l gi ca 1o l gi ca' 0. Muest r e l a conf i _
raci n de l a t rasf erenci a:
T: I <-- I l 0l 0l l 0
6 3 L-n regi st ro de 8 bi t s t i ene una ent rada x. La operaci n del regi st ro se cl es-
c r i be . . i mbl i cament e
como:
P: As < _ x , A, e A, * l
i : 1 , 2 , 3 , . . . , 7
. Cul
es l a f unci n del regi st ro? Las cel das se numeran de l a derecha a l a
r zqui er da.
8-4. Muest e l a conf i guraci n
de l os mat eri al es (el ement os)
de l as si gui ent es de-
cl araci ones. Los regi st ros t i enen 4 bi t s de l onei t ud.
To: A <- R0
T , : A+ Rl
http://libreria-universitaria.blogspot.com
8- 5.
PROBL EMAS 3 6 2
Tzi A r- R2
Tt i A <- R3
Sean s1, s, ' l as var i abi es de sel ecci n
par a el mul t i pl exor de l a Fi gur a
"
" ean
d, du' l as var i abl es de sel ecci n
par a el decodi f i cador de dest i no. La
vari abl e e se usa para habi l i t ar el decodi f i cador'
(a) Est abl ezca l as t rasf erenci as
que ocurren cuando l as vari abl es de sel ec-
ci n s1s d, d e son i gual es a:
( 1) 00010:
( 2) 01000;
( 3) 11100;
( 4) 01101'
(b) D l os val ores de l as vari abl es de sel ecci n de l as si gui ent es t rasf eren-
c i a s :
( 1 )
A' B:
( 2 ) B
'
C;
( 3 ) D' A'
Una uni dad de memori a t i ene dos ent radas de cont rol marcadas como hai -
l t o,
y Lect ura/ escri t ura
(como se expl i ca conj unt ament e
con l a Fi gura
?-30). ' Las ent radas de cl at os de memori a se conect an a ui l regi st ro MBR
como en l a Fi gura 8-?. EI MBR
puede reci bi r i nf ormaci n de un regi st ro ex-
t erno EX. R o e l a uni dad de memori a despus de una operaci n de l ect ura.
El MBR sumi ni st ra l os dat os
para l a operaci r"r de escri t ura en memori a. Di -
buj e un di agrama de bl oque usando mul t i p, l exores
y compuert as
que nues-
t ren l a
"onJ*i n
del MBR o l a memori a. El si st ema debe t ener capaci dad
para ej ecut ar l as si gui ent es t res t rasf erenci as:
W: M <- MBR
escri bi r a l a memori a
R' . MBR <- M leer de la memoria
E: MBR <- EXR cargar MBR apartir de EX-R
Las si gui ent es t rasf erenci as de memori a se especi f i can
para el si st ema de i a
Fi gura 8-8.
( a) Ml A2l + 83
( b) 82 + Ml A3)
Especi f i que l a operaci n de memori a
y det ermi ne l as vari abl es de sel ecci n
bi nari as
para l os dos mul t i pl exores
y el decodi f i cador de dest i no.
usando l os mul t i pl exores cudrupi es de 2 a 1 l nea de i a Fi gura 5-17
y cuat ro
i nversores, di buj un di agrama de bl oque
para conf i gurar
l as proposi ci ones:
Ti R2 <- R\
Tzt R2 <- R2
Tl R2 <- 0
consi dere un regi st ro A de 4 bi t s con el bi t A. , en l a posi ci n ms si gni f i ca-
t i va.
Cul
es l operaci n especi f i cada
por l a si gui ent e decl araci n?:
Aa C: A< - A+ l
A' . A - 0
Muest re l a conf i guraci n
del si st ema usando un cont ador con carga en pa-
ral el o.
8- 6
8- 7.
8- 8.
8- 9.
g-10.
Muest re l os component es
necesari os
para conf i g. rrar
l as si gui ent es ml cro-
operaci ones
l gi cas:
http://libreria-universitaria.blogspot.com
368 LoGI cA DE TRASFERENCI A
ENTRE REGI STRoS
( a) Tr : F<- A
\ B
(b) 7z: G<-C
\/ D
(c) Ir: E <- E
8-11.
Cul
es l a di ferenci a entre estas dos proposi ci ones?
A* B: F<- C\ / D
C + D: F<- A + B
CAP. 8
y ( - 42)
-
( -
13) en bi .
I
8-12. Especi fi que l a trasferenci a en seri e di buj ada en i a Fi gura ?-g en forma si m_
bl i ca. Sea s l a funci n de control de dei pl azami ento]Asuma que
s se habi
lita por un perodo
de cuatro pulsos.
8-13. Muestre l os el ementos y.materi al es
que
confi guran l a si gui ente proposi ci n.
Incluya las c,rmpuertas lgicas para
la funcin- de controi
xl ' To* T, + x' yT2: A <- A + B
8-14. un si stema di gi tal .ti ene tres regi stros:
AR, BR y
pft.
Los tres fl i p-fl ops su-
mi ni stran l as funci ones de control del si stema. s es un fl i p-fl op' el cual es
habi l i tado por
una seal externa para
comenzar l a operaci n el si stema;
F y R se usan para
dar secuencia a las microoperacio.r...
u., cuarto flip-flop,
!,
se pone a 1 por el si stema di gi tal utta uei se compl ete l a operaci n. La
funcin del sistema se describe por
medio de las siguientes operaciones
de
trasferencia entre registros:
S: PR<- 0, ^S+- 0, D+- 0. F<_l
F
*0,
si (AR :
0) entonces (l l *
1) por tanto (R
.-
1)
R: PR <- PR +. 8R, AR<- AR
-
l , R+_0, . F+- I
Cul
es l a funci r' n que ej ecuta el si stema?
8-15. Ej ecute l as operaci ones ari tmti cas (
*
42)
+
( _
1g)
nari o usando:
(a)
Representaci n en si gno-compl emento
de 1.
(b)
Representaci n
en si gno-compl emento
de 2.
8-16. Los nmeros bi nari os l i stados a conti nuaci n
ti enen un bi t de si gno en l a
posicin
de extrema izquierda y si son. negativos se representan
en comple_
mento de 2. Realice las operaciones aritmticas
indica'das, usando los aigo-
ritmos de suma y resta enunciados
en el texto. compruebe sus resultados
haci endo l a ari tmti ca con nmeros
deci mares .qui u"tu' .,t...
( a) 00l l l 0 + l l ml 0
(b)
0l 0l 0l + 000011
(c)
l l l 00l + 001010
(d)
l 0l 0n + l l 1000
(e) 0l 0l 0l
-
0001I I
(0 001010
-
l l l 00l
G)
l l l 00l
-
001010
(h) l 0l 0l I
-
l 00l l 0
http://libreria-universitaria.blogspot.com
PBOBLEMAS 369
8-1?.
Cul
es el rango de l os nmeros
que pueden ser acomodados en un regi st ro
de 16 bi t s cuando l os nmeros bi nari os se represent an en:
(a)
Si gno-magni t ud?
(b) Si gno-comPl ement o
de 2?
D l as respuest as en represent aci n deci mal equi val ent e'
8-18. Ej ecut e l as operaci ones ari t mt i cas l i st adas a cont i nuaci n
con nmeros
bi nari os en represent aci n de si gno-compl ement o
de 2
y apl i cando el al go-
ri t mo enunci af l o en el t ext o. Use ocho bi t s para acomodar cada numero con-
j unt ament e
con su si gno:
'1
l
!E
ll
T
, l
( l ) ( +65) + ( +78)
( 2) ( - 65) + ( - 78)
(3) (+35) + (+10)
(4) (+65) + (-78)
(5) (-65) + (+78)
(6) (-35) + (-40)
I nspecci one
l a respuest a de 8 bi t s en cada caso y:
(a)
Det ermi ne si hay una sobrecapaci dad'
(b) Li st e l os arrast res
(carri es) que ent ran o sal en de l a posi ci on correspon-
di ent e al bi t de si gno.
(c)
Det ermi ne el si gno del resul t ado
(el oct avo bi t )'
(d) Enunci e I a rel aci n ent re
(a) y (b).
( e) Enunci e l a r el aci n ent r e
( a) y ( c) .
8-19.
(a) Muest re
que el cont eni do de un regi st ro de 8 bi t s que al macena l os n-
meros *
36 y
-
36 en bi nari o
y en t res represent aci ones di f erent es, es
deci r, si gno-magni t ud, si gno-compl ement o
de 1 y si gno-c, mpl ement o
de 2.
(b) Muest re el cont eni do del regi st ro despus de que l os nmeros se despl a-
cen ari t mt i cament e una
posi ci n a l a derecha
(en t odas l as t res repre-
sent aci ones) .
(c) Repi t a
(b) para un despl azami ent o
a l a i zqui erda'
8-20. Dos nmeros en represent aci n de si gno-compl ement o
de 2 se suman de l a
manera most rada . . r l u Fi gu. u 8-10 y l a suma se t rasf i ere al regi st ro A. Mues-
t re
que el despl azami ent o ari t mt i co a l a derecha si mbol i zado
por:
A < - s h r A, An < - An @ V
produci r si empre l a suma correct a di vi di da
por 2 hubi ese o no ocurri do
una sobrecapaci dad
en l a suma ori gi nal '
8-21. Represent e +
149 y
-
1?8 en BDC usando i a represent aci n-de si gno-compl e-
I n". , t o du 10. Use un bi t para el si gno. Sume l os dos nmeros BDC, i ncl uyendo
el bi t de si gno e i nt erpret e l a respuest a obt eni da.
8-22. l , os regi st ros
para sumar
y rest ar nmeros deci mal es represent ados en si gno-
compl Jment o
de 10 es si mi l ar a l os al gori t mos para l os nmeros bi nari os re-
present ados en si gno-compl ement o
de 2'
(a)
Enunci e l 6s al gori t mos
para l a adi ci n
y sust racci n con represent aci n
en si gno-compl ement o
de 10. un si gno
posi t i vo se represent a
por un 0 y
un si g r negat i vo por un 9 en l a posi ci n ms si gni f i cat i va'
http://libreria-universitaria.blogspot.com
37O LoGI cA DE TRASFERENCI A ENTRE REGI STRoS
CAP
(b)
Apl i que l os al gori t mos para l os conj unt os deci mal es (
-
63g)
+
(Tgb)
v
(
638)
- ( 185r "
8 23. Un nmero bi nari o de punt o f l ot ant e cl e 36 bi t s l i ene 8 bi t s ms el si gno para
ei exponent e. El coef i ci ent e se asume como una f r acci n nor maj i zda. - Los
nrneros en el r: oef i ci ent e y exponent e est n en l a f orma de si gno-rnagni t ud.
cul es
son l as mayores y
menores can' . i dades posi t i vas q. r" p. , ". i . n . "1"
acomodadas, excl uyencl o el cero?
8- 24. l l n r egi st r o de 30 bi t s al macena un nmer o deci mal de punt o f l ot ant e r epr e-
sent ado en BDC. Los coef i ci ent es ocupan 21 bi t s del r egi st r o y se asume co-
mo un ent ero normal i zado. Los nmeros en el coef i ci ent e y exponent e se asu-
men r epr esent ados en f or ma de si gno- magni t ud.
, cui es
son l as cant i dades
mayores y menores que
rueden
ser acomodadas erci uvendo el cer. ?
825. Repr esent e el nmer o ( +31, 5) r 0
con un coef i ci ent e ent er o nor mal i zado de
l 3 bi t s
y
un exponenl e cl e ? bi t s como:
( a)
LI n nmer o bi nar i o ( asr r ma
base de 2) .
l bi
[ . ] r ; nmer o oct al bi r : a i o codi f i cado ( asuma
base de
g) .
( ci I . r . n ner o hexadeci r oai bi nar i o codi f i ca- do i asuma base de 16) .
8 26. Ei r egi st r o A al mr ct ' na l a i nl br maci n
bi nar i a 1l i 111001. Det er mi ne el oper an-
do r 3
'
l a r ni cr ooper aci on l gi ca que se va a r eal i zar ent r e A y B par a
cambi ar
el val or de A a:
( a)
011011i , r 1
{ b i 1 1 1 1 1 1 0 i
I
8-2' i . f )et ermi ne l a operaci n l gi ca que borrar sel ect i vamet e l os bi t s del regi s-
t ro A en aquel l as posi ci ones
donde hay I en l os correspodi ent es bi t s el
regi st ro . B.
' 8-28.
[ . ' n compr. rt ador di gi t al t i ene una uni dad de memori a con 24 bi t s por pal abra.
El conj unt o de i nst rucci ones
consi st e de 190 operaci ones di f ernt es. Cada
i nst . rcci n se al macena
en una pal abra
de l a memori a y consi st e de una par-
re de cdi go de operaci n y una part e
de di recci n.
( a)
Cunt os
bi t s se necesi t an par a
el cdi go de oper aci r i n?
( b)
cunt os
bi t s se dej an par a l a par t e
de di r ecci n de l a i nst r ucci n?
( c)
( r unt as
pal abr as pueden acomodar se
en l a uni dad de memor i a?
1d) ccul
es el mayor nmer o bi na i o de punt o f i j o con si gno que puede
ser
al macenado en una pal abra
de memori a?
8-29. Especi f i que un f ormat o de i nst rucci 11 para ur-r comput ador que real i ce l a si -
gui ent e
operaci n:
A. Mf di r ec ci nl
* R
donde ^R puede ser cual qui era ci e l os ocho regi st ros posi bl es
en el procesador.
8- 30. Asuma que l a uni dad de memor i a de r a Fi gur a
g- 14
t i ene 65, 536 pal abr as
de
8 bi t s cada una.
http://libreria-universitaria.blogspot.com
i
I
PROBLEMAS 3i I
( a)
, Cul
deber a ser el r r mer o de bi t s r l e l os ci nco
pr i mer os r egi st r . , s 1, - .
t ados en i a ] ' abi a 8-4?
( b ) Cu n t a s p a l a b r a s d e me mo r i a s e r e q u i e r e n p a r a a l ma c e n a r l a i n s t r u c .
ci n:
LDA A/ )Ti . S
como se esPeci f i ca en l a Tabl a 8-5?
( c) Li st e l a secuenci a de mi cr ooper aci ones
t r ecesar i as
par a ej ecut ar i a i ns-
t rucci n. El regi st ro / i pued ser usado
prra al macenar t emporal menf e
part e de una di recci n'
g-31.
una i nst ruccron i nmedi at a
para un si mpl e comput ador
def i ni da en i a Fi gura
8-14 t i ene un cdi go de operaci n 00000100.
La i nst rucci n se especi f i ca de
I a si gui ent e manera:
I ' RI oPRD
( Car gar
OPRD a ' ? )
R' oPRI )
I . i st e l a s e uent ' i a de mi cr ooper ac i ones
par a ej c( r l t ar esl a i ns t r t t ' t ' i on'
g-32.
Repi t a el di seo del comput ador
senci l l o
present ado er. r 11 Fi gura 8-12' Rem-
pl ace l as i r t st r ucci ones en i a' f abl a 8- 5
r or
i as si gui ent es i nst r t r cci ot l es:
Codi go
cl e operaci n Mnemni co
Descri pci n
F-unci on
l ' * n
00000010 ADI OPRD Sumar el oper andoaA
AeA +OPRD
00000011 ADA ADRS Sumar RaA
AeA +MI ADRSI
3-33. Di bui e un cl i agrama de bl oque most rando l a conf i guraci or. r
de component es
del si st ema especi f i cado en ei Probl ema 8-14. I ncl uya una ent rada de comi en-
o para poner a I el f l i p-f l op S y una sal i da de / echo
(dor] ei para el f l i p-f i op D'
http://libreria-universitaria.blogspot.com
Di seo
fgi co
de procesadores
9- 1 I NTRODUCCI ON
una uni dad procesadora
es aquel ra parte
de un si stema di gi tal o un com-
putador
digital que
configura las operaciones
en el sistema.
"Est
"o,,'p"".lu
por
un nmero de regi stros y
de funci ones
di gi tal es que
conforman mi cro-
operaci ones
ari tmti cas,
l gi cas, de despl ami ento y
trasferenci a.
La
uni dad de proceso
se l l ama una uni dad, centrar de proceso
o cpu,
"rrrrro
se combi na con una uni dad de control que supervi . l u ,"",r.rrci a de mi cro-
operaci ones.
Este captul o versa sobre i a orgni zaci n y
di seo de l a uni _
dad del procesador._El
si gui ente
captul o trata de i a l ;i c; de di seo de l a
uni dad de control . En el captul o 11 se demostrara ta orl ani zaci n y
di seo
de un computador CPU.
El nmero de regi stros de una uni dad procesadora
vaa desde un re-
gistro procesador
hasta 64 registros o ms. lguno.
"o-putadores
antiguos
vi enen con
-un
regi stro procesador
sol amente.
*En
ul gund casos un si stema
digital puede
emplear
un registro procesador
sencill]o p"., p*po.itos
espe-
ciales.
sin embargo, como los registros y otras funciones drs;Io",'"".i,;
bajo costo cuando se construyen co.t circlitos integrados,
tods los
"o,,,p,r-
tadores recientes emolean un gran
nmero de iegistrs procesador", y
canal i zan l a i nformaci n
entre el l os a travs
de bus."es comunes.
una operaci n puede-_ser
confi gurada en una uni dad de proceso
con
una mi crooperaci n
senci l l a o con una secuenci a
de mi crooperci ones.
por
ej empl o l a mul ti pl i caci n
de dos nmeros bi nari os al macenados
en dos re-
gi stros puede
ser confi guada
con un ci rcui to combi naci onal que real i za l a
operacin por medio de compuertas.
Tan pronto
como las seles ." propu-
gan
a travs de las compuertas, el producto
estar disponible y puede
ser
trasferi do a- un regi stro de desti no con un pul so
de rel j senci l l o. Al terna_
ti vamente, l a operaci n de mul ti pl i caci n puede
real i zarse con una secuen-
cia de
-microoperaciones.de
zuma y desplazamiento.
El mtodo escogido para
la
configuracin determina la cantidad y
tipo de componenies de la unidad
de proceso.
372
/
i
http://libreria-universitaria.blogspot.com
sEc. 9- 2
ORGANI ZACI ON DEL PROCESADOR 373
1
,!:i:
q
Todos l os computadores,
excepto l os muy
grandes y rpi dos. conl l gur:.
l as operaci one.
patti ci pantes
por medi o de una secuenci a de mi crc' rpera-
ci onei . De esta manera, el procesador necesi ta tener sol amente ci rcutto'
que confi guren
l as mi crooperaci ones
bsi cas si mpl es tal es como sumar
]'
despl ararl Otras
operaci ones, tal es como mul ti pl i caci ,
di vi si n
y ari tme-
t*" " punto fl otante, se generan conj untamente
con l a uni dad de control .
La unidad
procesadora en s se disea
para configurar microoperaciones
,i "i "". del i i po di scuti do en el Captul o 8. La uni dad de control se di sea
para dar secul nci a a l as mi crooperaci ones
que no se i ncl uyen en el conj un-
to bsi co.
La funcin digital
que configura las microoperaciones
con la informa-
ci n al macenada
"tt
l o" i egi stros del procesador se l l ama comnmente
un'
dad. basca ari tmti ca o ,LU. Para real i zar una mi crooperaci n,
el control
canaliza la fuente de informacin de los registros hasta las entradas del
ALU. El ALU recibe la informacin de los registros
y realiza una operacin
dada de la manera especificada
por el control. El resultado de la operacin
se trasfi ere al regi stro de desti no. Por defi ni ci n, el ALU es un ci rcui to
combi naci onal ; de manera
que toda l a operaci n de trasferenci a entre re-
gistros pueden realizarse duiante el intervalo de un pulso de reloj. Todas
l"as operaciones de trasferencias entre registros, incluyendo la trasferencia
entre regi stros de una uni dad
procesadora tpi ca, se real i zan en un ALU
comn: de lo contrario, sera necesario duplicar las funciones digitales
para cada registro. Las microoperaciones de desplazamiento
se realizan a
menudo en una uni dad separada. Una uni dad de despl azami ento se mues-
tra por lo general separada,
pero alggnas veces est incluida como
parte de
la unidad enteramente
aritmtica
y lgica.
un computador cPU debe manipular no solamente datos sino tambin
cdi gos de i nstrucci n
y di recci ones
que vi enen de l a memori a. El regi stro
que al macena y mani pul a el cdi go de operaci n de i nstrucci ones se consi -
dut, .o-o
parte de la unidad de control. Los registros que almacenan direc-
ciones son lncluidos algnas veces como parte de la unidad de proceso y la
informacin de direcciones se procesa por un ALU comn. En algunos com-
putadores, los registros
que almacenan direcciones son conectados
a un bus
separado
y la iniormacin de direccin se manipula con funciones digitales
separadas.
Este captulo
presenta varias alternativas
para la organizacin
y di-
seo de una uni dad de
proceso. El di seo de una uni dad ari tmti ca l gi ca
particular se lleva a cabo
para mostrar el proceso de diseo usado en la
iormulacin e implementacin de una funcin digital comn capaz de rea-
lizar un gran nmero de microoperaciones. Otras funciones digitales con-
sideradas
y diseadas en este captulo son la unidad de desplazamiento
y el regisiro
procesador para propsitos generales, comnmente llamado
acumul ador.
9- 2 ORGANI ZACI ON
DEL PROCESADOR
La parte procesadora de un computador CPU se tata algUnas veces como
eI cnql de datos del CPU
porque el procesador formula los canales de tras-
ferencia de datos entre los registros de la unidad. Los diferentes caminos
http://libreria-universitaria.blogspot.com
374 DI SEO LOGI CO DE PROCESADORES
CAP. 9
l
son controlados supuestamente por
medio de compuertas que abren los ca-
ml nos necesari os y ci erran otros. Una uni dad procesadora puede
di searse
para
sati sfacer un conj unto de canal es de datoi para
una pl i caci n espe-
cfica. El diseo de ul procesador para propsito
especiales fue demostra-
do en l a Secci n 8-9. La Fi gura 8-t6 muestr l os di ferentes canal es de datos
para
un procesador
muy l i mi tado. La abertura de l os canal es o cami nos de
datos se l ogra por
medi o de decodi fi cadores y
ci rcui tos combi naci onal es
que comprenden l a secci n de control de l a uni dad.
En una uni dad procesadora
bi en organi zada, Ios canal es de datos se
forman por
medi o de buses y otras l neas comunes. Las compuertas de con-
trol que
formul an l os canal es de datos son esenci al mente mul ti pl ei ores y
decodi fi cadores
cuyas l neas de sel ecci n especi fi can el cami no i equeri do.
El proceso
de i nformaci n se hace medi ante na funci n di gi tal
"o-r,
.uyo
canal de datos puede
ser especi fi cado por
un conj unto de vari abl es de se-
l ecci n comunes. una uni dad procesadra que
ti ene una organi zaci n bi en
estructurada puede
usarse en una gran
cnti dad de operaci ones. si se
construye dentro de un ci rcui to i ntegrado,
se har di sponi bl e para muchos
usuari os ya que para
cada uno se puede
tener una apl i caci n
-di ferente.
En esta secci n, se i nvesti gan vari as al ternati vs para organi zar una
uni dad procesadora para propsi tos general es.
Todas' l as organi zaci ones
empl ean un ALU comn y un regi stro de despl azami ento. Las di ferenci as
en l as organi zaci ones se mani fi estan pri nci pal mente
en l a organi zaci n de
l os regi stros y
sus canal es comunes al ALU.
Organi zaci n del bus
cuando se i ncl uye un gran nmero de regi stros en una uni dad de proceso
es ms eficiente conectarlos por
medio de buses comunes o arreglailos co-
mo_ una memori a pequea que ti ene un ti empo de acceso muy rpi do. Los
regi stros se comuni can entre s no sol amenl e por l a trasferenci di recta
de datos si no tambi n cuando se real i zan vari al mi crooperaci ones. En l a
Figura 9-1 se muestra una organizacin
con bus para
crr"tto registros pro-
cesadores. cada registro se conecta a dos multiplexores (MUX) p"ru
^for-
mar l os buses de entrada Ay B. Las l neas de sel ecci n de cada -.ri ti pl "*o,
sel ecci onan un- regi stro para
el bus parti cul ar.
Los buses A y B se upl i .u.,
a una uni dad l gi ca ari tmti ca comn. La funci n sel ecci ond" etr
"i
ALU
determi na l a operaci n parti cul ar que se va a real i zar. Las mi crooperaci o-
nes de desplazamiento se configuran en el registro de desplazamito. El
resultado de la microoperacin pasa
a travs del bus de saiida S hasta las
entradas de todos los registros. El registro de destino que recibe la infor-
maci n del bus de sal i da se sel ecci ona por
medi o de un decodi fi cador.
cuando se habi l i ta, este decodi fi cador acti va una de l as entradas de carga
del registro para
suministrar un canal de trasferencia entre los datos d*el
bus S y las entradas del registro de destino seleccionado.
El bus de sal i da s al i menta l os termi nal es para
trasfei r datos de un
destino externo. una entrada del multiplexor A B puede recibir datos de
los elementos que lo rodean cuando es necesario trsferir clatos externos
a l a uni dad de proceso.
http://libreria-universitaria.blogspot.com
Uni dad ari t mt rca
l gi ca
(ALU)
Registro de desPlazamiento
Selector
de
desplazamiento
Sal i da de datos
Fi g u r a g - l Re g i s t r o s p r o c e s a d o r e s y AL Uc o n e c t a d o s p o r me d i o d e b u s e s c o mu n e s
375
http://libreria-universitaria.blogspot.com
376 DI SEo LoGl co DE PRocESADoRES
CAP. 9
La operaci n
de l os mul ti pl exores,
i os buses y el decodi fi cador
de des-
t i no se expl i ca en l a. secci n
g-2
conj unt ament e
ct n l a Fi gura
g-6.
El ALU
y
el regi stro
de despl azami ento
se di scuten ms tarde en este capi tul o.
ul u uni dad procesadora
puede
t ener ms a". rrui . o. l gi st ros.
La cons-
trucci r
de un procesador
con bus organi zado
con ms rl gi stros requi ere
mul ti pl exores
mayores y
decodi fi cadoi ;
de otra forma sera si mi l ar a i a
organi zaci n present ada
en l a Fi gura 9_1.
La uni dad de control que
supe.vi sa
er si stema de bus procesador
di ri -
ge
el fl uj o de i nformaci n
a travs del ALU sel ecci o.rando
l os di ferentes
componentes
de' l a uni dad. Por ej empro para
real i zar l a mi crooperaci n:
Rl <_R2+R3
el control debe sumi ni strar
vari abl es
de sel ecci n
bi nai as a l as si gui entes
ent radas de sel ecci n:
. ,
1. Sel ect or MUX A: col oca el cont eni do de R2 en el bus A.
/
2. sel ect or MUX B: col oca el cont eni do
de R3 en el bus B.
3. sel ect or de f unci n ALU: genera
l a operaci n ari t mt ca
At B.
4' sel ector de despl azami ento: para
l a trasfeenci a
di recta de l a sal i da
del ALU al bus de sal i da
S
(ni ngun
despl azami ""l ol .
5' Sel ector de desti no del decodi fi cador:
trasfi ere
el conteni do
del bus
SaRl .
Las ci nco vari abl es sel ecti vas de contol deben ser generadas
si mul t-
neamente y
deben estar di sponi bl es
durante
un
i nterval
de pul so
de rel oj
comn. La informacin
binaria de los dos regisTros fuente ." p.opug"
a tra_
vs. de l as compuertas
combi naci onal es
en l s mul ti pl exores,
el ^ Ai u y el
regi stro
de despl azami ento
hasta el bus de sal i da y u 1". entadas del re_
gi stro
de desti no durante gn i nterval o
de pul so
de rel oi , l a i nformaci n
bi _
nari a en el bus de sal i da i i trasfi ere
al .Ri cuand" *;;;nta
el si gui ente
pulso
de reloj. Para lograr- una rpida respuesta
de tiempo, se construye el
ALU con ci rcui tos generadores
de-arrastre posteri or y et .egi stro de despt-
zami ento
se confi gura con compuetas
combi naci onal es.
cuando se encapsu-l a en un cI, l a uni dad procesadora
se l l ama al gunas
veces regi sro y
uni dad l gi ca ari tmti ca
o RLu (regi ster
and ari thmeti c
l ogi .c. uni t). Al gunos fabri cantes
l o l l aman un mi cri pr""r,odo,
de un grupo
de.bi ts.. El prefi j o
mi cro se refi ere a un tamao fi si -,ry peq,reno
del ci r-
cui to i ntegrado
en el cual se i ncl uye el procesador.
El
s;"i o
d,e bi ts se re-
fiere al hecho de que
el procesadot
p.t"u
ser expandidl u.,a unidad de
proceso
con un gran nmero de bits usando un grupo
de cI.
por
ejemplo,
un microprocesador
de- un grupo
de 4 bits contine registros
v
AL"u par
manipular
datos de 4 bits. Dos cI de stol pueden
.,
"o*irruau,
ir.u
construi r
una uni dad procesadora
de
g
bi ts.
pra
un procesador
de 16 6i ;,
es necesari o
usar cuato ci rcui tos i ntegrados y
coneci arl os
en cascada. Ei
arrastre de sal i da de un ALU se conect al arrastre de entrada del si gui en-
te ALtl de mayor orden y l a sal i da en seri e y
l neas de entrada de l os re-
http://libreria-universitaria.blogspot.com
\
1
.
i
SEC. 9- 2 ORGANI ZACI ON DEL PROCESADOR 377
gi stros de despl azami ento se conectan tambi n en cascada. L' n mi croproce-
sador de un grupo de bi ts debe di sti ngui rse de otro ti po de CI l l amado
mcroprocesador. El pri mero es una uni dad procesadora mi entras que el
mi croprocesador se refi ere a un computador CPU compl eto encapsul ado
en una pasti l l a de CI. Los mi croprocesadores y su equi po asoci ado se di s-
cuti rn en el Captul o 12.
Memor i a
" scr at chpad"
o memor i a t apn
Los regi stros de una uni dad
procesadora pueden ser meti dos dentro de una
uni dad
pequea de memori a. Cuando estos se i ncl uyen en l a uni dad de
proceso, l a memori a pequea se l l ama memori a tapn o de borrado. El uso
de una pequea memori a es una al ternati va muy econmi ca
para conectar
l os regi stros procesadores a travs del si stema de bus. La di ferenci a entre
dos si stemas es l a manera en l a cual l a i nformaci n se sel ecci ona para l a
trasferenci a al ALU. En el si stema de bus, l a trasferenci a de i nformaci n
se sel ecci ona por medi o de l os mul ti pl exores
que forman l os buses. Por otrr
parte, un sol o regi stro dentro de un grupo de regi stros organi zados como
una pequea memori a
puede ser sel ecci onado por medi o de una di recci n de
l a uni dad de memori a. Un regi stro de memori a puede funci onar
j ustamente
como cual qui er otro regi stro procesador ya
que
su ni ca funci n es al ma-
cenar i nformaci n bi nari a para ser procesada en el ALU.
Una memori a tapn o de borrado debe di sti ngui rse de l a memori a pri rr-
ci pal del computador. En contraste con l a memori a pri nci pal . l a cual al ma-
cena i nstrucci ones y datos, una pequea memori a de una uni dad de proceso
es meramente una al ternati va
i rara
conectar un nmero de regi stros pro-
cesadores
por medi o de un cami no de trasferenci a comn. La i nformaci n
al macenada en una memori a tapn o de borrado debe veni r normal mente
de l a memori a pri nci pal por medi o de i nstrucci ones en el programa.
Consi drese, por ej empl o, una uni dad procesadora que empl ea ocho re-
gi stros de 16 bi ts cada uno. Los regi stros pueden i ncl ui rse dentro de una
memori a pequea de ocho pal abras de 16 bi ts cada una, o un RAM de 8 x 16.
Las ocho pal abras de memori a pueden desi gnarse como R0 hasta R7, corres-
pondi endo
a l as di recci ones 0 hasta 7 y consti tuyen l os regi stros para el
procesador.
[Jna uni dad procesadora que usa una memori a tapn o de borrado se
muestra en l a Fi gura 9-2. Un regi stro fuente se sel ecci ona de l a memori a
y se carga al regi stro A. Un segundo regi stro fuente se sel ecci ona de l a me-
mori a y se carga al regi stro B. La sel ecci n se hace especi fi cando l as di -
recci ones de pal abra correspondi entes y acti vando l a entrada de l ectura
de l a memori a. La i nformaci n de A y B se mani pul a en el ALU y en el re-
gi stro de despl azami ento. El resul tado de l a operaci n se trasfi ere a un
regi stro de memori a especi fi cando su di recci n de pal abra y acti vando el
control de entrada de escri tura en memori a. EI mul ti pl exor a l a entrada de
l a memori a puede sel ecci onar datos de entrada de una fuente externa.
Asmase que l a memori a ti ene ocho pal abras, de manera que una di -
recci n puede especi fi carse con tres bi ts. Para real i zar l a operaci n:
Rl <- - R2+R3
http://libreria-universitaria.blogspot.com
378 DI SEO LOGI CO DE PROCESADORES CAP. 9
el control debe sumi ni strar l as vari abl es de sel ecci n bi nari as para real i zar
l a si gui ente secuenci a de tres mi crooperaci ones:
Tt: A <- M[010]
Tzi B <- M[011]
Tt : M 00l l <- AI B
l eer R2 al regi stroA
l eer. R3 al regi st ro B
ej ecutar una operaci n en el ALU
y trasferi r el resul tado a.R1
La funci n de control ?, debe sumi ni strar l a di recci n 010 a Ia memori a y
acti var l as entradas A de l ectura y carga. La funci n de control ?, debe
al i mentar una di recci n 011 a l a memori a y acti var l as entradas B de l ec-
tura y corga. La funci n de control ?3 debe sumi ni strar el cdi go de fun-
ci n al ALU y al regi stro de despl azami ento para ej ecutar l a operaci n de
sumo ( si n
despl azami ent o) , apl i car una di r ecci n 001 a l a memor i a, sel ec-
ci onar l a sal i da del regi stro de despl azami ento para el MUX y acti var l a
entrada de escri turo de memori a. El smbol o M
l xxxl
desi gna una pal a-
bra de memori a
(o
regi stro) especi fi cada por una di recci n dada en el n-
mer o bi nar i o xxx.
Entrada
de datos
Di ecci n
Sai i da
de datos
Carga Carga
Sel ecci n
de funci n
Sel ecci n de
despl azami ent o
Memoria tapn
o de borrado
Fi gura 9-2 Uni dad de proceso que empl ea una memori a tapn
http://libreria-universitaria.blogspot.com
sEc. 9- 2
ORGANI ZACI ON DEL PROCESADOR 379
La razn de una secuenci a de tres mi crooperaci ones en vez de una.
como en un procesador con organi zaci n de bus, se debe a Ia l i mi taci n de
l a uni dad de memori a. Como l a uni dad de memori a ti ene sol amente un gru-
po de termi nal es de di recci n
y se va a comuni cal con dos regi stros fuente.
se necesi tan dos vas de acceso a l a memori a
para l eer l a i nformaci n de l a
fuente. La tercera mi crooperaci n
es necesari a
para di recci onar el regi stro
de desti no. Si el regi stro de desti no es el mi smo
que el segundo regi stro
fuente, el control
podra acti var l a entrada de l ectura, para extraer l a i n-
formaci n de l a segunda fuente, segui da de una seal de escri tura para
acti var l a trasferenci a de desti no
y si n tener
que cambi ar el val or de l a
di r ecci n.
Algunos procesadores emplean una memoria de 2 puertos para poder
vencer l a demora causada al l eer dos regi stros fuentes. Una memori a de 2
puertos ti ene dos l neas de di recci n separadas
para sel ecci onar l as pal a-
Lras de memori a si mul tneamente. De esta manera pueden Ieerse l os dos
regi stros fuente al mi smo ti empo. Si el regi stro de desti no es i gual a uno
ds l os regi stros fuente, entonces toda l a mi crooperaci n
puede hacerse du-
rante el perodo de un pul so de rel oj .
La rgani zaci n de una uni dad
procesadora con una memori a de 2
puertos se muestra en l a Fi gura 9-3.* La memori a ti ene dos grupos de di -
recci ones, una para el puerto A y otra para el puerto B. Los datos de cual -
qui er pal abra en l a memori a se l een en regi stro A especi fi cando una di rec-
i " . De i gual manera cual qui er
pal abra de memori a se l ee al regi stro B
especi fi cando
utta di recci n B. La mi sma di recci n
puede ser apl i cada a l a
Di recci n A
Habi l i t aci n
escri t ura
(WE)
Fi gura 9-3 Uni dad de proceso con una memori a de 2 puertos
*Esta
organi zaci n es si mi l ar al mi croprocesador de un grupo de bi ts, ti po 2901
Di recci n B
Habi l i t ar
memor i a
( ME)
Memoria tapn
o de borrado
WE ME
http://libreria-universitaria.blogspot.com
3& DI SEO LOGI CO DE PROCESADORES
CAP. 9
di recci n A y a l a di recci n B, en cuyo caso aparecer una pal abra i dnti ca
en ambos regi stros A y B. Cuando se habi l i tan por medi o el termi nal ha-
bi l i tador de memoi a (ME:
memory enabl e), se pueden escri bi r nuevos da-
tos a l a pal abra
especi fi cada por
l a di recci n B. As l as di recci ones de A y
B especi fi can dos regi stros fuente si mul tneamente y l a di recci n B espec-
fi ca si empre el regi stro de desti no. La Fi gura 9-B no muestra un cami no
para
datos externos de entrada y sal i da, pero pueden
ser i ncl ui dos como
en l as organi zaci ones anteri ores.
Los regi stros A y B son, en efecto, retenedores que aceptan nueva i n-
formaci n si empre y
cuando el pul so
de rel oj CP est en el estado 1; cuando
cP vaya a 0, l os retenedores se i nhabi l i tan y reti enen l a i nformaci n que
estaba al macenada cuando CP era un 1. Esto el i mi na cual qui er condi ci n
de congesti n que puede ocurri r cuando se est escri bi endo i a nueva i nfor-
maci n en l a memori a. La entrada del rel oj control a l as operaci ones de
l ectura y escri tura en memori a por
medi o del termi nal de hbi l i taci n de
escri tura (wri te
enabl e). Este control a l as trasferenci as a l os retenedores
A y B.La forma de onda de un i nterval o de un purso de rel oj se muestra en
el di agrama.
cuando el termi nal de rel oj es 1, l os retenedores Ay B se abren y
acep-
tan l a i nformaci n que
vi ene de l a memori a. El termi nl wE est tmbi n
en el estado 1. Este habi l i ta l a operaci n de escri tura y de l ectura en l a
memori a. As cuando CP:l l as pal abras
sel ecci onadas por l as di recci ones
A y B se l een de l a memori a y
se col ocan en l os regi stroi A y B respecti va-
mente. La operaci n en el ALU se real i za con l os datos ai ma."ndo. .r,
A y B. cuando el t ermi nal del rel oj va a 0, l os et enedores se ci erran y se
reti enen l os l ti mos datos i ntroduci dos.
Si el termi nal de ME est tra6i ti -
tado cuando wE:0, el resul tado de l a mi crooperaci n se escri be en l a
pal abra
de memori a defi ni da por l a di recci n B. As una mi crooperaci n:
Rl +- Rl +R2
puede
hacerse dentro de un perodo
de un pul so
de rel oj . El regi stro de
memori a Rl debe especi fi carse con l a di recci n B y
R2 con l a di rel ci n A.
Regi st r o acumul ador
Al gunas uni dades procesadoras
separan un regi stro de otros y se l e l l ama
regi ' stro acumul ador, abrevi ado AC o regi stro A. El nombre d este regi stro
se deri va del proceso de adi ci n ari tmti ca que se encuentra en l os
"r,p.r- tadores di gi tal es. El proceso
de sumar muchos nmeros se l l eva a cabo al -
macenando i ni ci al mente esos nmeros en otros regi stros procesadores
o
en l a uni dad de memori a del computador y
borrando l ucnrnul udor a 0. Los
nmeros se agregan al acumul ador
uno a uno en orden consecuti vo. El pri -
mer nmero se agrega a 0 y l a suma se trasfi ere al acumul ador. El ..gurrdo
nmero se agrega a l os conteni dos del acumul ador y l a suma formaa de
nuevo rempl aza su val or previ o.
Este proceso
se conti na hasta que
todos
l os nmeros se agregan y se forma l a suma total . As, el regi stro
.,acumul a"
l a suma paso
a paso haci endo sumas secuenci al es entre ri n nmero nuevo
y l a suma acumul ada previ amente.
http://libreria-universitaria.blogspot.com
u
i
. " t
sEc. 9-2
ORGANI ZACI ON DEL PROCESADOR 3E:
El regi stro acumul ador en una uni dad de proceso es un regi stro mul t,-
propsi to capaz de real i zar no sol amente l a mi crooperaci n de suma sl nc'
l ambi n otras mi crooperaci ones de l a mi sma forma. De hecho, l as cc,m-
puertas asoci adas con un regi stro acumul ador sumi ni stran todas l as fun-
ci ones di gi tal es encontradas en un ALU.
La F.i gura 9-4 muestra el di agrama de bl oque de una uni dad
procesa-
dora
que empl ea un regi stro acumul ador. El regi stro A se di sti ngue de
todos l os dems regi stros procesadores. En al gunos casos toda l a uni dad
procesadora es
j ustmente
el regi stro acumul ador
y el ALU asoci ado. El
i egi stro en s puede funci onar como un regi stro de despl azami ento
para
.,rl *i rri rttut l as mi crooperaci ones de despl azami ento. La entrada B sumi -
ni stra una fuente de i nformaci n externa. Esta i nformaci n
puede proveni r
de otros regi stros
procesadores o di rectamente de l a memori a
pri nci pal
del computador.
El - regi stro A sumi ni stra l a otra fuente de i nformaci n al
ALU poi el termi nal A. El resul tado de una operaci n se trasfi ere de nuevo
al regi stro A y se rempl aza su conteni do
previ o. La sal i da del regi stro A
puedJ i r a un desti no externo o a l os termi nal es de entrada de otros regi s-
tros procesadores o uni dad de memori a.
irara formar la suma de dos nmeros almacenados en los registros
pro-
cesadores, es necesari o agregarl os en el regi stro A usando l a si gui ente
secuenci a de mi croi nstrucci ones:
Tt i A <- 0
Tz: A<- A* Rl
Ts: A<- A 1R2
borrar A
trasferi r Rl a A
agregar R2aA
Sel ecci n
fuente B
Sal i da de dat os
,l i gura 9-4 ProcessCc con un regi stro acumul ador
Entrada de datos
Regi stros procesadores
o
uni dad de memori a
http://libreria-universitaria.blogspot.com
El regi stro A se borra pri mero.
El pri mer
nmero en ft1 se tasfi ere al regi s-
tro A agregando
al actual conteni o
de ceros de A. El segundo nmero en
R2 se agrega al valor presente
de A. La ,rr,,'" foi*"d;;
A debe usarse
para
otros cl cul os o puede
ser tasferi da
a su de.ti "o-req.reri do.
9- 3 UNI DAD
LOGI CA
ARI TMETI CA
una uni dad l gi ca ari tmti ca (ALU)
es una funci n
mul ti operaci n
di gi tal
de l gi ca
combi naci onal .
Esta pn""
reari zar;;
;";i ;;
de operaci ones
ari tmti cas
bsi cas"y
un- conj uni o de operaci ones
rogi s- Er ALU ti ene un
nmero
de l neas
de serecci _n para
.utu""i orr"i
;;' ;;.i n parti cul ar
de l a uni dad. Las l neas
de sel ecci n
se decodi fi c";
";;;;el
ALU de ma_
nera que
l as & vari abres
de serecci n pueden
".p."i rt"u.
hasta 2; ;;;;;
ci ones di ferentes.
La Fi gura
g-5
muestra-el
di agrama
de bl oque de un ALU de 4 bi ts. Las
cuatro entradas de datos de A s combi nan
" l ur;r;;;
entradas
de B
para generar
una operaci n
en l as sal i das F. El termi nal
de sel ecci n
de
modo.s,
di sti ngue
entre l as operaci nes
ari tmti cas y
l gi cas. Las dos
entradas
de sel ecci n
de funci n
sr y
so especi fi can
l a operaci n
ari tm-
ti ca o l gi ca que
se va a generar.
Con tres vri abl es
de sel i cci n
es posi bl e
especi fi car
cuatro operaci ones
ari tmti cas (con
s, en ,r.,' estado) y
cua-
tro operaci ones
l gi cas- (.con
s2 en el otro estado).
Los arrastres
de entra-
da y
sal i da ti enen si gni fi cado
.otu-"trt..rrr"rrt.
,r' u
"i "r"i "
ari tmti ca.
El arrastre de entrada
en l a posi ci n
menos si gai fi cati va
de un ALU
se usa muy a menudo como una cuenta vari abre
de l ecci n que puede
do_
bl ar el nmero
de operaci ones
ari tmti cas.
De u.tu -rrrl ru,
es posi bl e
ge-
nerar
cuatro operaci ones
ms, para
un total de ocho ope.aci ones
ari t_
mt i cas.
IJn di seo de un ALU tpi co se l l evar a cabo en tres etapas.
pri mero,
ser emprendi do
el di seo ds l a secci n
ari tmti cu.
s"gu"ao, debe consi de_
rarse el di seo de l a secci n l gi ca.
Fi nal mente,
d"b";;-;;i fi carse
l a sec_
DI SEO LOGI CO DE PROCESADORES
CAP. 9
J2
s t
s0
(-.
. . D
(Arraste
de sal i da)
( Sel ecci n
de modo)
( Sel ecci n
de f unci n)
(Arrastre
de entrada)
A4 A3 A2 Ar
84 nr nr - d,
Uni dad l gi ca ari tmti ca
(ALU)
Ft Ft F2 f.l
Fi gura
g-5
Di agrama
<te bi oque de un ALU de 4 bi ts
http://libreria-universitaria.blogspot.com
sEc. 9-4
ci n ari tmti ca de
ti cas
y l gi cas.
DI SEO DE UN CI RCUI TO
ARI TMETI CO 383
manera
que puedan real i zarse ambas operaci ones
ari t me-
c i n = o
' =A+B+l
(b)
Suma con arrastre
9. 4 DI SEO
DE UN CI RCUI TO
ARI TMETI CO
El component ebsi c odel as ecc i nar i t mt i c adeunALUesunsumador
"r,
purri "l o. Ur, .,r-"dr en
paral el o se construye
con un nmero de ci r-
ABAB
F=A+B
( a)
Suma
L i r
-
u
ci '
=o
( c) A ms el comPl ement o
d e l d e B
A O
r - n
(e) Trasferir A
,4 A1l I' s
F=A+B+l
( d) Sust r acci n
F = A+ 1
(f ) I ncrement a, 4
I Al l I ' s
, {
: i
1 . '
F = A - t
F = A
( g) Decr ement ar A
( h) T asf e i A
Fi gura 9-6 Operaci ones
obteni das medi ante el control de un
grupo
de entradas de un sumador en paral el o
F=A+B
F = A- l
Cou,
http://libreria-universitaria.blogspot.com
3U DI SEO LOGI CO DE PROCESADORES
CAP. 9
cui tos
sumadores
compl etos
conectados
en cascada (ver
secci n s-2) . con-
trolando
la entrada
de datos al sumador
""
p"*rl,l,
rs posible
obtener
diferentes
tipos de operaciones
aritmticas.
r," Tis"."--'
-.r".t.a
las ope_
raci ones
ari tmti cas
obteni das
cuando
un grupo
de entradas
a un sumador
en paral el o
se control an
externamente.
El i ri "ro
a" bi ;
""
er sumador
en
paral el o puede
tener_ cual qui er
val or. El u.."rtr"
";;;;1"
cn pasa
al ci r_
cui to sumador
compreto
a-l a posi ci n
el bi t .";;r;i l ati vo.
El arrastre
de.sal i da
,cou,
provi ene
del crcui to
ruao,
compl eto
de l a posi ci n
del bi t
ms si gni fi cati vo.
La suma ari tmti ca
se rogra
cuando
un grupo
de entradas
reci be un
nmero
bi nari o
A, el otro coni unto
de entrads reci be
un nmero
bi nai o
B y
el arrastre
de entrada
se manti ene
en 0. Esto se **.tru en l a Fi gura
9-6(a).
Haci endo
Cr"
: 1como
en l a Fi gura
9_6(b), ; ;
o". i f .
agregar
1a l a
suma en F. considese
ahora el efect a"
"o-i"tr.:;J",
los bits de la
entrada B' cuando-c,n
r
0' ,l a-sari da
p.a*"
]i l ' E;
cual es l a suma
de A ms el compl emento
de 1 de B. Agregando
t , ..i u-i u,' a y
haci endo
Cn
:
I se obti ene F: A+ B' + t l
"""r}.Ju""
ru .;;;
ms el compl e_
mento de 2 de B- Esta
-operacin
es simir
;E"p";;;l"'a"
sustraccin
si
se descarta
el arrastre
d sal i da.
si se col ocan sl ;";;;;
l os termi nal es
B,
se obti ene F: A
* 0: A, l o cuar trasfi ere
l a entrada
A al a sal i a F. Agre-
gando
un 1a Ci ' como en l a Fi gura
g-6(f ),
se obt i ene
p: i +1
ro cual es l a
operaci n
de i ncremento.
La condi ci n i l ustrada
en l a Fi gura
g-6(g)
col ocar
todos l os 1 en l os
termi nal es
B. Esto produce
l a oper"aci n
de"' decre^""t"r:A_1. para
mostrar que
esta condi ci n
e. u.ru operaci n
de decremento,
consi drese
un sumador
en paral el o
con n ci rcui i os
sumadores
compl etos.
cuando
el
arrastre
de sal i da es 1_ste representa-"1
,;;";;;,;;"
Z, en bi nari o
consi ste
de un 1 segui do po.
r, ."ro..
Restando
I di ;,^ l e obti ene
2n
-r,
l o cual en bi nari o
".
,r.r nm"ro
de n unos.
suma ndo 2,
-
| a A se obti ene
F: A+2" - r : 2"
t A-
1. si se
" uf r i me
el ar ast r e
de sar i da
2n se obt i e-
ne F: A-r. Paa hacer una demostraci n
"""-"1"":"r"pro
numri co,
sea
n: 8y
A: 9. Ent onces:
I
:
0000 l00l
:
(9)ro
2n: t
0000
0000: ( 256) 10
2- | :
i l l l l l l l : ( 255) r o
A+2n
_l _l
0000 1000: ( 256+8) r 0
Qui t ando el arrast re
de sal i da
2": 2b6,
se obt i ene
g: g-
1. As , se ha
decrementado
A en 1 agregndol e
un nmero
bi nari o con sl o unos.
,ci rcui to
que
contrl a l a entrada B para
sumi ni strar
l as funci ones
i l ustradas
en l a Fi gura
g-6
se l l aman
el emento
urri Ll compremento,
uno,/cero.
Este ci rcui to se i l ustra
en l a Fi gura
g
z. i .-"s l neas
de se_
l ecci n
sr
Y
so control an
l a entrada
de cadal ermi nal
- ni i ugrama
mues-
tra una entrada tpi ca desi gnada
por
B, y
una ruti u a"",g.ruu por
y-.
Fn una apl i caci n
t1pr1u, hay" n ci rci l l o. pu.u
i
:
r, 2, .
,
n. como se mues-
t ra en l a t abl a de l a Fi gura
g-7,
cuando ambos, u' r
rr, . Lu" i gual es a 0, l a
sal i da
Y: 0, i ndependi ent ement e
del val or
d; ' , . ' b; ; ; 1"
sr s. : 01
l a
http://libreria-universitaria.blogspot.com
sEc. 9- 4 DI SEO DE UN CI RCUI TO ARI TMETI CO 385
compuerta AND superi or genera el val or de B, mi entras que l a sal i da de
I a compuer t a i nf er i or es 0; de maner a que
X: 8, .
Cuando s1s6: 10. i a
compuerta AND i nferi or genera el compl emento de B, para dar l ,
:
B .
Cuando s so
:
11, ambas compuer t as est ar n act i vas
y Y, : Br
+
B;
: 7.
Un ci rcui to ari tmti co de 4 bi ts que real i za ocho operaci ones ari tm-
ti cas se muestra en l a Fi gura 9-8. Los cuatro ci rcui tos sumadores compl e-
tos
(FA)
consti tuyen el sumador en paral el o. El arrastre que va a l a pri -
mera etapa es el arrastre de entrada, el arrastre de sal i da de l a cuarta
etapa es el arrastre de salida. Todos los demis arrastres estn conectados
i nternamente de una etapa a l a si gui ente. Las vari abl es de sel ecci n son
sr, so y
Ci ". Las vari abl es sr y so control an todas l as entradas B a l os
ci rcui tos del sumador compl eto como en l a Fi gura 9-7. Las entradas A van
di rectamente a l as otras entradas de l os sumadores compl etos.
Las operaci ones ari tmti cas confi guradas en el ci rcui to ari tmti co se
l i stan en l a Tabl a 9-1. Los val ores de l as entradas AND a l os ci rcui tos su-
madores compl etos son una funci n de l as vari abl es de sel ecci n sr y so.
Agregando el val or de Y en cada caso al val or de A ms el val or de Cn, da
l a operaci n ari tmti ca en cada entrada. Las ocho operaci ones l i stadas en
l a tabl a se desprenden di rectamente de l os di agramas de funci n i l ustra-
dos en l a Fi gura 9-6.
Figura 9-7 Circuito verdadeo,/complemento, uno,/cero
Tabla 9-1 Tabla de funcin para el circuito aritmtico de la Figura 9-8
Bi
Sel ector
de funci n
Y
igual a
Sa! i da
igual a Funci n
Ctt Jg J
000
001
010
0l l
100
0' - Todounos
I Todo unos
F: A
F- A+l
F: A+B
F: A+B+l
F: A+E
F: A+E+l
F: A- l
F: A
Trasferir A
lncrementar A
Agregar B a A
Agr egar BaAms1
Agregar el complemento de 1
deBaA
Agregar el complemento de 2
d,e B aA
Decrementar A
Trasferir A
0
0
B
B
E
E
http://libreria-universitaria.blogspot.com
Cou,
Fi gura
g-8
Di agrama l gi co del ci rcui to ari tmti co
Este ej empl o demuesta l a facti bi l i dad de construi r un ci rcui to ari t-
mti co por medi o del sumador en paral el o.
El ci rcui to combi naci onal , que
debe ser adi ci onado en cada etapa entre l as entradas externas A, y' Bi y
l as entradas del sumador en paral el o
x, y
y,,
es una funci n de l as' opera-
ci ones ari tmti cas que van a ser confi guadas. El ci rcui to ari tmti co e l a
Fi gura 9-8 necesi ta un ci cui to combi naci onal para cada etapa especi fi -
cada por
l as funci ones de Bool e:
X, : A,
Y
:
Bss * Bi s, i : 1, 2, . . . , n
donde n es el nmero de bi ts del ci rcui to ari tmti co. En cada etapa
j ,
se
usan l as mi smas vari abl es de sel ecci n comn sr y so. El ci rcui to-combi -
naci onal ser di feente si el ci rcui to genera
di ferenl es operaci ones ari t-
mt i cas.
386
http://libreria-universitaria.blogspot.com
Ef ect o del arrast re de sal i da
El arrastre de sal i da de un ci rcui to ari tmti co o ALU ti ene un si gni fi cado
especi al ,
pri nci pal mente despus de una operaci n de sustracci n' Para
i nvesti gar-el efecto de un arrastre de sal i da, se expande el ci rcui to ari tm-
ti co de l a Fi gura 9-8 a n bi ts de manera
que Co' t
:
1, cuando l a sal i da del
ci rcui to es i gual o mayor
que 2". La Tabl a 9-2 l i sta l as condi ci ones
para
tener un arrstre de sal i da en el ci rcui to. La funci n F
:
A tendr si empre
el arastre de sal i da i gual a 0. Lo mi smo se apl i ca a l a operaci n de i ncre-
mento F: A{ 1 excepto cuando
pasa de una condi ci n de sl o 1, a una
condi ci n de sl o 0, en cuyo ti empo se produce un arrastre de sal i da de 1.
Un arrastre de sal i da de 1 despus de una operaci n de adi ci n denota una
condi ci n de sobrecapaci dad. Este i ndi ca que l a suma es mayor
que o i gual
a 2" y que l a suma consi stgde n
*
1 bi ts.
La-operaci n F: A+B agrega el compl ement o de 1de B a A. Recur-
dese de l a Secci n 1-5 que el compl emento de B puede expresarse ari tmti -
camente como 2n
-
I-8. El resul tado ari tmti co de l a sal i da ser:
F: A+2n- l - B: 2n+A- B- l
Si A>8, ent onces
( A- B\
>0y
F>( 2" - 1) , de maner a
qr s C
: 1'
Qui -
tando el arrastre de sal i da 2" de este resul tado dar:
F: A- B- l
l o cual es una sustracci n con bi t prestado. Ntese
que si A< B, entonces
(A-
B)< 0 y F < (2"
-
1)
y as co, t
: 0.
Para est a condi ci n es ms conve-
ni ente expresar el resul tado ari tmti co como:
F: ( 2" - l ) - ( B- A)
el cual es el compl emento de 1 de B
-
A.
Tabla
g-2
Efecto del araste de salida en el circuito aritmtico de la Figura 9-8
I
i
l
.
''r
r l
t,, I
l:
:l
Sel ector
de funcin
J Ss Ci n
Funci n
ari tmti ca
Cou,
:
I
si
Comentarios
00 0
001
0r 0
0l l
100
l 0l
l r 0
l l l
F: A
F: A+l
F: A+B
F: A+B+l
F: A- B- r
F: A- B
F: A- l
F: A
A: 2 n
-
|
( A+B) >2"
( A+B) >( 2" - r )
A>B
A>B
A +0
Cqsl s siempre 0
Co u t : 1 y F : 0 s i A: 2 "
- l
Ocurre sobrecapacidad si C.u
:
1
Ocurre sobrecapacidad si Cou
:
1
Si Co, r
: 0,
ent onces A
<
B
Y
F: compl ement o de 1 de(B
-
A)
Si Co, r : 0, ent onces A<B
Y
F: compl ement o de 2 de
(B
*
A)
Cuut
:
1, except o cuando A: 0
Cs es sienpre 1
387
http://libreria-universitaria.blogspot.com
388 DI SEO LOGI CO DE PROCESADORES
C'
=0
CAP. 9
condi ci n para
el arrastre de sal i da cuando F
:
A+ B
+
1 puede
de-
duci rse de manera si mi l ar. B
a
t es el smbol o para el compl ementb de z de
B. Ai tmti camente,
sta es una. operaci n qu produce
ui nmero i gual a
2"
-
B. El resul tado
de l a operaci n puede
"*pr""..u "o^o,
F: A+2- B: 2+A_B
Si A 8, ent onces
\ l -
n >0 y F22" , de maner a que
C. , u,
: 1.
Removi en_
do el arrastre de sal i da 2' se obti ene:
F: A- B
l 1
""l l
es una_operaci n de sustracci n.
si a pesar
de que A<B entonces
( A- . 8)
<0
y F<2' par a que
Cout : 0. El r esul t ado
ar i t mt i co par a
est a
condi ci n puede
ser expresado com:
F: 2- ( B- A)
l o. cual
. es
el conpl ement o
de 2 de B-A. As , l a sal i da de l a sust racci n
ari t mt i ca
es correct a si empre y
cuando A > . ru, ut i au -A si B; ,
pero-el
circuito genera
el complemento
de 2 le este
"ir-"ro.
T, a
operaci n
de decrement o
se obt i ene
d; t r'
: ; ; "i 2" _I ): 2^
+A
-
1. El arrastre de sal i da es s-i empre 1 excepto cuando A:0. sustrayendo
1de O- da
- 1y - 1en
compl ement o
de 2s2" - i ; l
cual es un nmer o
con sl o unos. La l ti ma entrada en l a Tabl a s-i g"""t;i : (2"
-
1)
+A +
1
:2"
+
A. Esta operaci n
trasfi ere A a F y
aa ur,"a.raJre
de sal i da de 1.
Di seo de ot ros ci rcui t os ari t mt i cos
El di seo de cual qui er ci rcui to ari tmti co que genera
un conj unto de ope-
raci ones_bsi cas
puede l l evarse a cabo si gui ndJel procedi mi ento
enunci a-
do en el ejemplo previo.
Asurniendo q,rJ
tod"" las operaciones del grupo
puerien-
ser generadas por
medio del sumadot
"n
p"r"i"lo,
se comienza ob-
teni endo un di agrama de funci n como en l a Fi gura 9-6. Del di agrama de
funcin se obtiene una tabla de funcin que rela"ciona las entrada del cir_
cui to
.sumador
compl eto a l as entradas externas. A parti r
de l a tabl a de
fincin se obtienen
-las
compuertas combinacionales que deben ser agrega-
das a- cada etapa del sumador completo. Este procedii"i""to
se demuestra
con el si gui ente ej empl o.
E
+B
F=A+E +t =- g
(a)
Especi fi caci n
de l a funci n
F = A+ B
http://libreria-universitaria.blogspot.com
q
]
J
A .
' - l
B
C *
I
(b) Especi fi caci n del ci rcui to combi naci onal
X = A
Y - - B e s
' m
X : A,
Y, : 8, @s
(c)
Tabla de verdad
y ecuaciones simplificadas
Figura 9-9 Deduccin de un circuito sumador,/sustractor
EJEMPLD 9-I; Disear un circuito sumador,/sustractor
con
una vari abl e de sel ecci n
s y dos entradas A y B' Cuando s:0 el
ci r cui t o r eal i za A+B. Cuando
s: 1 el ci r cui t o ej ecut a A- B Lo-
mando el compl emento
de 2 de B.
La deduci n del ci rcui to ari tmti co se i l ustra en l a Fi gura
g-g.
El di agrama de funci n se muestra en l a Fi gura 9-9(a). Para
l a parte de"suma, se necesi ta C,.
:0'
Para l a par,t9 d9 sustracci n
t "
-"""et i t "
el copl ement o
de B y C' ^: 1' La t abl a de f unci n se
i i rtu
"r,
l a Fi gura 9-9(b). Cuando s:0, X,
Y
Y, de ca.da sumador
""r"pf"t"
debJn ser iguales a las entradas externas A,
Y
Bt 19!
; ; i ; ; " " t e.
Cuand s: 1, se debe t ener X : At
v
Y : Bl ' EI
Ii o.tt" de sal i da debe ser i gual al val or de s. El di agrama
en
(b)
muestra l a posi ci n del ci rcui to combi naci onal
en una etapa tpi -
ca del ci rcui to ari tmti co.
La tabl a de verdad en
(c) se obti ene l i s-
"; l os ocho val ores de l as vari abl es de entrada bi nari as. La
r"fi " X, se hace i gual a l a entrada A, enocho entradas' -La
sa-
Itd; es i suat u , pur^ l as cuatro entradas cuando s: 0' Esta
".
lgrif al
"complem"ttto
d" B, pata las ltimas cuatro entradas
.rr"do s
:
1. Ls funci ones de al i da si mpl i fi cadas
para l os ci r-
cui tos combi naci onal es
son:
Ci cui t o
combinacional
0 0
0 l
l 0
l l
0 l
0 0
l l
I 0
0 0
0 l
l 0
1 l
0 0
0 l
l 0
l l
389
http://libreria-universitaria.blogspot.com
Cout
Figura
g-1O
Cicuito sumado/sustacto de 4 bits
El di agrama del ci rcui to sumador sustractor de 4 bi ts se muestra
en l a Fi gura 9-10. Cada entrada B, requi ere una compuerta OR-
excl usi va. La vari abl e de sel ecci n s va a una entrada de cada com-
puerta y tambi n al arrastre de entrada del sumador en paral el o.
El sumador/sustractor de 4 bits
.
puede ser construido con dos
CI. Un CI es el sumador en paral el o de 4 bi ts y el otro es un CI de
compuertas OR-excl usi vas cudrupl es.
9- 5 DI SEO DEL CI RCUI TO LOGI CO
Las mi crooperaci ones l gi cas mani pul an l os bi ts de l os operandos separa-
damente y tratan cada bi t como una vari abl e bi nari a. La Tabl a 2-6 l i sta l G
operaci ones l gi cas que pueden ser real i zadas con dos vari abl es bi nari as.
Las 16 operaci ones l gi cas pueden ser generadas en un ci rcui to y sel ecci o-
nadas por medi o de cuatro l neas de sel ecci n. Como todas l as operaci ones
lgicas pueden obtenerse por medio de operaciones AND, OR
y NOT (com-
pl emento), podra ser ms conveni ente empl ear un ci rcui to l gi co
j ustamen-
te con esas operaciones. Para tres operaciones se necesitan dos variables de
sel ecci n. Pero dos l neas de sel ecci n pueden sel ecci onar entre cuatro
operaci ones l gi cas, de manera que se escoge tambi n l a funci n OR-ex-
390
http://libreria-universitaria.blogspot.com
0
I
MUX
2
3
Sel ecci n
q
*.i
OR
XOR
AND
NOT
(a) Di agrama l gi co
(b)
Tabla de funcin
Fi gur a 9- l l Una et apa de un ci r cui t o I gi co
cl usi va
(XOR) para el ci rcui to l gi co que va a di searse en esta y
en l a
si gri ente secci n.
El mtodo ms si mpl e y di recto de di sear un ci rcui to l gi co se mues-
tra en l a Fi gura 9-11. El di agrama muestra una etapa tpi ca desi grrada por
l suscri to i . El ci rcui to debe repeti rse n veces para un ci rcui to l gi co de
n bi ts. Las cuatro compuertas generan l as cuatro operaci ones l gi cas OR,
OR-excl usi va, AND y NOT. Las dos vari abl es de sel ecci n en el mul ti pl exor
sel ecci onan una de l as compuertas de l a sal i da. La tabl a de funci n l i sta l a
l gi ca de sal i da generada como una funci n de dos vari abl es de sel ecci n.
El ci rcui to l gi co puede ser combi nado en el ci rcui to ari tmti co para
produci r una uni dad l gi ca ari tmti ca. Las vari abl es de sel ecci n sr y so
pueden hacerse comunes a ambas secci ones si empre y
cuando se use una
tercera vari abl e de sel ecci n s2 para di ferenci ar entre l os dos. Esta con-
fi guraci n se i l ustra en l a Fi gura 9-12. Las sal i das de l os ci rcui tos l gi cos
y ari tmti cos de cada estado pasan por un mul ti pl exor con l a vari abl e de
sel ecci n s2 . Cuando sz
:
0 se. sel ecci ona l a sal i da ari tmti ca, pero cuan-
do s,
:
1 se sel ecci ona l a sal i da l gi ca. Aunque l os dos ci rcui tos pueden
combi narse de esta manera, sta no es l a mej or forma de di sear un ALU.
Un ALU ms efi ci ente puede obtenerse si se i nvesti ga l a posi bi l i dad
de generar operaci ones l gi cas de un ci rcui to ari tmti co ya di sponi bl e. Esto
puede hacerse i nhi bi endo todos l os arrastres de entrada de l os ci rcui tos
del sumador compl eto del sumador en paral el o. Consi drese l a funci n de
Bool e que genera l a suma de sal i da de un ci rcui to sumador compl eto:
F : X O) i Oq
El arrastre de entrada C, en cada etapa puede hacerse i gual a 0 cuando l a
vari abl e de sel ecci n s2 s8 i gual a 1. El resul tado ser:
" l
, : 1
I
F, = A, t B,
F i = A i @B i
F, = A 8,
F = Ai
F, : X, @ Y,
391
http://libreria-universitaria.blogspot.com
Una et apa de un
ci r cui t o ar i t mt i co
Una etapg de un
ci r cui t o l gi co
Fi gur a 9- 12 Combi nando ci r cui t os l gi cos y ar i t mt i cos
Tabl a 9-3 operaci ones rgi cas en una et apa de un ci rcui t o ari t mt i co
J0 J2 s l X, Y, C,
F, : X, @ Y, Operaci n
100
l 0l
l l 0
r l l
Ai 0 0
A Bi 0
Ai B,' 0
. 4 i 1 0
F : A
F, : A, @ B,
Ft : A, OB,
F' : A!
'l'rasferir
A
XOR
Equi val enci a
NOT
Operaci n
requerida
OR
XOR
AND
NOT
Esta expresi n
es vl i da debi do a l a propi edad
de l a operaci n
oR-excl usi _
va.r
o o: . As, con el arrastre de sal i da d,e cada etpa i gual a 0, l os ci r-
cui tos del sumador compl eto generan
Ia operaci n
oR-exci usi va.
consi drese el ci rcui to ari tmti co
de i a Fi gura
g-g.
El val or de
r
pue-
de sel ecci onarse por
medi o de dos vari abl es
a r.r*"i n que
sean i gual es
a 0, 8, , B' , o 1. El val or de X, es si empre i gual a l a ent raa A, . La Tabl a
9-3 muestra l as cuatro operaci ones
l gi cas obteni das
cuando l a tercera va_
ri abl e de sel ecci n s2: 1. Est a vai abl e de sel ecci " ; bl i a que
c, sea
i gual a 0 mi ent ras,
gye
rr
J
s' escogen
un val or part i "i rl u,
d"
x. ' i ",
cuatro operaci ones
l gi cas obteni das por
esta confi graci n
son l a i rasfe_
renci a, l a oR-excl usi va, l a equi val enci y
el compl eni ento.
La tercera entra_
da es l a operaci n
de equi val enci a porque:
4
@ Bi
:
A,B, + A;Bl
:
A,O B,
La l ti ma entrada en l a tabl a es el NOT u operaci n
de compl emento
va
que:
392
A, @l : Ai
http://libreria-universitaria.blogspot.com
q
sEc. 9- 6
DI SEO DE UNA UNI DAD LOGI CA ARI TMETI CA
39J
La t abl a t i ene una col umna ms l a cual cont i ene l a l i st a de l as cuarr,
operaci o_nes l gi cas que
se van a i ncl ui r en el ALU. Dos de estas operacrc,_
nes, l a oR-excl usi va y el NoT estn di sponi bl es.
La pregunta que
ebe ser
contestada es de si es posi bl e
modi fi car el ci rcui to ri t"mti co-de
manera
que genere
l as funci ones l gi cas oR y
AND en vez de l as funci ones de tras_
ferenci a y
equi val enci a. Este probl ema
se i nvesti ga en l a si gui ente secci n.
9- 6 DI SEO
DE UNA UNI DAD LOGI CA ARI TMETI CA
En esta secci n se di sea un ALU con ocho operaci ones ari tmti cas y
cua-
tro operaci ones l gi cas. Las tres vari abl es de sel ecci n sz.sr y .s,, sel ec-
ci onan ocho operaci ones di ferentes y el arrastre de entrada c* se ,r.u pu.u
sel ecci onar cuatro operaci ones ari tmti cas adi ci onal es. Con s;
:0,
l as va-
ri abl es sr y so conj untamente con Cl n, sel ecci onan l as ochos operaci ones
ari tmti cas l i stadas en l a Tabl a 9-1. Con s,
:
1, l as vari abl es
",
y ,o ,"-
l ecci onan l as cuatro operaci ones l gi cas oR, oR-excl usi va, AND y or.
El di seo de un ALU es un probl ema
de l gi ca combi naci onal . Debi do a
que l a uni dad ti ene un patrn regul ar, sta puede
fracci onarse en etapas
i dnti cas conectadas en cascada por
medi o de l os arrastres. Se puede
di -
sear una etapa del ALU y l uego dupl i carl a para consegui r el nmero de
et apas requeri das. Hay sei s ent adas a cada et apa: A, , 8, , C, s2, s1
y s0. Hay dos sal i das de cada etapa: l a sal i da
4
y el al rastre de sl i da
c,*,. Se puede formul ar una tabl a de verdad con 64 entradas y
si mpl i fi car
l as dos funci ones de sal i da. Aqu se escoge el uso de un procedi mi ento
al -
terno que
usa l a di sponi bi l i dad de un sumador paral el o.
Los pasos de que se compone el di seo de un ALU son l os si gui entes:
1. Di sear l a secci n ari tmti ca i ndependi entemente
de l a secci n
l gi ca.
2. Determi nar l as operaci ones l gi cas obteni das del ci rcui to ari tmti -
co en el paso
1, asumi endo que l os arrastres de sal i da de todas l as
etapas son 0.
3. Modi fi car el ci rcui to ari tmti co para obtener l as operaci ones l gi cas
requeri das.
El tercer paso en el di seo no es un procedi mi ento
di recto y requi ere ci erta
canti dad de i ngenui dad por parte
del di seador. No hay guru.rtu
de que
se pueda
encontrar una sol uci n o que Ia sol uci n use el -i trno nmero de
compuertas. El ej empl o presentado
aqu demuestra el ti po de pensami ento
l gi co que- se requi ere al gunas veces en el di seo de si stemas di gi tal es.
se debe tener en.cuenta que
se di spone de vari os ALU en I
"r,"upr,r-
l ados.
En un caso prcti co, l o que se debe hacer es buscar un ALU adecua-
do o uni dad procesadora
entre l os ci rcui tos i ntegrados que se obti enen
comerci al mente. Pero, l a l gi ca i nterna del CI sel ecci onado debe haber si do
di seado
por
una persona
fami l i ari zada
con l as tcni cas de di seo l gi co.
_
La sol uci n para
el pri mer paso
del di seo se muestra en l a Fi gui a 9-g.
La s<l uci n al segundo paso
de di seo es presentado
en l a Tabl a
g-3.
La
sol uci n para
el tercer paso
se deduce a conti nuaci n.

I
1
,t
. t
,'.1
,l
i
c
1
1
'I
i
I
http://libreria-universitaria.blogspot.com
394. DI SEO LOGI CO DE PROCESADORES
CAP, 9
De l a Tabl a 9-3 se observa que
si .e:
:
1, el arrastre de entrada C, en
cada et apa debe ser _0. co1 sr so: 00 cada et apa as gener a l a f unci n F,
-
,4,. Para cambi ar l a sal i da a una operaci n oR, se dbe cambi ar l a entra-
da a cada ci rcui to sumador compl eto de A, a e
j +
8,. Esto puede l ograrse
apl i cando l a f unci n OR a B, y A, cuando s2sr so: 100.
Las otras vari abl es de sel ecci n que dan ua sl i da i ndeseabl e ocurren
9ua d9
szsr s' : 110. La uni dad de est a maner a gener a
una sal i da
d:
Ai O Bi pero
se requi ere
_generar
l a operaci n RO F: Ai B,. Se pul d"
i nvesti gar l a posi bi l i dgd
de apl i car l a funci n oR a cada enti ada A,
"o.,
al gnna funci n de Bool e K,. La funci n que
se obti ene se usa para X, uan-
do s r s r s o: 110:
F,
:
X O
l :
( At + K) @ n;
:
A Bi + Ki Bi + A, i Ki , Bi ,
una cui dadosa i nspecci n del r esul t ado r evel a que si l a var i abl e K, : 8, , se
obti ene una sal i da:
F,: A,B, + Bi Bi + Ai Bi Bi '
:
A,B,
Dos trmi nos son i gual es a 0 porque Bi B,,:0. El resul tado obteni do es l a
operaci n AND que se requi ere. La concl usi n es que, si A, se apl i ca con
Bj a una f unci n OR cuando s2srs' : 110, l a sal i da genera l a peraci n
AND.
El ALU fi nal se muestra en l a Fi gura 9-18. sol amente l as dos etapas se
dibujarr, pero
el diagrama puede
extenderse fcilmente a ms etapas. Las
entradas a cada ci rcui to sumado compl eto se especi fi can por medi o de l as
funci ones de Bool e:
X: A + srsisiB, * srsrs' oBi
Y, : soB, * sr Bi
Zt : s' 2Ct
Cuando sz
:0,
l as tres funci ones se reducen a:
X, : A,
Y, : soB, + sr Bi
Z, : C,
l as cual es son l as funci ones para
el ci rcui to ari tmti co de Ia Fi gura 9-g. Las
operaci ones l gi cas se generan
cuando sz
:
1.
para
s2srso
:
11 111, l as
funci ones se reducen a:
X : A,
Y, : sr B, * sr Bi
c: 0
http://libreria-universitaria.blogspot.com
.q
!
. !
l
,
. :
' :
1 ,
Figura 9-13 Diagrama lgico de una unidad lgica aritmtica (ALU)
395
http://libreria-universitaria.blogspot.com
396 DI SEO LOGI CO DE PROCESADORES
CAP. 9
La. sal i da
d
es i gual a X @
{
y produce
l as operaci ones
oR-excl usi va
y
de compl emento
como se especi fi c en l a Tabl "
g-g.
cada A, con B, se
apl i can a una f unci n OR cuando s2s1s6 : 110,
para produci r
l operaci n
oR como se ha di scuti do antes. caaa a, on Bi s apl i an a una funci n
oR
cuando s2srso: 110 para produci r
una operaci n AND como se ha ex-
pl i cado previ amente.
_ !".
12 operaci ones generadas
en el ALU se sumari zan en l a Tabl a 9-4.
La funci n parti cul ar
se sel e-cci ona por medi o de s2, sr, so y
C,.. Las
operaci ones ari tmti cas
son i dnti cas
a aquel l as l i stdas para el ci rcui to
ari tmti co.
El val or d9 c,. para l as cuatro funci ones l gi cas no ti enen efec-
to en l a operaci n de l a uni dad y aquei l as entradas ." "r"u' con X de no
i mporta.
I
Tabla 9-4 Tabla de funcin para
el ALU de la Fizua
g_13
Sel ecci n
J J2
Sal i da
Funci n
0
0
0
0
0
0
0
0
I
I
I
I
0
0
0
0
0
0
I
I
0
0
I
I
0
I
0
I
0
I
0
I
0
I
0
I
X
X
X
X
F: A
F: A+l
F: At B
F=A* B* l
F: A- B- l
F: A- B
F: A- l
F=A
F: AVB
F=A@B
F: AAB
F=f
Trasferir A
Incrementar A
Suma
Suma con arrastre
Resta con prstamo
Sustracci n
Decrementar A
Trasferir A
OR
OR-excl usi va
AND
Compl ementar A
0
0
I
I
9- 7 REGI STRO DE CONDI CI ON
Las_magni tudes rel ati vas de dos nmeos pueden
ser detemi nadas res-
tando un nmero de otro y luego combinando ciertas condiciones de los
bi ts en l a di ferenci a resul tante.
Si l os dos nmeros estn si n si gno l as con-
di ci ones de l os bi ts de al gn i nters, son el arrastre de sal i da y
un resul -
tado posi bl e
de cero. si l os dos nmeros i ncl uyen un bi t de si gno en l a
posi ci n
de mayor orden, l as condi ci ones pri nci pl es
de l os bi ts, so-n el si gno
del resul tado,
una i ndi caci n de cero y una cbndi ci n de sobrecapaci dd.
Es
-conv-eni ente
al gunas veces supl ementar
el ALU con un regi stro de con-
di ci n donde se al macenan aquel l as condi ci ones de l os bi ts para anl i si s
posteri or.
El estado de l os bi ts de condi ci n se l l ama al gunas veces cd-
go
de condi ci n de l os bi ts o bi ts i ndi cadores
La Fi gura 9-14 muestra un di agrama de bl oque de un ALU de
g
bi ts
con un regi stro de condi ci n de 4 bi ts. Los cuatro bi ts de condi ci n se si m-
bol i zan por medi o de c, s, z y v. Los bi ts se ponen
a uno cero como resul -
tado de una operaci n real i zada en el ALU.
http://libreria-universitaria.blogspot.com
sEc, 9-7
1.
2.
. J.
4.
REGI STRO DE CONDI CI ON 397
El bi t C se pone a uno si el arrastre de sal i da del ALU es I y' se pc,ne
a cero
(borrado)
si el arrastre de sal i da es 0.
El bi t S se pone a uno si el bi t de mayor orden del resul tado en Ia
sal i da del ALU
(bi t del si gno) es 1y se pone a cero
(borrado) si ei
bi t de mayor orden es 0.
El bi t Z se pone a uno si l a sal i da del ALU conti ene sl o ceros
y se
pone a cero
(borrado)
de otra manera. Z:1 si el resul tado es cero
y Z:0 si el resul tado es di ferente de cero.
El bi t V se pone a uno si l a OR-excl usi va de l os arrastres Cs
Y
Cs
es 1y de otra manera se pone a cero
(borrado) . Esta es l a condi ci n
de sobrecapacidad cuando los nmeros estn en la representacin
de si gno-compl emento de 2
(ver
Secci n 8-5). Para el ALU de 8bi ts,
V se pone a uno si el resul tado es mayor que 127 o menor que
-
128.
Los bi ts de condi ci n
pueden comprobarse despus de una condi ci n
de operaci n para determi nar ci ertas rel aci ones que exi sten entre l os va-
l ores de A y B. Si el bi t V se pone a uno despus de l a adi ci n de l os dos
nmeros con si gno, ste i ndi car una condi ci n de sobrecapaci dad. Si Z se
pone a uno despus de una operaci n de OR-excl usi va i ndi ca que A: B.
Esto es as porque @ r:0
y l a OR-excl usi va de dos operandos i gual es da
un resul tado de sl o ceros, l os cual es ponen a uno el bi t Z. Un sol o bi t de A
puede comprobarse
para determi nar si es 0 1, al enmascarar todos l os
bits excepto el bit en prueba, para luego comprobar el bit de condcn Z'
Sel ecci n
Registro de
condicin
C
-
Arraste
S
-
Signo
Z
-
Cero
V- Sobrecapacidad
Fi gura 9-14 Acti vaci n de l os bi ts en un regi stro de estado
http://libreria-universitaria.blogspot.com
398 DI SEO LOGI CO DE PROCESADORES
CAP. 9
Por ej empl o, sea A: 1011100 donde es el bi t que se va a comprobar. La
operaci n AND de A con B:00010000 produci r
un resul tado 0000000. Si
: 0 el bi t de condi ci n se pone
a uno per o
si r : 1el bi t z sebor r a ya que
el resul tado es cero.
La operaci n de comparaci n es una sustracci n de A menos B, ex-
cepto que
el resul tado de l a operaci n no se trasfi ere al regi stro de desi i no,
pero
l os bi ts de condi ci n se afectan. El regi stro de condi ci n sumi ni stra
entonces l a i nformaci n acerca de l as magni tudes rel ati vas de A y B. Los
bi ts de condi ci n que se van a consi derar' dependen
de si se toman l os dos
nmeros con si gno o si n l en l a representaci n
de compl emento de 2.
consi drese l a operaci n A
-
B hecha con dos nmeros bi nari os si n si g-
no. Las magnitudes relativas de A y B pueden
determinarse de los valors
trasferi dos a l os bi ts de condi ci n cl
v
z. si z: 1 se sabe que A: B ya que
A- B: 0.
Si Z: A se sabe que A+8. De l a Tabl a 9_2 se t i l ne que
C: 1se
t i ene que
c: 1 si A> B y
c: 0 si A<8. Est as condi ci ones est n l i st adas
en l a Tabl a 9-5. La tabl a da en su l i sta otras dos condi ci ones.
para
que A
sea mayor per o
no i gual a B ( A>
B) se debe t ener c: l y z: 0. comoc se
pone
a uno cuando el resultado es 0, se debe comprobar z para asegurarse
que
el resul t ado no es 0. Para que A sea meno. que i gual a i l (. Bi el bi t
C debe ser 0
(para
A<B) o el bi t Z debe ser 1
(pra
e: n. La Tabl a
g_5l i s_
ta tambi n l as funci ones de Bool e que deben i ati sfacerse para
cada una de
l as sei s rel aci ones.
.
Al gunos computadores consi deran el bi t c como el bi t de prstamo
des-
pus.de
un_a operaci n de sustracci n
de A-8. un bi t de pri tamo
no ocu-
rre si A
zB
pero un bi t extra debe serprestado
cuando e i a. La condi ci n
para
el bi t de prstamo
es el compl emento del arrastre de sal i da obteni do
cuando se hace l a sustracci n, tomando el compl emento de 2 de B.
por
esta
razn un procesador que
consi dera el bi t c como el bi t de prstamo
despus
de una sustracci n, compl ementar
el bi t c despus de l a sustracci n u
operaci n de cci mparar,i n y denotar este bi t com prstamo.
consi drese ahora l a operaci n A
-
B hecha
"on
dor nmeros bi nari os
c:on signo cuando los nrneros negativos estn en la forma de complemento
de_ 2. Las magni tudes rel ati vas de A y B pueden
ser determi nads de dos
val ores trasferi dos a l os bi ts de condi ci n 2, s y v. si z: I se conoce que
A: 8, cuando z: 0 se t i ene que
A+8. si s: 0, el si gr r o del r esul t ado es
Tabla 9-5 Bits de condicin despus de la sustaccin
de los nmeros sin signo (A
-
B)
I
A>B
A>B
A<B
A<B
A: B
A+n
Funci n
de Boole
Estado del bi t
de condi ci n
Rel aci n
C: l y Z=0
c: l
C=0
C: 0oZ: l
Z: l
Z: 0
CZ'
C
C'
C, + Z
Z
z'
http://libreria-universitaria.blogspot.com
u
SEC. 9. 8
DI SEO DE UN REGI STRO DE DESPLAZAMI Ef
C 399
posi ti vo de manera
que A debe ser_ mayor
que B. Esto es verdad sl n- :.:"
i o.".upu.i dad
y
y:0.
Si el resul tado se desborda
por sobrecapaci ci aci
st
obtend un resul tado errneo. Fue mostrado en l a Secci n 8-5 que una co-
di ci n de sobrecapaci dad
cambi a el si gno del resul tado. Por tanto, si ' s
:
i
y V-- 1 esto i ndi a que el resul tado debera haber si do
posi ti vo y por tant(-'
A debe ser mayor
que B.
La Tabl a-g-e l i sta l as sei s rel aci ones
posi bl es que pueden exi sti r entre A
Vf V
t ot val or es cor r espondi ent es
de
?, SV
Ven cada caso' Par aqueA- B
sea mayor
que pero no i gual a cero
(A> B), el resul tado debe ser posi ti vo o
i i ".u"i u de
"e-.
Como"u.,
resul tado de cero dar un si gno
posi ti vo, se debe
ur"goruque el b t z es 0 para excl ui r l a posi bi l i dad de A: 8. Para A>-B
u* *fi"i"rrte comprobar un signo
positivo cuando no ocurre sobrecapacidad
o un si gno negati vo cuando
o"rrrtl una sobrecapaci dad.
Pan A < B, el re-
sul tado debe ser negati vo. Si el resul tado es negati vo o cero' se ti ene
que
A<8. Las funci onei de Bool e l i stadas en l a tabl a expresan
l as condi ci ones
del bi t de condi ci n en forma al gebrai ca.
Tabl a 9- 6 Bi t s de condi ci n despus de l a sust r acci n de nmer os
( A- B)
en si gno-comPl emento
de 2
i
I

I
I
t
'!
I
Rel aci n
Estado de los bits de condicin
Funci n de Bool e
A>B
A>B
A <B
A<B
A: B
A+B
Z: 0
y
( s: 0, v: 0 s: l , I / : l )
S: O, V: 0 S: l , V: r
S: l , V: 0
S: 0, V: l
s: 1, I / : 0s: 0, v: l Z: r
z: r
z: 0
z' ( som
,so z
S@V
( s@v) +z
Z
Z'
1
I
9- 8 DI SEO DE UN REGI STRO DE DESPLAZAMI ENTO
La uni dad de despl azami ento
adj unta a un
procesador trasfi ere l a sal i da
Jel ALU al bus d sal i da. La uni dad de despl azami ento
puede trasferi r
l a
i nformaci n di rectamente
si n un despl azami ento
o puede despl azar
l "
i l -
formaci n a l a derecha o a l a i zqui ei da. Se debe tener al guna
precauci n
para que al gunas veces no haya ti asferenci a del ALU al bus de sal i da. El
i "gi rtr' " "
"Jespl arami ento
pi oduce l a mi crooperaci n
de despl azami ento
comnmente
no di sponi bl e
en un ALU'
un ci rcui to ob"i o
para un regi stro de despl azami ento
es un regi stro_de
a"rptu"u*i ento
bi di recci onal
"o.,
i urga
".t
pa.l el o. La i nformaci n
del ALU
;;;;;;
;;sferida al registro en
p-aralel para luego.desplazarla
a la de-
;*
"
a l a i zqui erda. Ei esta confi guraci n
se necesi ta un
pul so de rel oj
pi " f" trasferenci a
ai .egi st.o de despl azami ento
y se necesi ta otro
pul so
;;;
"i
despl azami ento.
stos dos
pui sos son agregados al pul so necesari o
i u."
t.u.f"ri ,. l u i .rformaci n del regi stro de despl azami ento
al regi stro de
dest i no.
http://libreria-universitaria.blogspot.com
4@ DI SENO LOGI CO DE PROCESADORES
Sal i da
seial
CAP. 9
La trasferencia
de_ un registro
fuente a un registro de destino puede
hacerse
con un pul so.de
rel oj si se confi guru
"r
,"gi .ti o"aJ' a".ptrzami ento
con un circuito combinacional.
En un re"gistro
au ".ptur"-ienio
de lgi;;
combi naci onal ,
l as seal es del ALU al bs a"
"uri u' ."
propug"ra'
por
l as
compuertas
sin la necesidad
de rn pulso
de reloj.
por
tant ei t'ic prriso
de reloj necesario
en el sistema del procesador
e p"r;
;;;;;, los datos del
bus de sal i da al regi stro de desti no.
IJn regi stro de despl azami ento
de l gi ca
combi naci onal puede
construi r_
s: col l mul ti pl exores
como se muestra
"tt
l u Fi gura
g-15.
Ll s dos vari abl es
de sel ecci n
Ht
!
i l o apl i cadas a l os cuatro ul ti pl exores
sel ecci onan
el
ti po de operaci n
en el regi stro de despl azami ento.
Co" A,/10:00
no se
ejecuta_n
desplazamientos y
las seales de F van lr""iu-"rte
a las lneas
de s,. Las dos si gui entes
vari abres
de sel ecci n causan
-rrrr"
op"ruci n
de
despl azami ento
a l a derecha o-l a i zqui erda.
C"u"o-i rn"r' :11,
l os mul ti _
pl exores
sel ecci onan l as entadas conectadas
a 0 y.o-ol rru
secuenci a l as
sal i das de s son tambi n i gual es a 0, bl oque"" r" trri .r"rr.i "
de i nfor_
maci n del ALU al bus de sal i da. La
' tabi a
g-z
sumai i z" 1, op..u"i n del
regi stro de despl azami ento.
_
El di agrama de l a Fi gura
g-15
muestra sol amente cuatro estados del
regi stro
de despl azami ento.
Este l ti mo por
supuesto debe consi sti r de n
estados en un si stema con n l neas en-paral l o.
Las entradas 1, e 1.
si rven como entradas-de seri e para
l a pri mera y l ti ma
"tp",
durante un
despl azami ento
a l a derecha o a l a i zqui erda rspecti vame' i e.
ot.u vari a-
ble de seleccin puede
se: emplead" pltu
especificar que ir a I, e 1, du-
rante el despl azami ento.-
Por ej -empl o, una tercera vari abl e de sel etci ri a,
,
cuando est en un estado puede
selecciona
un 0 para
la entrada en serie
Sal i da
seri al
04 13
.t2
,Sl
Fi gura
g-15
Regi stro de despl azami ento
de 4 bi ts a base de l gi ca combi naci onal
http://libreria-universitaria.blogspot.com
q
Tabl a 9-7 Tabl a de funci n para el regi stro de despl azami ento
Hl Ho Operacin
Funci n
0
I
0
I
S <- F Trasferir F a S
(ningun desplazamiento
r
^S <- shr F Desplazar F a la derecha hacia S
S <- shl F Desplazar F a la izquierda hacia F
S<- - 0 Tr asf er i r 0aS
durante el despl azami ento. Cuando H2 est en el otro estado l a i nforma-
ci n
puede ci rcul arse conj untamente con el val or del bi t de condi ci n. De
esta manera un arrastre produci do durante una operaci n de suma puede
despl azarse a l a derecha a l a posi ci n del bi t ms si gni fi cati va de un re-
gi stro.
9- 9 UNI DAD PROCESADORA
Las vari abl es de sel ecci n en l a uni dad
procesadora control a l as mi croope-
raci ones ej ecutadas dentro del procesador durante cual qui er
pul so de rel oj
dado. Las vari abl es de sel ecci n control an l os buses, el ALU, el regi stro de
despl azami ento
y el regi stro de desti no. Se demostrar ahora por medi o de
un i j empl o cmo l as vari abl es de control sel ecci onan l as mi crooperaci ones
en una unidad procesadora. El ejemplo define una unidad procesadora con-
j untamente
con todas l as vari abl es de sel ecci n. Luego se di scuti rn l as al -
ternati vas de l as vari abl es de control
para al gunas mi crooperaci ones t-
pi cas.
Un di agrama de bl oque de una uni dad procesadora se muestra en l a
Fi gura 9-r6(a). Este consi ste de si ete regi stros Rl hasta R?
y el regi stro
de condi ci n. Las sal i das de l os si ete regi stros van a travs de dos mul ti -
pl exores para sel ecci onar l as entradas del ALU. La entrada de datos de una
l uente externa se sel ecci ona tambi n con l os mi smos mul ti pl exores. La sal i -
da del ALu
pasa a travs de un Iegi stro de despl azami ento
y l uego va a un
grupo de termi nal es de sal i da externos. La sal i da del regi stro de despl aza-
mi ento
puede trasferi rse a cual qui era de l os regi stros o a un desti no ex-
terno.
Hay 16 vari abl es de sel ecci n en l a uni dad
y su funci n se especi fi ca
por una pal abra de control en l a Fi gura 9-16(b). La pal abra de control de
16 bi ts cuando se apl i ca a l as vari abl es de sel ecci n en el procesador, espe-
ci fi ca una mi crooperaci n dada. La pal abra de control se di vi de en sei s
campos, con cada campo desi gnado
por una l etra. Todos l os campos, excepto
C. ti enen un cdi go de tres bi ts. Los tres bi ts de A sel ecci onan un regi stro
fuente
para l a entrada en l a parte i zqui erda del ALU. El campo B es el mi s-
mo, pe;o sel ecci ona l a fuente de i nformaci n
para l a entrada derecha del
ALU. El campo D sel ecci ona un regi stro de desti no. El campo F conj unta-
mente con el bi t etr C1, sel ecci onan una funci n en el ALU. El campo H se-
l ecci ona el ti po de despl azami ento
y el regi stro de despl azami ento.
401
0
0
I
I
http://libreria-universitaria.blogspot.com
Datos de entrada
registros
Rl aRT
Registro de condicin
Selector
de destino
Registro de
desplazamiento
Datos de sal i da
(a)
Di agrama de bl oque
1
e l 0 l l 1 2 1 3 1 4 1 5 1 6
B D F
l Cr
H
(b)
Palabra de control
Figura 9-16 Unidad procesadora
con variables de control
Las funci ones de todas l as vari abl es de sel ecci n se esDeci fi can en l a
Tabl a 9-8. El cdi go bi nari o de 3 bi ts l i stado en l a tabl a espe;i fi ca el cdi go
para
cada uno de l os ci nco campos A, B, D, F y H.El regi stro sel ecci onado
por A, B y D es aquel cuyo nmero deci mal es equi val ente al nmero bi na-
ri o en el cdi go. Cuando el campo A B es 000, el correspondi ente mul ti pl e-
xor sel ecci ona l a entrada de datos. Cuando D:000, no se sel ecci ona regi s-
tro de desti no. Los tres bi ts en el campo F conj untamente con el arrastre
de entrada C;a, surl uri stran l as 12 operaci ones del ALU de l a manera espe-
402
http://libreria-universitaria.blogspot.com
sEc. 9- 9
UNI DAD PROCESADOR^ &3
ci fi cada en l a Tabl a 9-4. Ntese
que hay dos posi bi l i dades
para F:A' En
,rr ."ro el bit de arrastre C se boria
y en el otro caso se pone a 1
(ver Tabla
e-2).
Tabla
g-g
Funciones de las variables de control
para el procesador de la Figura 9-16
Las cuatro
primeras entradas
del cdigo en e-l campo H especifica-n
las
opuru"i"*
. d".pi"rLi"trto
d. la Tabla 9-?. Una tercera
variable de se-
leccin se usa
pu,"
"'p".ificar
un 0 para las entradas
de serie I, e I'
,rn-a..pt"rami ento
ci -rl a.
con el bi f de arrastre C. Por conveni enci a
se
.*ig"
un desplazamiento
circular a la derecha con arrastre como crc
y a
l a i zqui erda
como .i r. n"to"ces
l a decl araci n
(crc: ci rcul ar
ri ght-shi ft
wi th carry; cl c: ci rcul ar l eft-shi ft wi th carry):
R<- c r cR
es una abreviacin
de la proposicin:
R +- shr R, Rn<- C, C +- Rt
Rs edespl az aa| ader ec ha, subi t me{ l gs si gni f i cat i v oR. v aaCy el v al or
a.-C uu a l a posi ci n del bi t ms si gni fi cati vo
Rn '
Se necesita
",'|'p"Iil;
J" .onirol
de 16 bi[s
para especificar
una mi-
"roo"tu.l;;|*
l unidad
de proceso. La manera ms eficiente
de
gene-
rar palabra. d.
"orrlrJf;;
tattiot
bits es almacenarlas
en una unidad de
memoria
que funciona como rnemoria
d,e control donde estn almacenadas
todas las palabras de control.
La secuencia de
palabras de control se lee
de la memoria de
";t;i;;I"bra
a palabra para-iniciar la secuencia
deseada
de microoper".iorr"..-tt"
tip" de or'ganizacin
de control
se llama micropro-
i *oti
y se di scute en ms detal l e
en el Captul o10'
"'-";"
ilt.
"-"""tio1
pu1" una microoperacin
dada
puede ser deriva-
da di rectamente
e-r-""tr.t
de sel ecci n
defi ni das
en l a Tabl a 9-8' La
mi crooperaci n
de sustracci n:
\
I
I
d
I
Cdigo
binario
Funcin de las variables de seleccin
B D
F con
C, . : 0
I con
Cn : I
H
000
001
010
0l I
100
l 0l
l l 0
l l l
Datos entr.
RI
R2
R3
R4
R5
n6
R7
Datos sal-
RI
R2
R3
R4
R5
R
R7
Ni ng.
nl
R2
R3
n4
R5
R6
R7
A, C <- 0
A+B
A_B_I
A- l
AVB
A@B
AAB
T
A+l
A+B+1
A- B
A, C< - l
No desplazamiento
Despl. a la der., /^
:
Q
Despl . al ai zq., It:O
0 al bus de sal i da
Circular a la der. con C
Ci rcul ar a l a i zq. con C
Rl <- Rl -
R2
http://libreria-universitaria.blogspot.com
& DI sEo
LoGI co
DE PRocEsADoRES
cAP. 9
especi fi ca
ftl para
l a entrada i zqui ei da
del ALU,
R2para
l a entrada
dere_
cha del ALU. A
-
B para,l "
op"r""i r,
d"i L;;i "*o' T;o;zami ento
para
el ^regi stro
de.desprazami ento
v
Rr pai a
"r
r";i .;;?;' d;.;i ;".
De ra Tabra
9-8 se deri va
l a pal abra
de control
uru
qu"
esta operaci n
sea
0010100010101000:
F
0r 0
A
001
BD
0r 0 001
q, H
r 000
Las pal abras
de control para
esta mi crooperaci n
y
al gunas otras se l i stan
en l a Tabl a 9-9.
La operaci n
de comparar
es si mi l ar
a l a mi crooperaci n
de sustrac-
ci n, excepto que
l a di ferenci a
no se tr".n"."
"f
;**;;;' i e
e.ti rro y
sol a_
mente l os bi ts de condi ci n
se afectan.
El campo de desti no D en este caso
debe ser 000. La trasferencia
de ,Ra u ns
ryc,ri"re
una operacin
ALU F
:
A.
La fuente
A es r(x)
v
el desti no
D esri .
_nr
.J,g"
".i i "J.i o"
B podra
ser
::l:T"_t
.oru porqlu
el ALU
";
;;
Este campo se marca con 000 en la
tabl a por
conveni enci a
pero-
cuarqui er
otro cdi go
" i l ;;dra
ser usado.
Para trasferi r
l a enrrada
de datos n,-J"b";;;;i :000
para
se_
l ecci onar
l a entrada
extena
I
D:110 para
sel ecci onar
el regi stro
de des-
ti no' De nuevo
el val or
de.B-n_o_i rnp"_rt"
y
l a funci n
ALU es F:A.
para
saca
dat os de R7 se hace A: 111
i
n: g00 (
111) . La oper aci n
de ALU
F:
Lcol oca
l a i nf ormaci n
de nZal bus de sal i da.
Es necesari o
al grrnas
veces borrar o po.ru.
a 1 el bi t de arrastre
antes
de-una
-operaci n
ci rcul j rr_de
despl a"ami e' to.
n.i o-pu"u-r.".se
con un
cdi go
de sel ecci n
de ALU 0000 ' 01ri .
co' el
;.;;;;i go se ti ene el bi t
c bo*ado
v
con el segundo
el bi t c *l l u tr"Jr"r"""i "i "-nr,
c*0 no
carnbi a el conteni do.el
,regi stro,
p".o
borrar
c y
v. Los bi ts de sel ecci n
A y
s se afectan de i fual
-"net.' si
ni : o entonces
z se pone
a 1, de otra
manera se borrar.
El bi t s se pone
a uno con el val or del bi i -si gno
en ^R1.
El pul so
de rel oj , que,di si ara
el regi stro
de desti no trasfi ere
tambi n
l os bi ts de condi ci n
dei ALU at.egi .tro' e
condi ci n.
t;.;i t,
de condi ci n
Tabl a
g-9
Ej empl os
de mi crooperaci ones
para
un procesador
Palabra
de control
Microoperacin
F Cn
Funcin
Rl +- - Rl - R2
R3- R4
R5 <-- fi 4
It <-.Entrada
Sal i da <- R7
Rl <- Rl , C<- 0
R3 <- shl R3
Rl <- c r c Rl
R2<- 0
001 0t 0 001 010
0l l 100 000 010
r00 000 l0l 000
000 000 ll0 000
ul 000 000 000
00r 000 001 000
oi l 0l l 0l l t 00
001 001 001 100
000 000 010 000
I
I
0
0
0
0
0
0
0
000 Sustrae
R2de Rl
000 Comparar
RBy R4
000 Trasferir
R4 a R5
000 Entrada
de datos a
g6
000 Salida de datos de.RT
000 Borrar el bit de arrastre
C
010
trsspl pr
a l a derecha
R3 con.L
:
0
l 0l
Ci r cul ar a l a der . Rl conbi t der r ast r e
0l I Borrar.R2
http://libreria-universitaria.blogspot.com
j!
sEc. 9- 9
UNI DAD PROCESADOR^ 4O5
son afectados despus de l as operaci ones ari tmti cas. Los bi ts de condi ci on
C
y V se dej an si n cambi ar durante una operaci n l gi ca ya que esos bi ts
no ti enen si gni fi cado para l as operaci ones l gi cas. En al gunos procesadores
es costumbre no cambi ar el val or del bi t de arrastre C despus de una ope-
raci n de i ncremento o decremento.
si se qui ere col ocar el conteni do de un regi stro a un regi stro de despl a-
zami ento si n cambi ar el bi t de arrastre, se puede usar l a operaci n l gi ca
OR con el mi smo regi stro sel ecci onado
para l as entradas A y B del ALU. La
operaci n:
R<- R! R
no cambi a el val or del regi stro R, si n embargo, col oca el conteni do de R a l as
entradas del regi stro de despl azami ento
y no cambi o l os val ores de l os bi ts
decondi c i nCy V.
Los ejemplos en la Tabla 9-9 discutidos hasta ahora usan el cdigo 000
de sel ecci n de despl azami ento
para el campo H, para i ndi car una opera-
ci n de no despl azami ento. Para despl azar l os conteni dos de un regi stro se
debe col ocar el val or del regi stro en el regi stro de despl azami ento si n ha-
cerl e ni ngn cambi o al ALU. La proposi ci n de l a mi crooperaci n de des-
pl azami ento a l a i zqui erda:
R3 <- shl R3
especi fi ca el cdi go
para l a sel ecci n de despl azami ento
pero no el cdi go
para el ALU. El conteni do de R3
puede col ocarse en el regi stro de despl aza-
mi ento para especi fi car una operaci n OR entre .R3 y el regi stro mi smo. La
informain desplazada regresa a R3 si ft3 se especifica como el registro de
desti no. Esto requi ere que l os campos de sel ecci n A, B y D tengan el c-
digo 011 para R3, que el cdigo de funcin del ALU sea 1000 para la opera-
ci n oR
y que el sel ector Il de despl azami ento sea 010 para el despl aza-
mi ento a l a i zqui erda.
El despl azami ento ci rcul ar a l a derecha con arrastre del regi stro R1 se
si mbol i za
por medi o de l a proposi ci n:
Rl <- c r cRl
Esta proposi ci n especi fi ca el cdi go del regi stro de despl azami ento
pero no
el cdi gopara el ALU. Para col ocarel conteni do de R3 en l os termi nal es de
sal i da del ALU si n afectar el bi t C se usa l a operaci n OR como se hi zo an-
teri ormente. De esta manera no se afecta el bi t C en l a operaci n del ALU,
pero puede cambi arse debi do al despl azami ento ci rcul ar.
El l ti mo ej empl o en l a Tabl a 9-9 muestra l a pal abra de control
para bo-
rrar un regi stro a 0. Para borrar el regi stro R2, se hace que el bus de sal i da
cont enga sl o ceros con. FI : 011. El campo de dest i noD se hace i gual al c-
digo del registro R2.
Es obvio a partir de estos ejemplos
que muchas otras microoperaciones
pueden generarse en la unidad
procesadora. Una unidad procesadora con
un conjunto completo de microoperaciones es un elemento de propsito ge-
http://libreria-universitaria.blogspot.com
406 DI SEO LOGI CO DE PROCESADORES
CAP. 9
neral que puede adaptarse a muchas apl i caci ones. El mtodo de trasfe-
renci a entre regi stros es una herrami enta conveni ente para especi fi car l as
operaci ones en forma si mbl i ca en un si stema di gi tal que empl ea una uni -
dad de proceso para propsi tos general es"
El si stema se defi ne pri mero
con una secuenci a de proposi ci ones
de mi crooperaci n en el mtodo de no-
taci n de trasferenci a entre regi stros o en cual qui er otra notaci n di sponi -
bl e de trasferenci a. Una funci n de control se representa aqu no por
una
funci n de Bool e si no por una cadena de vari abl es bi nari as l l amadas pal abra
de control . La pal abra de control para cada mi crooperaci n se deri va de l a
tabl a de funci n del procesador.
La secuenci a de pal abras de control para el si stema se al macena en l a
memori a de control . La sal i da de l a memori a de control se apl i ca a l as va-
ri abl es de sel ecci n del procesador. Leyendo consecuti vamente l as pal a-
bras de control de l a memori a, es posi bl e darl e secuenci a a l as mi croopera-
ci ones del procesador. As, todo el di seo puede hacerse por medi o del
mtodo de trasferenci a entre regi stros, el cual en este caso parti cul ar se
refi ere al mtodo de l a mi croprogramaci n. Este mtodo para control ar l a
uni dad procesadora
se demuestra en l a Secci n 10-5.
9- 1O DI SEO DEL ACUMULADOR
Algunas unidades procesadoras distinguen un registro de otros y lo llaman
regi stro acumul ador. La organi zaci n de una uni dad procesadora con un
regi stro acumul ador se muestra en l a Fi gura 9-4. El ALU asoci ado con el
regi stro puede ser construi do como un ci rcui to combi naci onal del ti po di s-
cuti do en l a Secci n 9-5. En esta confi guraci n, el regi stro acumul ador es
esenci al mente un regi stro de despl azami ento bi di recci onal con carga en
paral el o
el cual es conectado a un AL[I. Debi do a l a conexi n de retroal i -
mentaci n de l a sal i da del regi stro a una de l as entradas en el ALU el re-
gi stro acumul ador y su l gi ca asoci ada, cuando se toman como una sol a
uni dad, consti tuyen un ci rcui to secuenci al . Debi do a l a anteri or propi edad
un regi stro acumul ador puede ser desi gnado por tcni cas de ci rcui tos se-
cuenci al es en vez de usar un ALU de ci rcui to combi naci onal .
Vari abl es de control
Entadas de datos
Figura 9-17 Diagrama de bloque del acumulador
I
Ci cui t o
combi naci onal
http://libreria-universitaria.blogspot.com
--E
s Ec . 9- 10
DI SEO DEL ACUMULADOR 4O7
Tabl a 9-l O Li sta de mi crooperaci ones de un acumul ador
El di agrama de bl oque de un acumul ador
que forma un ci rcui to secuen-
ci al se mustra en l a Fi gurag-17.
El regi stro A y el ci rcui to combi naci onal
asoci ado consti tuyen un ci rcui to secuenci al . El ci rcui to combi naci onal
.e*pl aru al ALU
pero no puede separarse del regi stro
ya que ste es sol a-
meni e l a parte def ci rcui to combi naci onal
del ci rcui to secuenci al . EI regi s-
tro A se i rata al gunas veces como el regi stro acumul ador
y se denota al -
gunas veces por eI smbol o AC. Aqu, el acumul ador se refi ere al regi stro A
!
"
.,n ci rcul to combi naci onal
asoci ado. Las entradas externas al acumu-
i ado, son l as entradas de datos de B y l as vari abl es de control
que deter-
mi nan l as mi crooperaci ones del regi stro. El si gui ente estado del regi stro A
es una funci n d un estado
presente y de l as entradas externas.
En el Captul o ? se consi deraron
vari os regi stros que real i zan funci o-
nes especfi "" tul ". como l a carga en paral el o, l as operaci ones de despl a-
zami ento
y el conteo. El acumul ador es si mi l ar a estos regi stros
pero es
ms
generl ya que ste puede real i zar no sol amente l as funci ones anteri c-
,", .i no tamLi n otras operaci ones de
procesami ento de datos. Un acumu-
l ador es un regi stro de mul ti funci n
que por s mi smo puede real i zar todas
l as mi crooperi ci ones en l a uni dad
procesadora. Las mi crooperaci ones i n-
cl ui das en un acumul ador dependen de l as operaci ones
que deben i ncl ui rse
en el procesador parti cul ar. Para demostrar el di seo l gi co de un regi stro
operacl onal de mul ti propsi to tal como un acumul ador se di sea el ci rcui -
t con nueve mi crooperaci ones. El procedi mi ento enunci ado en esta secci n
puede ser usado para extender el registro a otras microoperaciones.
El conj unto e mi crooperaci ones
para el acumul ador se da en Ia Tabl a
9-10. Las vari abl es de control
pr hasta pe son
generadas por l os ci rcui tos
igi"o. de control
y deben ser consideradas
como funciones de control
que
i ni ci an l as operaci ones correspondi entes
de trasferenci a entre regi stros.
El regi stro A es un regi stro fuente en todas l as mi crooperaci ones
l i stadas.
En es*enci a ste representa el estado
presente del ci rcui to secuenci al .
El
registro B se usa como un segundo registro fuente para microoperaciones
qu"e necesitan dos operandos. El registro B se asume que est conectado al
Vari abl e
de control Microoperacin
Nombre
Pt
Pz
Ps
Pq
Ps
Pe
Pt
Ps
Ps
A<_A+ B
A <- 0
A e-.f
A<- A
\ B
A<_A\ / B
A<- . A@B
A <-shr A
A +-sl:J A
A<- A* l
Sumar
Borrar
Cornplementar
AND
OR
OR-excl usi va
Desplazar a la derecha
Desplazar a la izquierda
Incremento
Si
(A :
0) entonces
(Z: l) Comprobar el cero
http://libreria-universitaria.blogspot.com
4O8 DI SEO LOGI CO DE PROCESADORES
CAP. 9
acumul ador y
sumi ni stra
l as entradas
al ci rcui to secuenci al .
El regi stro
de desti no para
todas
^l as-mi croop"ru"i one.
es si empre
el regi stro
A. La
nueva i nformaci n
trasferi da
a A consti tuye
el .i gri .ri t.
estado del ci cui to
secuenci al .
Las nueve
vari gbl es
de controi
r" .on"ri "r.,
l ami n
como en-
tadas al ci rcui to secuenci al .
Estas vari abres
son
"*.]"v*r",
mutuamente
v
sol amente
una vari abre
debe ser h;bi l i ;;i l ;i l #rre
un pul so
de
rel oj . La l ti ma entrada en l a Tabl a 9-10 es una decl araci n
de control
con_
di ci onal -
Esta produce
un 1 bi nari o
"r,
u' u vari abl e
de sal i da z, cuando el
contenido
d_el registro
A es 0, es decir,
.rrunao lo. liiiloo.
del registro
estn borrados.
Pr ocedi mi ent o
de di seo
El acumul ador
consi st e
de n et apas y-n
f l i p_f l ops
Ar, Ar, . . . , A, nume_
radas
consecuti vament.
"o-"nru.rdo
desde' r"
.i .i i i i r-' " l u extrema i z_
qui erda.
Es conveni ente
ra parti ci n
ael a"umuJ;;-;;
; etapas si mi l ares
compuesta
cada una dg u.l flip_flop
denotado
"orno
,,
"na
entrada de da_
tos denotada por
B, y- ra rgi ca combi .raci onar
asoci ad
"o., "r
fl i p-fl op.
En
el procedi mi ento
de di seo que
si gue se consi dera
sol amente
una etapa t_
pi ca
i teni endo
en cusrta qu
un a-cumul ador
d"; bi t. ;;;si ste de n etapas
par a
i : I , 2,
. . . , n. Cada et apa A, se i nt er . or r e. t u
u . r r - r l up"
a,
,
veci na
a su derecha y
a t a A, +. r
!
sui zqi erd; -i ; ; ; i ; ; ; ; ; ;
A, y t al t i ma
no ti enen
veci nas a un
_l ado
y
debe prestrsers
atenci n
i speci ar.
El regi s_
tro se di sear
usando fl i p_fl ps
del i i po./f.
Cada var i abl e de, cont r ol ' p, j : f , 2, . . . , g
i ni ci a una mi cr ooper aci n
parti cul ar.
Para que.l a
-opera-ci r,
t.r,g" si gni fi cado
.. ."
"".d;;
;;;
sol amente
una vari abl e
de controt ,e r,i ti
"" ""}"l i J
a"ao. como l as
vari abl es
de contror son excl uy".rt"r-
*rrtrramente,
es posi bre
separai el
ci rcui to
combi naci onal
de u' u t^p" en ci rcui to.
.rro.i .,
uno para
cada
mi crooperaci n.
As, el acumul ador
se d"b" i l i dr-;;-;' ;;pas
y
cada una
se debe secci ona
en aquei l os
ci rcui tos_que
se necesi tan para
l a mi croope-
raci n.
De esta manera,
se. puede
si mpl i i i car
"i ;;;;;' i u
ai ."ao consi de_
rabl emente.
una vez que
l s di feentes pi ezas
se di senen
separadamente,
ser posi bl e
cambi arl ai pata
obtener
una etapa tpi ca del acumul ador y
l uego cambi ar l as etapas en un acumul ado
"o-pf"t.---
--
.
Aql "gar
B a A (pr):
La mi crooperaci n
de suma se i ni ci a cuando
l a vari abl e
de control pr
es t. Esta prte
ael acumul a- pr"a"
usar un
sumador
en paral el o_compuesto
de ci rcui tos
."-"or"r-.l i r,pl eto.
como fue
hecho
con el ALU. El sumador
co-mpl eto
en cada etapa i aceptar
como en-
tradas
e.l estat presente
de A,, l a' entrada
e at-J,
i "r
bi t de arras_
tre. previ o
c. El bi t suma generado
en el sumad;;;;;i "to
debe ser tras_
feri do,
al ,fl i p-fl op
A,
v
el ari astre
au ruti au
c,., ;rb;-;;i ;.".."
ut arrastre
de entrada
en l a si gui ente
etapa.
La construcci n
i nterna
de un ci rcui to
sumador
compl eto puede
ser
si mpl i fi cada
si se consi dera que sta opera
como parte
de un ci cui to
se_
cuenci al .
La tabl a de estado de rr.r.,r-udo.
""prJt",-"""i o
se consi dera
como un ci rcui to secuenci al ,
se muestra
en l a Fi gi rra
g-1&
l ,"1"; d; fi ;:
fl op A, anteri or
al pul so
de rel oj expeci fi ca
"l
-".1;Jo-;refente
en el ci r-
http://libreria-universitaria.blogspot.com
\
t
s Ec . 9- 10 OI SEO OEL ACUMULADOR 4O9
cui to secuenci al . El val or de A, despus de l a apl i caci n de un pul so de
rel oj especi fi ca el si gui ente estado. El si gui ente estado de A, es una fun-
ci n de sus estados presentes y entradas B, y
C,. El estado presente
)
l as entradas en l a tabl a de estado corresponden a l as entradas del sumado
compl eto. El estado si gui ente y l a sal i da Ca1 corrsponde a l as sal i das del
sumador compl eto. Pero como ste es un ci rcui to secuenci al , A, aparece
en ambas col umnas de estado presente y si gui ente. El si gui ente estado de
-{, da el bi t suma que debe trasferi rse al fl i p-fl op.
Las entradas de exci taci n para el fl i p-fl op JK se l i stan en l as col um-
nas JA, y KA,. Estos val ores se obti enen por el mtodo enunci ado en l a
Secci n 6-7. Las funci ones de entrada del fl i p-fl op y l as funci ones de Bool e
para l a sal i da se si mpl i fi can en l os mapas de l a Fi gura 9-18. La entrada J
del fl i p-fl op A, desi gnada como JA, y l a entrada K del fl i p-fl op A, desi g-
nada como KA, no i ncl uyen l a vari abl e de control pt. Estas dos ecuaci o-
nes deben afectar el fl i p-fl op sol amente cuando pt est habi l i tada, por
tanto deben apl i carse a una funci n AND con l a vari abl e de control pt. La
parte del ci rcui to combi naci onal asoci ada con l a mi crooperaci n de suma
puede ser expresada con tres funci ones de Bool e:
JA,: 3,6,' r, + Bi Ct,
KA,: B,C,' p, + Bi Ct,
C * t : Ai Bi + Ai Ci + Bi Ci
Las pri meras ecuaci ones son i dnti cas y especi fi can una condi ci n para
compl ementar A,. La tercera ecuaci n genera
el arrastre de l a si gui ente
etapa.
Bi
I
I I
g--J
L ,
JA =B C' +Bi C KA = Bi c' i + B' Ci C*1= A, B, + Ai Ci + Bi ci
A, {
. L
Estado
presente Entradas
Est ado
si gui ent e
Entradas de
flip-flops Sal i da
Ai
0
0
0
0
I
I
I
I
Bi ci
0 0
0 l
l 0
t l
0 0
0 t
l 0
l l
Ai
0
I
I
0
I
0
I
JA KAi
OX
I X
I X
OX
XO
XI
XI
XO
C*t
0
0
0
I
0
I
I
I
I
X X
w
A x
X X X
Fi gur a 9- 18 Tabl a de exci t aci n par a l a mi cr ooper aci n de suma
http://libreria-universitaria.blogspot.com
4I O DI SEO LOGI CO DE PROCESADORES
CAP. 9
Borrar
(pz' t: La vari abl e de control p, borra todos l os fl i p-fl ops en
el regi stro A. Para causar esta transi ci n en el fl i p-fl op JK se necesi ta
sol amente apl i car l a vari abl e de control p2 a l a entrada K del fl i p-fl op. La
entrada J se asumi r como 0 si nada se apl i ca a el l a. Las funci ones de en-
trada para l a mi crooperaci n de borrado son:
JA, :
g
KA, :
P,
Compl ementar
(pa
):
La vari abl e de control p, compl ementa el
estado del regi stro A. Para causar esta transi ci n en un fl i p-fl op Jl ( se ne-
cesi ta apl i car p3 a ambas entradas J
y K:
A i
JA :
PI
KA' : pt
AND
(pn): La mi crooperaci n AND se
trol p. . Esta operaci n forma l a operaci n
(a) AND
i ni ci a con l a vari abl e de con-
l gi ca AND entre A, y B, y
B B
J A ' O K A i B ' i
l A , = 3 ' KAi ' - - O
B
I A : B i
Estado
presenteEntrada
o)
oR
Estado
siguiente
Entradas de
los flip-flops
TAi KA
( c) OR- excl usi va
Fi gur a 9- 19 Tabl as de exci t aci n
OX
t X
X0
XI
Entradas de
para l as mi crooperaci ones l gi cas
KA : 3 '
http://libreria-universitaria.blogspot.com
I
sEc. 9- 10 DI SEO DEL ACUMUi - ADOF
: i
t r asf i er e el r esul t ado a A, . La t abl a de exci t aci n par a est a opr acr L) n sE
da en l a Fi gura 9-19(a). La si gui ente etapa de A, es l sol amente cuanci tr
B, y el estado presente de A, sea i gual a 1. Las funci ones de entrada dei
fl i p-fl op, l as cual es se si mpl i fi can en Ios mapas, i ndi can que l a entrada /r
del fl i p-fl op se habi l i ta con el val or del compl emento de .B,. Este resul tado
puede ser veri fi cado de l as condi ci ones l i stadas en l a tabl a de estado. Si
B,
:
I el estado presente y si gui ente de A, son i gual es de manera que el
fl i p-fl op no ti ene que sufri r un cambi o de estado. Si B,
:0,
el si gui ente es-
tado de A, debe i r a 0 y para l ograrl o se habi l i ta l a entrada K del fl i p-fl op.
Las funci ones de entrada para l a mi crooperaci n AND deben i ncl ui r l as va-
ri abl es de control que i ni ci an esta mi crooperaci n:
J A, :
g
KA, : Bi pa
OR
(p,
):
La vari abl e de control p" i ni ci a l a operaci n l gi ca OR en-
tre A, y B, con el resul tado trasferi do a A,. La Fi gura 9-19(b) muestra
l a deducci n de l as funci ones de entrada del fl i p-fl op para esta operaci n.
Las operaci ones si mpl i fi cadas en Ios mapas i ndi can que l a entrada J est
habi l i tada cuando B,
:
l . Este resul tado puede ser veri fi cado a parti r de l a
t abl a de est ado. Cuando B : 0, el est ado pr esent e y si gui ent e de A' son
i gual es. Cuando B
:
l , l a entrada J se habi l i ta y el estado si gui ente de A,
se convi erte en 1. Las funci ones de entrada para l as mi crooperaci ones OR
son:
OR-excl usi va
(po
):
tre A, y B y trasfi ere el
esta operaci n se muestra
de l os fl i p-fl ops son:
JA,
:
Btt
KA, :
g
Esta operaci n forma l a OR-excl usi va l gi ca en-
resul tado a 4,. La i nformaci n perti nente para
en l a Fi gura 9-19(c). Las f unci ones de ent rada
JA,
:
Bt6
KA, : B t u
Despl azami ent o a l a der echa
( pt
) :
Est a oper aci n despl aza el con-
teni do del regi stro A una posi ci n a l a derecha. Esto si gni fi ca que el val or
del f l i p- f l opAar , l cual est una posi ci n a l a i zqui er da de l a et apa i , debe
ser trasferi do al fl i p-fl op A. Esta trasferenci a se expresa por medi o de l as
f unci ones de ent r ada;
J A, : A, * t p,
KA, : Ai * t pt
Despl azami ent o a l a i zqui er da ( p
) :
Est a oper aci n despi aza el
regi stro A una
posi ci n a l a i zqui erda. Para este caso el val or de A,
r ,
el
http://libreria-universitaria.blogspot.com
41 2 DI SEO LOGI CO DE PROCESAOORES
JA, : E,
KA, : E,
E, * r : E, A,
CAP. 9
cual est una posi ci n
a l a derecha de l a etapa i , debe ser trasferi do a Ai .
Esta trasferenci a se expresa por medi o de l as funci ones de entrada:
JA,
:
A, - r ps
KA' : A" - t Pa
rncremento (ps
):
Esta operaci n i ncrementa
el conteni do del regi s-
tro A en uno; en otras pal abras,
el regi stro se comporta como un contaor
bi nari o asi ncrni co con pe habi l i tando l a cuenta. un contador si ncrni co
de 3 bi t s se muest r a en l a Fi gur ag- 20. Est e es si mi l ar al cont ador de l a Fi -
gura 7-17 de l a Secci n 7-5 donde se di scute en detal l e l a operaci n de l os
contadores bi nari os si ncrni cos. Del di agrama, se observa que cada etapa
se compl ement a cuando un ar r ast r e de ent r ada E, : 1. Cada et apa gener a
t ambi n un ar r ast r e de sal i da 8, 11 par a l a si gui ent e et apa de l a i zqui er da.
La pri mera
etapa es una excepci n ya que sta se compl ementa con t ttaui -
l i tador de cuenta pn. Las funci ones de Bool e de un etapa tpi ca pueden
ser expresadas de l a si gui ente manera:
EI
A
i : 1, 2, . . . , n
Et : Ps
arrastre de entrada E, a l a etapa, se usa para compl ementar el fl i p-fl op
cada etapa genera
un arrastre para l a si gui ente, apl i cando l a funci n
L - - - - - - - J
Fi gur a
g- 2O
Cont ador bi nar i o si nc ni co de 3 bi t s
Et :
ps
http://libreria-universitaria.blogspot.com
T
SEC. 9. 1O
DI SEO DEL ACUMULAOOA
413
AND al arrastre de entrada
y a A. El arrastre de entrada
que va a l a pri -
mera etapa es E1 y debe ser i gual a l a vari abl e de control
pe l a cuai habi -
l i ta l a cuenta.
comprobaci n
del cero
(z)z La vari abl e z es una sal i da del acumu-
l ador usao
para i ndi car un conteni do de cero en el regi stro A' Esta sal i da
es i gual al bl nari o 1 cuando todos l os fl i p-fl opl se hayan borrado. cuando
,rr, i p-fl op se borra, su sal i da compl ementada Q'
es i gual a 1. La Fi gura 9-21
-uu.[ru l s pri meras tres etapas del acumul ador
para comprobar un conte-
ni do de
"".oi .
Cudu etapa
genera una vari abl e zy &l apl i car l a funci n
AND a l a sal i da compl ement ada
de A
Y
a una var i abl e- de ent r ada z, . I ) e
esta manera una cadena de compuertas AND a lo largo de todas las etapas
i ndi car si todos l os fl i p-fl ops estn borrados. Las funci ones de Bool e
para
una etapa tpi ca pueden ser expresadas de l a si gui ente manera:
i : 1, 2, . . , , n
- - - ^ '
i + l
-
. i ^ t
z t : I
Z r + l : Z
La vari abl e Z se convi erte en 1 si Ia seal de sal i da de l a l ti ma etapa 2,.
t
es 1.
Una et apa del acumul ador
Una etapa tpi ca del acumul ador consi ste de todos l os ci rcui tos
que fueron
deduci ds
para l as mi crooperaci ones
i ndi vi dual es. Las vari abl es de control
p1 hasta pn rotr excl uyenl es mutuamente de manera
que l os ci rcui tos I-
!i .or
"or.l .pondi entes
pueden ser combi nados con una operaci n OR. Com-
i nando todas l as funci ones de entrada
para l as entradas J y K del fl i p-fl op
Fi gura 9-21 Cadena de compuertas AND para comprobar el conteni do
de ceros en el regi stro
Etapa 3
http://libreria-universitaria.blogspot.com
Fz Borrra
P3
Compl ement ar
%
AND
Ps oR
Po OR- excl usi va
" | |
P.t Despl azami ento
a l a der echa
PB
Despl azami ent o
a l a i zqui er da
Fi gura
g-22
Una et apa
t i pi ca
del acumul ador
I ncr ement o
http://libreria-universitaria.blogspot.com
s Ec . 9- 1O
Dl s Eo DE' , - i c l - \ t u* : l ' :
: '
'
A, se
produce un conj unto
compuesto
de funci ones
de entraci a
cl e B' " '
para un estado tPico:
J A, : B, Ci pr *
B, ' C t r +
p3+ B, ps - f B' pu+ A' * t Pt * A' - ' ' p' +
E'
KA,
:
B,C,' p, + Bi Ct, *
Pz
* pt + Bi Pq * B,pot A' ' *tPt
+ A: - t Ps * Ei
Cadaet apaenel ac umul ador debegener ar t ambi nl os ar r as t r es par al a
si gui ente
etaPa:
C+r :
Ai Bi + Ai C + Bi Ci
E, * r : E, A,
zr * r :
z, A' ,
El di agrama
l gi co de una etapa tpi ca.de un.ac.umul ador
se muestra
en l a Fi gurag-22.
n-r1u
""
l a confi graci n
di recta de l as funci ones
de Bool e
Ii stadas anteri ormente.
El di agrama
es un ci rcui to compuesto
que i ncl uye
l os ci rcui tos
i ndi rri ual es
asocdos
con cada mi crooperaci n'
Los di feren-
tes ci rcui tos
se combi nan
con dos compuertas
oR er-t l u. entradas
J y K del
fl i p-fl op A' .
cada etapa del acumul ador
ti ene ocho entradas
de control
p1 hasta
ps
eue
i ndi can unu a" Ias ocho mi crooperaci ones
posi bl es' La vari abl e
de
control
p" se apl i ,l u-"rrtu
a l a pri mera etapa
para h^abi l i tar
l a opera-
ci n de i ncremento
u-i r*.
de l a enl rada
8,, hy ol ras sei s entradas
en el
ci rcui to.
B, es el bi ; e datos de l os termi ' l es
B que sumi ni stran
l as en-
tradas
al acumul ador.
c es el arrast-re de entrada de Ia etapa
previ a a
.l a
derecha.
A,,, vi enl de i sal i da del fl i p-fl op
que est una
posi ci n a l a de-
r ec hay A, l l vi enea" t ni p- nopqu' ut t unaposi ci nal ai z qui er da' { l t j
el arrastre
ae errtruJa
pur tu bptu.i n
de i ncremento
y z se usa
para tor-
mar l a cadena
pu* d"i """i n
e cero. El ci rcui to ti ene cuatro sal i das:
A,
u.--i u-rul i du
a"i ni i
i i p,-;;'
-e.
"1
urru*tre
para l a si gui ente
etapa, E' *1
es el arrastre
de i ncremento
para Ia si gui ente
etapa
y. za
I
s para l a si -
g"r"""t"|"
u ru i;i;;a;,
pra formar"la
cadena
para la deteccin
de cero.
Acumul ador
comPl et o
Unacumul ador compl et oconnbi t sr equi er enest adosconect adosencas-
cada con cada etf^""t."i ""ao
el ci i cui to
mostrado
en l a Fi gura 9-22'
Todas l as vari abl l ! de control
excepto
pn deben
_ser
apl i cadas
a cada eta-
pa. Las otras entradas
y sal i dat-"' -t"ti "
etapa deben estar conectadas
en
i ".*u
para formar un acumul ador
compl eto'
---- r
La i nterconexi n
entre etapas
para fot-ar un acumul ador
compl eto
se
i l ustra en el acum,,t,ao,
de 4 bi ts mostrado
en l a Fi gura 9.23. Cada bl oque
en el di agr"*", ; ; ; ; . ; t a-ei
ci rcui t o
de l a Fi gura
g-zz-
nt nmero de I a
par t esuper i <l r cl ecadabl oquer epr esent ai aposi ci ndebi t enel acumul a-
dor. Todos i o. nro-qrres
;;;i i ""
ocho vari abl s
cl e control
pr
l ]:tu
pi
)
l ::
;;i l ";--Je-.el oj
del cp. Las otra-q sei s ent,radas
y cuatro
sal i das en cada
i
1
I
{
I
1
1
t
1
{
1
I
l
http://libreria-universitaria.blogspot.com
o
o
f l s o
! v . i
! 4
i
r 58e
o
<l
N
I
b
-
<. 9
l \
o c o
<
416
' r v \ r { \
N , 3 i -
N V \ I Q
N U \ Q J
r ) r
v \ k . ] \
-
-

\ J \ t a
d
,s"
q " * . ( r . { a o {
s
l b
N l ) \ f J
http://libreria-universitaria.blogspot.com
) ROBLEMAS
4/ 7
bl oque son i dnti cas a aquel l as de una etapa tpi ca, excepto
que el suscri to
i se rempl azarrl el nmero parti cul ar en cada bl oque.
El ci rcui to ti ene cuatro entradas B. La cadena de detecci n de cero se
obti ene conectando l as vari abl es z en cascada, con el pri mer bl oque que
reci be una constante bi nari a 1. La l ti ma etapa en esta cadena
produce l a
vari abl e de detecci n de cero Z. Los arrastres de l a suma ari tmti ca se co-
nectan en cascada como en l os ci rcui tos del sumador compl eto. La entrada
en seri e para l a operaci n de despl azami ento a l a i zqui erda, va a l a entrada
A6 l a cual corresponde a A,-t en l a pri mera etapa. La entrada en seri e
para l a operaci n de despl azami ento a l a derecha, va a l a entrada A, l a
cual corresponde a A,+t en l a cuarta
y l ti ma etapa. La operaci n de i n-
cremento se habi l i ta con l a vari abl e de control
Pg
n l a pri mera etapa. Los
otros bl oques reci ben el i ncremento de arrastre de l a etapa previ a.
El nmero total de termi nal es en un acumul ador de 4 bi ts es 25 i ncl u-
yendo l os termi nal es
para l as sal i das A. Agregando dos termi nal es ms
para l a fuente de poder el ci rcui to se puede encapsul ar dentro de un CI que
tenga 27 28 pati l l as. El nmero de termi nal es
para l as vari abl es de control
pueden reduci rse de 9 a 4 si se agrega un decodi fi cador en el CI. En tal caso,
l a cuenta de l as pati l l as del CI pueden ser reduci das a 22 y el acumul ador
puede ser extendi do a 16 mi crooperaci ones si n agregar pati l l as externas.
REFERENCI AS
1. Mano, M. M., Computer System Archtecture. Engl ewood Cl i ffs, N.J.: Prenti ce-
Hal l . I nc. . 1976.
2. The TTL Data Book
for
Desi gn Engneers. Dal l as, Texas: Texas Instruments,
Ic., 1976.
3. The Am2N0 Bi pol ar Mcroprocessor Faml y Data Booh. Sunnyval e, Cal i f.: Ad-
vanced Mi cro Devi ces. Inc.. 1976.
Sobel , H. 5., Introducti on to Di gi tal Computer Desi gn. Readi ng, Mass.: Addi son-
Wesl ey Publ i shi ng Co., 1970.
Kl i ne, R. M., Di gtal Computer Desi gn. Engl ewood Cl i ffs, N.J.: Prenti ce-Hal l , Inc.,
1977.
Chi rl i an, P. M., Anal ysi s and Desi gn of Di gi tal Crcui ts and Computer Systems.
Champai gn, Il l .: Matri x Publ i shi ng, Inc., 1976.
PROBLEMAS
9-1. Modifique la unidad
procesadora de la Figura 9-1 de manera
que el registro
de desti no sel ecci onado sea si empre el mi smo regi stro que se sel ecci ona con
el bus A.
Cmo
afecta esto al nmero de mul ti pl exores
y al nmero de l neas
de sel ecci n usados?
9-2. Un procesador con un bus organizado como en la Figura 9-1 consiste de 15
regi stros.
Cuntas
l neas de sel ecci n hay en cada mul ti pl exor
y en el deco-
dificador de destino?
9-3. Asuma que cada regi stro en l a Fi gura 9-1 es de 8 bi ts de l argo. Di buj e un di a-
grama de bloque detallado
para el recuadro marcado MUX
que selecciona el
4.
5.
o .
http://libreria-universitaria.blogspot.com
4I B DI SEO LOGI CO DE PROCE5ADORES
CAP. 9
regi st ro para el bus A" Muest re que I a sel ecci n puede hacerse con ocho mul -
t i pl exores ce 4 a 1 i nea.
9-4. una unidad procesadora
emplea una memoria tapn como en la Figura 9-2.
El procesador
consiste de 64 registros de ocho bits cada uno.
(a)
Cul
es el t amao de l a memori a t apn?
(scrat chpad
memory)
(b)
Cuntas
l neas se necesi tan para l a di recci n?
(c)
Cuntas
l neas hay para l os datos de entrada?
(d)
Cul
es el tamao del MUX que sel ecci ona entre l a entrada
l a sal i da del regi stro de despl azami ento?
de datos y
9-5. Muestre un diagrama de bloque detallado para la unidad procesadora
de Ia
Fi gura 9-4 cuando l as entradas B vi enen de:
(a)
Ocho registros procesadores que forman un sistema de bus.
(b)
Una uni dad de memori a con di recci ones y regi stros separadores.
9-6. El ALU de 4 bi ts de l a Fi gura 9-5 se i ncl uye dentro de un cI. Muestre l as co-
nexiones entre tres cI para conformar un ALU de 12 bits. Asigrre los arras-
tres de entr:da y sal i da en el ALU de 12 bi ts.
9-7' El CI TTL tixr 7487 es un elemento de 4 bits verdadero,/complemento, ceto/
uno. Una etapa de este CI se muestra en l a Fi gura P9-?.
Figura Pg-? Circuito verdadero,/complemento,
uno/cero
(a)
Deduzca l as f ' unci ones de Bool e para l as sal i das
{
como f unci n de l as
ent radas , B, , s, y s
"
(b) Di buj e l a t al i a de verdad para el ci rcui t o.
(
c
)
Di buj e una
r. . abl a
de f unci n (si mi l ar
a aquel l a most rada en I a Fi gura 9-z)
y veri f i que l a operaci n del ci rcui t o.
j -. q'
Modi f i que el ci rcui t o ari t mt i co de l a Fi gura 9-8 i ncl uyendo una t ercera va-
ri abl e de sel ecci n s2 . Cuando sz
:
1 el ci rcui t o modi f i cado es i dnt i co al
ci rcui t o ori gi nal . Cuando s
: 0,
t odas l as ent radas A a l os sumadores com-
pl et os son i nhi bi das y se col ocan ceros de rempl azo.
(a)
Di buj e el di agrama l gi co de una et apa del ci rcui t o modi f i cado.
(bt
Haga un anl i si s si mi l ar a aquel de l a Fi gura 9-6 para det ermi nar l as
ocho operaci ones cuando s:
: 0.
(c ) Li st e l as nuevas f unci ones de sal i da en f orma de t abl a.
9-9. Det emi ne l as operaci ones ari t mt i cas obt eni das en ocho bl oques de l a Fi gura
9- 6, sr en cada caso l a ent r adaA se cambi a aF( compl ement de) .
9-10. Di see un ci cui t o ari t mt i co con una vari abl e de sel ecci n s y
dos ent radas
de dat os a y B. cuando s
:
0, el ci rcui t o real zal a operaci n de suma F
:
A+
B. Cuando s: 1, el ci r cui t o r eal i za l a oper aci n de i ncr ement o F: A* 1.
Bi
http://libreria-universitaria.blogspot.com
T
PROBLEMAS 4' I 9
9- 11. La sust r acci n bi nar i a di r ect a F: A- B
pr oduce una di f er enci a
cor r ect a si
A>8.
Cul
por i " ser el r esul t ado si A<B? Det er mi ne l a r el aci n ent r e el
resul tao obteni Jo en F y el bi t de prstamo en Ia posi ci n ms si gni fi cati ' a'
g-12.
Di see un ci rcui to ari tmti co con dos vari abl es de sel ecci n
st
Y
so que ge-
ner al assi gul ent esoper aci onesar i t mt i cas. Di buj eel di agr amai gi codeuna
etapa tPica.
s l S6 Ct r : o
Cr ' : o
S6 Sal i da
0
I
0
I
Cr n : I
F: A+B+l
F: A+l
F: E+r
r : l +E
+l
C : I
F: A+l
F: A- B
F: B- A
F: A+B+l
Funci n
AND
XOR
OR
NOT
0
0
I
I
g-13.
Di see un ci rcui to ari tmti co con dos vari abl es de sel ecci n
s1
!
s
Qu
;;;;;
ru. .leul."i.s operaciones
aritmticas.
Dibuje el diagrama
lgico de
una et apa f l pl ca'
s0 J l
0
0
I
I
g-14.
Las si gui entes rel aci ones de l a operaci n oR-excl usi va
fueron usadas
para
deri vai i as operaci ones
l gi cas de l a Tabl a 9-3'
( a) x O0: x
( b) x Ol =x '
( c ) x OY' : x OY
Pruebe
que estas relaciones son vlidas'
g-15.
Deduzca un ci rcui to combi naci onal
mni mo
que genere todas l as 16 funci ones
l;i;;. listadas
".r
lu Tublu 2-5. Use cuatro ,rriables de seleccin.
Sugerencia:
UJe ur multiplexoi e 4 x 1 invertido, es decir, use las entradas normales del
mul ti pl exor c-omo l as l neas de sel ecci n
para l a uni dad l gi ca.
9-16. Modi fi que el ci rcui to ari tmti co de l a Fi gura 9! en u Al U con l a vari abl e
de sel ecci n de modo s2 ' Cuando sz
:
0'
"t
' l ' U es i dnti co al ci rcui to ari t-
mti co. Cua.tdo rr:1,' el ALU
genera i as funci ones l gi cas de acuerdo a l a
si gui ente tabl a:
s l S2
F=A+B
F: A
F: E
F: A+E
F: A
F: A- B- l
F: B- A- l
F: A+B
F: AAB
F: A@B
F: AVB
F__A-
http://libreria-universitaria.blogspot.com
42O DI SEo LoGl co DE PRocESADoRES
Funci n
Colocar ceros a 1.
Hacer un desplazamiento
cicular
Hacer un despl azami ent o
ci rcul ar con arrast re
Col oque el val or de S O Vpara el despl azami ent o ari t mt i co
(ver
Problema 9-20)
CAP. 9
9-17. una uni dad l gi ca ari tmti ca
es si mi l ar a l a mostrada en l a Fi gura
g-18,
ex_
cepto que l as entradas a cada ci rcui to sumador compl eto estnte u.,ru.ho
"
l as si gui entes funci ones de Bool e:
X,: A,B + (s2s\s[)' A,
+ s2s1si _B
Y,
:
ssB, + s,Bi (s2s,si )'
21
:
s2C
Determi ne l as 12 funci ones del ALU.
9-18. La operaci n real i zada en un ALU es F: A+B
@
ms el compl emento
de 1
de 8) .
(a)
Determi ne el val or de sal i da F cuando A:8. Permi ta que esta concl i ci n
ponga a uno un bi t de condi ci n E.
( b)
Det er mi ne, que
l a- . condi ci n
Cout : l . per mi t a que est a condi ci n ponga
a
uno el bi t de condi ci n C.
(c)
Deduzca una tabl a para
l as sei s rel aci ones l i stadas en l a Tabl a 9-5 en tr-
mi nos de l as condi ci ones
de l os bi ts de condi ci n E y
c defi ni dos ante_
ri ormente.
9-19. [-Ina uni dad de proceso.ti ene
un regi stro
de condi ci n de di ez bi ts, uno para
cada una de l as condi ci ones l i stadas en l as Tabl as
g-s
v
s-0. (Las
condi ci o_
nes. i gual es y desi gual es son comunes a ambas tabl as.)"Di buj e
un di agrama
lgico mostrando las compuertas que
van de las salida. .it u a los diez bits
del registro de condicin
9-20' Dos nmeros co1 si gno-e agregan en un ALU y
su suma se trasfi ere ar regi s-
tro R. Los bi ts de :ondi ci n s (si gno) y v (sobrecap..rrJi
se afectan duran-
te, la trasferencia.
pruebe
que la suma puede
dividirse ahora por
2 de acuerdo
a I a pr oposl cl on:
R<- shr R,
r R, <- S @ /
donde R, es el bi t de si gno (posi ci n
extrema i zqui erda) del regi stro r?.
9-21. Agregue otro multiplexor al registro de desplazamiento
de la Figura 9-1b con
dos l neas de sel ecci n separadas Gr y Go. Este mul ti pl exor se usa para
es-
pecificar
la entrada en serie .fr durante la operacin de desplazamiento
a Ia
derecha de la siguiente manera:
Gl Go
0
I
0
I
Muest re l a conexi n del mul t i pl exor ent i e el regi st ro de condi ci n y el despl a-
mi ent o.
9-22. El selector de desplazamiento
I/ denido para el procesador
de la Figura 9-16
tiene tres variables Hr, H, y
fr6. Las dos ltimas variables de selccin se
http://libreria-universitaria.blogspot.com
E
PROBLEMAS 421
usan para el regi st ro de despl azami ent o especi f i cado en l a Tabl a 9-7. Di see
el ci rcui t o asoci ado con l a vari abl e de sel ecci n H2 .
9-23. Especi f i que l a pal abra de cont rol que puede ser apl i cada al procesador de l a
Fi gura 9-16 para conf i gurar l as si gui ent es mi crooperaci ones:
( a)
R2<- Rl + I
( b) R3 <- R4 + R5
( c) R6 <- R6
( d) R7<- R7
-
I
( e) Rl <- s hr Rl
(l) R2 <- clc R2
( g) R3 <- R4 O R5
(h) R <- R7
9-24. Es necesari o cal cul ar el val or promedi o de cuat ro nmeros bi nari os si n si gno
al macenados en l os regi st ros R1, R2, R3 y f i 4 del procesador def i ni do en l a
Fi gura 9-16. El val or promedi o se debe al rnacenar en el regi st ro 85. Los ot ros
dos registros en el procesador pueden usarse para resultados intermedios.
Se debe t ener cui dado de no causar sobrecapaci dad.
(a) D I a l i st a de l a secuenci a de mi crooperaci ones en f orma si mbl i ca.
(b)
Li st e l as pal abras de cont rol bi nari as correspondi ent es.
9-25. La si gui ent e secuenci a de mi crooperaci ones se real i za en el acumul ador def i -
ni do en l a Secci n 9-10.
p t t A* F
p s : A< - A* l
p i A< - A* B
P{
A- l
p s ; Ae A * |
(a) Det ermi ne el cont eni do de A despus de cada mi crooperaci n si i ni ci al -
ment e A
:
1101 y l a ent rada B: 0110.
( b)
Repi t a
( a)
con l as condi ci ones i ni ci al es A: 0110 y B: 1101.
( c) Repi t a ( a)
con l as condi ci ones i ni ci al es A: 0110 y B: 0110.
(d)
Pruebe que l a ant eri or secuenci a de mi crooperaci ones real i za (A-B)
si A
>. B,
o el compl ement o de 2 de ( B- A) si A <8.
9-26. Usando flip-flops JI( disee una etapa tpica de un registro A que realiza la
microoperacin de sustraccin :
p i o : A< - A- B
Use l os ci rcui t os sumadores compl et os (Secci n 4-4) con bi t s de prst amo de
ent r ada y sal i da K, y K, +
t .
9-27. Usando flip-flops JI( disee una etapa tpica de un registro que realice Ias
siguientes microoperaciones lgicas :
p f i i A< - A\ / B NOR
pnt A<- A \ B NAND
ptti A +- AO B Equi val enci a
http://libreria-universitaria.blogspot.com
422 DI sEo LoGl co DE PRoCESADoRES
CAP. 9
9-28. Deduzca l as operaci ones de Bool e de una et apa t pi ca de una mi crooperaci n
de decrement o:
p t i A< - A- l
9-29. Usando f l i p-f l ops t i po ? di see un regi st ro de 4 bi t s que ej ecut e l a mi croope-
raci n de compl ement o de 2:
P: A< - f + l
Del resul t ado obt eni do, muest re que,
una
por l as si gui ent es f unci ones de Bool e:
etapa tpica puede ser expresada
7- 4, :
p
E * t : Ai + Ei
Et : 0
i : 1, 2, 3, . . . , n
9-30. Un acumul ador de 4 bi t s real i za 15 mi crooperaci ones con vari abl es de cont rol
Pt
a
Pt r
. El ci r cui t o se encapsul a en un CI con sl o cuat r o t er mi nal es di spo-
ni bl es para sel ecci onar l a mi crooperaci n. Di see un ci rcui t o
(dent ro
del Ci )
que se deba agregar ent re l os cuat ro t ermi nai es y l as 15 vari abl es de cont rol .
I ncl uya una condi ci n de no operaci n.
http://libreria-universitaria.blogspot.com
T
Di seo
de l gi ca
d e control
10- 1 I NTRODUCCI ON
El
proceso del di seo
l gi co es
Yna,tarea
compl ej a' -
Muchas
i nstal aci ones
desarrol l an
vari as t.ni .
de di seo rl e computador
automati zado
para
i Ji l "t .r proceso "-i r"no.
Si n embargo
l as especi fi caci ones
para el si ste-
*--V l f .urrol l o
e procedi mi entos
l gortmi cos
para l ograr l as tareas
i"q,rtiA""
de procesamiento de datos no
pueden ser automatizados
y re-
q.ri e.".t un razonami ento
mental del di seador
humano'
=---L;parte
de -"v.-".no
y creati vi dad
del di seo es el establ eci mi en-
t" d;;;l i uo,
. di reo
y l a i ormul aci n
de al gori tmos
y procesami entos
p"* f"gr- l o, obj fi i uos"enunci ados.
Esta tara requi ere una canti dad
consi derabl .
a.
"*puri unci a
e i ngenui dad
por
parte. del .di senador'
Un al go-
,l l o-"" rr' pro."dl *i ento
para btutt"t una sol uci n al probl ema.Un al go-
ritmo diseodo
""
,r.,
pro"di-iento
para configurar
el
-problema
con una
pi "ru auau de equi po. l desa.tol l o
dei al gori tmo di seado
no puede comen-
;;;
qrr"
"i
di seador
est seguro d dos cosas' Pri mero, el
probl ema
untra -".to. dtbe
"o-ptenderse
cJmpl etamente'
Segundo'
^se debe asumi r
u' u
"onfi goraci n
i ni ci al del equi po
pra conformar
el procedi mi ento'
A
par-
li.-"f eriunciado
dei
ptoble*i
v
" la disponibilidad
de equipo
-se
busca
una sol uci n
y se foi ."i un al gori tmo.
El al gori tmo
se-enunci a
medi ante
un
"tt-..o
fi ni to de pasos de
procedi mi entos bi en defi ni dos'
La i nformaci n bi nari
encontrada
en un si stema di gi tal se al macena
en un
procesador o ,gi .l .or de memori a
y puede ser consti tui da
por datos
o i nformaci n
de control . Los datos son el ementos
di scretos
de i nformaci n
!,,";;;i pul an
por mi crooperaci ones.
La i nformaci n de control
sumi ni s-
ira seales de mandos
para especificar
la secuencia de microoperaciones'
i ,u tJgi "" de di seno e' un .i rtema di gi tal es un
proceso para deduci r
l os
ci rcui tos di gi tal es
q""-.*l i ""n datos "
pto"-tu-i entos
y de ci rcui tos
di -
gi tal es que i umi ni stran
seal es de control '
La tempori r".i n
.1oos
l os regi stros en un si stema di gi tal si ncr_ni -
co se control a
por medi o de un
generador de
pul ' qos de.rel oj
maestros'
Los
p"rro. a" reloj se upii.un
a todos* los flip-flops
y los registros en el sistema,
i ""i "V""a"
tos ni p-hops
y regi stros-en-l
uni dad de control '
Los
pul sos con-
tinuos de reloj
"o
*ti"
e"l estado de un registro a no.ser
que el registro
," i ,i i i t"
por l " ;;;i " control .
Las vari bl es
bi nari as,
que control an
423
http://libreria-universitaria.blogspot.com
424
DI SEO DE LOGI CA DE CONTROL
Entradas
externas
Datos
de entada
Sal i da de dat os
contol y el procesador
de
cAP. 10
l
l as vari abl es de sel ecci n y l as entradas de habi l i taci n
de l o,s regi stros,
se generan
en l a uni dad de control . Las sal i das de l a uni dad de coni rol se_
l ecci onan y habi l i tan l a parte
del procesador
de datos del si stema y
tam-
bi n determi nan el si gui ente estado de l a uni dad de control en s mi i ma.
La rel aci n entre
-l a
uni dad de control y
el procesador
de datos en un
s_i stema di gi tal se muestra en l a Fi gura tO-t. L parte
del procesador
de
datos puede
ser una. ulida_d procesadora
de propsito general,
o puede
consi sti r de regi stros i ndi vi dual es y
funci ones i gi i al es aJoci adai . Ef con-
trol i ni ci a todas l as mi crooperaci ones
en el pro"cesami ento
de datos. La
l gi ca de control que genera
l as seal es para
dar secuenci a a ras mi croope-
raci ones
en un ci rcui to secuenci al
cuyoJ estados i nternos i ndi can l as fn_
ci ones de control del si stema. En un ti empo dado, el esi ado de control
secuenci al i ni ci a un conj unto de mi crooperaci ones presel ecci onadas.
El
control . secuenci al pasa
-el
si gui ente estado o i ni ci a otras mi crooperaci ones
dependi endo de l as condi ci ones presentes y
otras entradas. As, ;l ci rcui to
di gi tal que acta como l a l gi c de control sumi ni stra
una secuenci a de
ti empo- de_ seal es para i ni ci ar l as mi crooperaci ones
en l a
farte
del proce-
sador de datos del si stema.
El di seo de un si stema di gi tal que
requi ere una secuenci a
de control
co;ni enza
-con
l a suposi ci n
de ta di sponi bi l i dad
d"
""ri "bi ".
de ti empo.
Se
di sea cada vari abl e en l a secuenci a por
medi o de un estado y
l uego se for_
Ta. .un
di agrama de estado- o una representaci n
equi val "ni . p"r" ta tran-
si ci n entre estados. Paral el amente
con el desarrl l o r- i " ,""u"nci a de
control se hace una l i sta. de
mi crooperaci ones
que
se u".r-
"
i ni ci ar, para
cada estado de control . si el si stema es muy compl i cado para
un di agrama
de. estado, puede
ser conveni ente
especi fi car
ente-".,t"-J
si stema por er
mtodo de trasferenci a
entre regi sti os por
medi o de l as funci ones de con_
trol y l as proposi ci ones
de mi croperaci nes.
La secuenci a de control y l as rel aci ones
de tasferenci a
entre regi stros
pueden
deduci rse
di rectamente
de l a especi fi caci n
en p"i "l ru.
del probl e_
ma. Si n_ embargo es conveni ente
al gunas
veces usar una representaci n
i ntermedi a para
descri bi r l a .""rr".r"1"
necesari a
de operaci ones
del si ste_
ma. Dos representaci ones,
ti res en el di seo a" .i ri "", que necesi tan
control , son l os di agramas
de ti empo y l os fl uj og.u-u..
------
Lgica
de control
Pocesador
de datos
Condi ci ones
del status
Fi gura 1O-l Interacci n entre el
datos
http://libreria-universitaria.blogspot.com
s Ec . 10- 1
I NTRODUCCI ON 425
Un di agrama de ti empo cl ari fi ca l a secuenci a de ti empo
y otras rel a-
ci ones entre l as di ferentes seal es de control del si stema. En un ci rcui to
secuenci al con rel oj , Ios pul sos de rel oj si ncroni zan todas l as operaci ones
i ncl uyendo l as seal es de transi ci n en l as vari abl es de control . En un si s-
tema asi ncrni co una seal de transi ci n en una vari abl e de control puede
causar un cambi o a otra vari abl e de control . Un di agrama de ti empo es muy
ti l en un control asi ncrni co
ya que provee una representaci n i l ustrati -
va de l os cambi os requeri dos y l as transi ci ones de todas l as vari abl es de
control .
Un
fl uj ograma
es una manera conveni ente de especi fi car l a secuenci a
de pasos de procedi mi ento y formas de deci si n para un al gori tmo. Un fl u-
j ograma
para un al gori tmo di seado usara normal mente l os nombres de
l as vari abl es de l os regi stros defi ni dos en l a confi guraci n i ni ci al del equi po.
Este trasl ada un al gori tmo de su enunci ado en pal abras a un di agrama de
fl uj o de i nformaci n que enumera l a secuenci a de operaci ones de trasferen-
ci a entre regi stros conj untamente con l as condi ci ones necesari as para su
ej ecuci n.
Un flujograma es un diagrama
que consiste de bloques conectados
por
medi o de l neas di rectas. Dentro de l os bl oques se especi fi can l os pasos
procedi mental es para confi gurar el al gori tmo. Las l neas di rectas entre
bl oques i ndi can el cami no que se va a tomar de un paso procedi mental al
si gui ente. Se usan dos ti pos mayores de bl oques: un bl oque rectangul ar
i ndi ca un bl oque de
funci n
dentro del cual se l i stan Ias mi crooperaci ones.
Un bl oque en forma de di amante es un bl oque de deci si n dentro del cual se
l i sta una condi ci n actual dada. Un bl oque de deci si n ti ene dos o ms ca-
mi nos al ternos
y
el cami no
que se toma depende del val or de l a condi ci n
de estado especi fi cada dentro del bl oque.
Un fl uj ograma es muy si mi l ar a un di agrama de estado. Cada bl oque de
funci n en el fl uj ograma es equi val ente a un estado en un di agrama de es-
tado. El bl oque de deci si n en el fl uj ograma es equi val ente a l a i nformaci n
bi nari a escri ta
por conducto de l as l neas di ri gi das que conectan dos esta-
dos en un di agrama de estado. Como consecuenci a, es conveni ente al gunas
veces expresar un algoritmo
por medio de un flujograma del cual se puede
deduci r el di agrama de estado de control .
En este captulo se presentan cuatro configuraciones
posibles para una
unidad de control. Las diferentes configuraciones se presentan en forma de
di agrama de bl oque para darl e nfasi s a l as di ferenci as en organi zaci n. Se
demuestran entonces varios procedimientos disponibles para el diseo de
control l gi co anal i zando ej empl os especfi cos.
El di seo de l a l gi ca de control no puede separarse del desarrol l o del
al gori tmo para resol ver un probl ema de di seo. Si n embargo, l a l gi ca de
control se rel aci ona di rectamente a l a parte del procesador de datos del
si stema que ste control a. Como consecuenci a, l os ej empl os presentados en
este captul o comi enzan con el desarrol l o de un al gori tmo para confi gurar
el probl ema dado. La parte del procesami ento de datos del si stema se dedu-
ce entonces del al gori tmo enunci ado. Sol amente hasta que se haga l o an-
teri or se puede proceder a mostrar el di seo del control que da secuenci a al
procesador de datos de acuerdo a l os pasos especi fi cados por el al gori tmo.
http://libreria-universitaria.blogspot.com
1O- 2
ORGANI ZACI ON
DEL CONTROL
una vez que
se haya establ eci do l a secuenci a de control se puede di sear
el si stema secuenci al que confi gura l as operaci ones de control . Como el con-
trol es un ci rcui to secuenci al , ste se puede
di sear por el procedi mi ento
l gi co secuenci al enunci ado en el captul o 6. si n embargo, este mtodo es
poco prcti co
en Ia mayora de l os casos debi do al gran mero de estados
que
el ci rcui to de control puede
tener. Los mtodos de di seo que usan es-
tados y tabl as de exci taci n pueden
usarse en teora, pero en l a prcti ca
son engorrosos y di fi ci l es de manej ar. Adems, l os ci rcui tos de control ob-
tenidos por este mtodo requieren por lo general
un nmero excesivo de flip-
fl ops y
compuertas, l o cual i mpl i ca el uso de compuertas sSI. Este ti po de
confi guraci n es i nefi ci ente con respecto al nmero de CI que se usan y al
nmero de al ambres que deben ser i nterconectados. El pri nci pal obj eti vo
del di seo de l gi ca de control debe ser el desarrol l o de un ci rcui to que con-
fi gure l a secuenci a de control deseada de una manera l gi ca y di recta. El
esfuerzo de mi ni mi zar el nmero de ci rcui tos tendera a produci r
una confi -
guraci n i rregul ar, l o cual hara di fi ci l para
cual qui er persona di ferente al
di seador, el reconoci mi ento de l a secuenci a de eventos por l os cual es pasa
el control . Como consecuenci a podra
ser di fi ci l dar servi ci o y
mantener el
equi po cuando est en operaci n.
Debi do a l as razones ci tadas anteri ormente l os di seadores con expe-
ri enci a l gi ca usan mtodos para el di seo de l gi ca de control que pueden
ser consi derados como una extensi n del mtodo l gi co secuenci al cl si co
combi nado con el mtodo de trasferenci a entre regi stros. En esta secci n
se consi deran cuatro mtodos de organi zaci n de control .
1. Mtodo de un fl i p-fl op por
estado.
2. Mtodo del regi stro de secuenci a y el decodi fi cador.
3. Cont rol PLA.
4. Cont rol del mi croprograma.
Los pri meros
dos mtodos resul tan en un ci rcui to que debe .rsa, ci .-
cui tos SSI
v
MSI para l a confi guraci n. Los di ferentes ci rcui tos se i nter-
conectan con al ambres para formar una ed ci rcui to de control . una uni -
dad de control confi gurada con el ementos
sSI y
MSI se denota como un
control a base de materi al es i nterconectados.
Si se necesi tan al teraci ones
o modi fi caci ones, l os ci rcui tos se deben al ambrar de nuevo para
cumpl i r
con Ias nuevas especi fi caci ones. Esto es en contraste al
pLA
o controi de
mi croprograma
el cual usa un el emento LSI tal como un arregl o l gi co pro-
gramabl e
o una memori a de sol amente l ectura. cual qui er al teraci n o modi -
fi caci n en el mi croprograma de control puede
l ograi se fci l mente si n cam-
bi ar de al ambrado removi endo l a RoM de s,t bai e y col ocando otra RoM
programada para
copar las nuevas especificaciones.
se expl i ca ahora en trmi nos general es
cada mtodo. Las secci ones sub-
si gui entes
de este captul o tratan con ej empl os especfi cos que demuestran
el di seo detal l ado de l as uni dades de cntrbl de l s cuatro *todo..
426
http://libreria-universitaria.blogspot.com
--'!
I
I
r
t
Mt odo de un f l i p- f l op por est ado
Este mtodo usa un fl i p-fl op por estado en el ci rcui to secuenci al de control .
Sol amente se pone a uno un fl i p-fl op en un ti empo dado, Ios dems se ponen
a cero. Se hace programar un sol o bi t de un fl i p-fl op a otro baj o el control
de l a l gi ca de deci si n. En tal arregl o cada fl i p-fl op representa un estado
y se acti va sol amente cuando el bi t de control se trasfi ere a ste.
Es obvi o que este mtodo no usa un nmero mni mo de fl i p-fl ops para
el ci rcui to secuenci al . De hecho, ste usa un nmero mxi mo de fl i p-fl ops.
Por ej empl o un ci rcui to secuenci al con 12 estados requi ere un mni mo de
cuat r o f l i p- f l ops por que 23 <12( 21. Aun por medi o de est e mt odo el ci r -
cui to de control usa 12 fl i p-fl ops para cada estado.
La ventaj a de un fl i p-fl op por mtodo de estado es l a si mpl i ci dad con
l a cual se di sea. Este ti po de control ador
puede di searse
por i nspecci n
a parti r de un di agrama de estado que descri be l a secuenci a de control . A
pri mera vi sta, parece que este mtodo aumentar ei costo del si stema ya
que se necesi ta un mayor nmero de fl i p-fl ops, pero, este mtodo ofrece
otras ventaj as que no son aparentes a pri mera vi sta. Por ej empl o, ste ofre-
ce un ahorro de esfuerzos en el di seo, un aumento en l a si mpl i ci dad opera-
ci onal
y
una di smi nuci n potenci al en l os ci rcui tos combi naci onal es reque-
ri dos para confi gurar el ci rcui to secuenci al compl eto.
La Fi gura 10-2 muestra Ia confi guraci n de una l gi ca de control se-
cuenci al de cuatro estados,
que usa cuatro fl i p-fl ops ti po D: un fl i p-fl op por
est ado 7, , i : 0, I , 2, 3. En cual qui er i nt er val o de t i empo dado ent r e dos
pul sos de rel oj sol amente un fl i p-fl op es i gual a 1, el resto ser i gual a 0. La
transi ci n del estado presente al si gui ente es una funci n del presente
f
que es 1 y de ci ertas condi ci ones de entrada. El si gui ente estado se mani -
fi esta cuando el fl i p-fl op anteri or se borra y el nuevo se pone a uno. Cada
una de l as sal i das del fl i p-fl op se conecta a l a secci n de procesami ento de
datos del si stema di gi tal para i ni ci ar ci ertas mi crooperaci ones. Las otras
sal i das de control mostradas en el di agl ama son una funci n de l as 7 y de
l as entradas externas. Estas sal i das pueden tambi n i ni ci ar mi croopera-
ci ones.
Si el ci rcui to de control no necesi ta entradas externas para su caden-
ci a, el ci rcui to se reduce a un ci rcui to de despl azami ento si mpl e con un sol o
bi t
que se despl aza de una posi ci n a l a si gui ente. Si l a secuenci a de control
debe repeti l se una y otra vez, el control se reduce a un contador de ani l l o.
[Jn contador de anl l o es un regi stro de despl azami ento con l a sal i da del
l ti mo fl i p-fl op conectado a l a entrada del pri mer fl i p-fl op. En un contador
de ani l l o el sol o bi t se despl aza conti nuamente de una posi ci n a l a si gui en-
te de una manera ci rcul ar. Por esta razn el mtodo de un fl i p-fl op por es-
t ado se l l ama al gunas veces un cont r ol ador del cont ador de ani Ll o.
Regi st r o de secuenci a
y mt odo del decodi f i cador
Este mtodo usa un regi stro para darl e secuenci a a l os estados de control .
El regi stro se decodi fi ca para sumi ni strar una sal i da por cada estado. El
ci rcui to tendr 2" estados
y el decodi fi cador 2n sal i das, p ra n fl i p-fl ops
427
http://libreria-universitaria.blogspot.com
Figura 1O_2 Lgica de contol
con un flip_flop por estado
en el regi stro
de secuenci a.
por
_ej empl o,
un regi stro
de 4 bi ts puede
estar
en cual qui era
de l os 16 estados.
n decodi fi cad"or
de x i O tendr 16 sal i _
d.as, unl para
cada estado del regi stro.
Tanto.i
*gi .tr' d-Jsecuenci a
como
el decodi fi cador
son componentes
MSI.
La Fi gura 10-3 muestra l a confi guraci n
de una l gi ca de control se-
cuenci al
de cuatro estados. El regi stro
de secuenci a
ti e-ne-dos fl i t fl ;p,
;
el decodi fi cador
establ ece
.sal i das
separadas pu."
"ud,
"ri ro ".r' "r
."gi ._
tro. La transi ci n
al si gui ente
estao en el regi str" " ,*,renci a
es una
funci on del estado presente y de l as condi ci ones
"
""ti uu-e*ternas.
como
l as sal i das del decodi fi cadoi
estn de al guna ";;;;i rp."i bl ".,
u, conve_
nrente
usarl as como vari abl es
de estado presente
en vez de usar di recta_
mente l as sal i das de l os fl i p-fl ops.
otras sal i du, q.r"
,or,-i rr.r"i o.,
del estado
presente
'
de l as entradas
ex-terns pueden
i ni ar
mi crooperaci ones
en
adi ci n a l as sal i das del decodi f i cador.
si el ci rcui to de control de l a Fi gura 10-3 no necesi ta entradas
exter_
nas, el regi stro de secuenci a
se reduJe
a un contador que
conti nuamente
428
Ot r as sal i das de cont r ol
Lgi ca
de deci si n
Condi ci ones
de entrada
ext e nas
http://libreria-universitaria.blogspot.com
Otras sal i das de control
Registro
de secuencia
Lgi ca
de deci si n
Estado presente
I
Fi gura 1O-3 Lgi ca de control con regi stro de secuenci a
y decodi fi cador
hace secuenci as
por l os cuatro estados. Por esta razn, el mtodo es l l a-
mado al gunas vees un mtodo d.ecodi fi cador contador. Este mtodo
y el de
contador de ani l l o se expl i caron en el Captul o ? y conj untamente
en l a
Figura 7
-22.
Cont r ol del PLA
El arregl o l gi co
programabl e fue i ntroduci do en l a Secci n 5-8. Se haba
mostrad-o
".r
i "tr sJcci n
que el PLA es un componente
LSI
que puede
cnfi gurar cual qui er ci rcui to combi naci onal
compl ej o- El controi del PLA
es esnci al ment si mi l ar al regi stro de secuenci a
y al mtodo del decodi fi -
cador excepto
que todos l os ci rcui tos combi naci onal es
se confi guran con
un PLA, i ncl uyndo el decodi fi cador
y l a l gi ca de deci si n. Bs posi bl e re-
duci r el ' nmer de CI
y el nmero de al ambres de i nterconexi n, usando un
PLA para el ci rcui to combi naci onal .
LL Fi gura 10-4 muestra l a confi guraci n de un control ador
PLA. Un
regi stro de* secuenci a externo establ ece el estado
presente del ci rcui to de
co*ntrol . Las sal i das PLA determi nan cul es mi crooperaci ones deben
i ni -
ci arse dependi endo de l as condi ci ones de entrada externas
y del esl ado
presente del ' regi stro secuenci al . Al mi smo ti empo, otras sal i das del PLA
determi nan el estado si gui ente del regi stro de secuenci a.
El regi stro de secuenci a es externo al PLA si l a uni dad confi gura sol a-
mente ci rui tos combi naci onal es.
Si n embargo hay al gunos PLA di sponi bl es
que i ncl uyen no sol amente compuertas si no fl i p-fl ops dentro de l a uni dad'
ste ti po"de PLA puede confi gui ar un ci rcui to secuenci al especi fi cando l as
uni ones
que deben conectarse a l os fl i p-fl ops de l a mi sma manera
que se
especi fi caron l as uni ones de l as compuertas.
429
http://libreria-universitaria.blogspot.com
Condi ci r nes
de ent r ada
ext er nas
I ni ci ar
mi c o-
operaci ones
I
Fi gur a
l O- 4 Lgi ca de cont r ol
pLA
Cont r ol del mi cr opr ogr ama
El propsi to
de.' Ia uni dad de control es i ni ci ar una seri e de pasos secuenci a_
l es de mi croopefaci ones.
Durante cual qui er ti ernpo dado se deben i ni ci ar
ci ertas operaci ones
mi entras que otras p"r-urr""".,
l atentes. As, l as va-
ri abl es de contol en un ti empo dado pueden
ser representadas por una
cadena de 1 0 l l amada parabra
de coi tror. como tl es, cl i chas parabras
de control pueden
ser programadas
para i ni ci ar l as di ferentes componentes
en el si stema de una manera organi zada.
{Jna uni dad de control
".ryu.
.,ru-
r i abl es de cont r ol se al macenan
en una memor i a, se l l aman uni dad de con-
t.roL mi croprogramada.
cada pal abra
de contror de memori a se l l ama mi cro-
i nstrucci n y
una secuenci a de mi croi nstrucci ones
se Il ama ml croprogramo.
corno poco
se necesi tan l as al teraci ones
der mi croprogra.,
tu ml mri a de
control puede
ser una RoM. El uso del mi croprog*.n
comprende l a ubi ca-
ci n de todas l as vari abl es
de control en pal abri s
de l a RdM para
usarl as
por
medi o de l as uni dades de control a ti avs de operaci ones sucesi vas de
l ecturas. El conteni do de l a pal abra
en l a RoM en una di recci n dada es-
peci fi ca
l as mi crooperaci ones
del si stema.
LIn desarrol l o ms avanzado, conoci do como mi coprogramaci n di n-
-:l co
permi te
cargar i ni ci al mente
un mi cropograma
a prti i
de una consol a
i e comput ador
o de una memor i a auxi l i ai t l como un di sco magnt i co.
Las uni dades de contol que
usan mi croprogramaci n
di nmi ca e"mpl ean
' - ; r . a
. r emor a
de cont r ol en l a cual se puede
ei cr i b r ( wcM:
wr i t abl con-
i :o1 memory). Este ti po de memori a puede
se. usada para
escri bi r (o
cam-
1r-ar
el mi croprograma)-pero
se usa mayormente para l ectura. una RoM, un
PLA o un wcM cuando se usan en, r na uni dad de cont r ol se l os t r at a cmo
memt ) r . ai de c' ont r ol .
_
La Fi gura 10-.5 i l ustra l a confi guraci n general
de l a uni dad de control
de mi croprograma.
La memori a de control se asume como una ROM dentro
de l a cual se al macena permanentemente
toda l a i nformaci n
de control .
El regi stro
de control de l as di recci ones
de ^e-o.i a-L.pu"i fi "u
l a pal abra
de control l eda de l a memori a de control .
se debe t".r".' "r, .rrenta que
una
RoM opera como un ci rcui to combi naci onal
con el val or de l a di recci n co_
430
http://libreria-universitaria.blogspot.com
Memoria
de control
( ROM)
Cont rol
del
registro de
direcciones
Generador de
l a di r ecci n
si gui ente
Lnformaci n
de l a si gui ente di recci n
Fi gura l 0-5 Lgi ca de control del mi croprograma
moent r aday l apal abr ac or r es pondi ent ec omos al i da. El c ont eni dodel a
;;1";;;pei fi cai a
p..-ur,""" en l os al ambres
de sal i da
por el ti empo
que
;f ;, de l a di .ecci n
pur*u.tece en el regi stro de di recci n.
No se nece-
si ta seal de l ectura como en una memori a e acceso al eatori o. Una
pal abra
""
,i . . l a RoM i ". trurruri rse
al regi stro
1eqT3dor,,
si el regi stro de
di recci ones
cambi a
*i .ri rr-
qte l a pal abi a de ROM est an en uso' Si
pueden ocurri r si mul tneamente
un cambi o en di recci n
y una
pal abra de
nOn no es necesari o un regi stro separador'
---
i a
putabra l eda de una memori a
de control
representa
una mrcrol ns-
trucci n.
La mi croi i ttt""ti "
especi fi ca
una o ms mi crooperaci ones
para
l os componentes
dei si stema'
Una vez
qt" t" ej ecuten.
l as operaci ones'
l a
uni dad
de control
d;;;.;.;-i nar
l a si gui ente.di recci n.
l ,a ubi caci n
de
l a si gui ente
Ini ".oi nrtt,tcci n
podru ' "t-l u si gui ente-en
secuenci a
o
podra
ser ubi cada
en otrol ;;;;;;
l u *"*oti u
de control .
Por esta razn es nece-
sari o usar al gunos
i l r" de l a mi croi nstrucci n
para c-ontrol ar
l a
generaci n
de Ia di recci n
para l a si gui ente
mi croi nstru..i tt.
La si gui ente
di recci n
"*
.", i "i ";;;
i i ""i " de l as condi ci ones
de entrada
externas.
Mi entras
se ej ecutari ^ru.
i .tooperaci ones,
l a si gui ente
di recci n
es com-
putada en et ci rcui ;;;;;.;;e;t.de,t,
si gui nte
di recci n v
l uego trasferi da
(con el si gui ente
p"i .|-. rel oj ) al _regi -tro
de control de di recci ones
para
l eer l a si gui ente
-r.i oi ".l r"."i n.
La i onstrucci n
detal l ada
del
generador
" f" ti di "nte
di recci n
depende
de l a apl i caci n
parti cul ar'
EI resto a.
".t.
.p-1i
;;;" sobre'ejemplos
-esp^ecficos
del diseo de
l gi ca de control .
El ;;i ;.r,"j empl o.en
l a Secci n
10-3 demuestra
el mtodo
de un fl i p_fl op
p",
"i "
y l Secci n.10-4
presenta el mi smo ej empl o
con
un control
,-rri .roprri i u".
L; Secci n
10-6 usa un segundo
ej empl o
para
demostrar
.l *toi j .i r"gi ttto
de secuenci a
y
9l
de-codi fi cador
y l a Sec-
ci n 10-7. o' ri gurui i ; ; gdi l
ej empl o
con un PLA' Las Secci ones
10-5
v
10_g consi deran
el ' r"t?,
A" .o' trol del mi croprograma
en ms detal l e.
\
1o- 3c oNTRoLDECoMPoNENTESALAMBRADoS- EJ EMPLoI
Est eej empl odemuest r ael desar r ol l odeunal gor i t modedi seo. Secomi en.
,i i oi t" proposi ci n del
probl ema
y se prqce{g con l os pasos del di seo
para
obtener l a l gi ca de co.,ti ol
del si stem. El di seo
se l l eva a cabo en ci nco
pasos cori secuti vos.
431
http://libreria-universitaria.blogspot.com
432
OI SEO DE LOGI CA DE CONTROL
I
cAP.
10
l . Se enunci a
el probl ema.
2. Se asume
una confi guraci n
i ni ci al
del equi po.
3. Se forrnul a
el al gori tmo.
4. Se especi fi ca
l a parte
del procesador
de datos.
5. Se di sea l a l gi ca
de control .
una confi guraci n
i ni ci al
del equi po
es necesari a para poder
formul ar
el
al gori tmo
di seado
en trmi nos
ei mtodo
de trasferenci a
entre regi stros.
El al gori tmo
se formura por
medi o
"i h":"g."-;;ri l i n""
r" secuenci a
de mi crooperaci ones
del si stema.
u;; u"r. qu"_."
tnga i a l i sta de mi croope_
raci ones
se pueden
.
escoger
funci ones
ai gi i are,
"""L".i .
para
su confi _
guracin'
En esencia,
erto suministra
ti pa.t"
;;;;;;;;.a de datos del
si stema.
El contol
se di sea
entonces para
darl e ,."ul rr"i "
a l as mi croope_
racio_nes-
requeridas
en el procesador
de datos.
La l gi ca
de control
deduci da
en Lsta secci n
es un control de compo_
nentes
al ambrad.s por
el mtodo
de un fl i p-fl op poi -uJ"ao.
El si stema
di gi tal presentado
aqu se usa de
"rr"uo
en' l a .i $;u' te
sec"i on para
de-
mostrar
un ej empl o
del control
mi croprogramado.
Enunci ado
del pr obl ema
En l a secci n
t -u. ^: 1nunci a,
un. al gori t mo
para
l a adi ci n y
sust racci n
de
l os nmeros
bi nari os
de.punto
fi j o"cuando
l ;;;;,o;;;;l ugati rros
estn en
l a forma
de si gno-compl mento
. t.-i i l ;;ur"*"
i ri "Jl
"o' ng,rrar
con
rnateriales
la adicin
v
sustraccin
e drJ
";;;;-;iio.
de punto
fijo
representados
en forma
de si gno-magni tud.
S" p"""l sJr
ari tmti ca
com_
*ilT:f"
siempre y
cuandJ
er ,"s-uli"ao
finai;;t
;; forma
de signo_
La suma de dos nmeros
al macenados
en
-l os
regi stros
de l ongi tud
fi -
ni ta podra
resul tar
en una suma que
excede
r"
"up"i "
de al macenaj e
rrel
registro
en un bit. El nit
"*it"-
." dre que
"-;;;';;*."capacidad.
Er
-' i rcui to
debe veni r crc,n un fl i p-fl op pu*
utmac""ri
Ji i J" desbordami en_
' "
por
sobrecapaci dad.
Conf i gur aci n
del equi po
Los dos nmeros bi nari os
con si gno al ser sumados
o restados
conti enen
n bi ts. Las magni tudes
de l os
"ri i l e.os
conti enen
u: r--l -ats y se al ma_
cenan. en ros resi stros
A y
B. Los bi ts de si g"o se
"l -u"i ' u'
en l os fl i p_
fl ops
A.
,r' 8..
i a Fi gura
1o-6;;rtta
l os regi stros y
el equi po asoci ado.
El ALU real i za
l as opraci ones
ari tml l cas y
el regi stro
E de 1 bi t si rve como
nto
l :o^9:
sobrecapci dad.
El ;;;.r*;"
sal i da
der ALU se rrasfi ere
al E.
se asume que
l os dos nmero! y
sus si gnos tt"r,
"i
ti asferi dos
a sus
fgt:l ::.I:specri vos
y que
el resuttdo-"

"p"i "i j "
.i a ai rpo' i bl e
en
ros regl stros
A
]'
4.. Las dos seal es
de entrada
".,
el
"orrt.ol
especi fi can
l as operaci ones
de suma (go) y resta (q").
La vari abl e
de sal i da i ndi ca
http://libreria-universitaria.blogspot.com
Si gno Magni tud
,f
l
t
t,
I
t
Sobrecapaci dad
tr
q" ( Sumar )
q. ( Rest ar )
r (Qperaci n
termi nada)
Figura lO-6 Configuracin del registro para el sumador-sustracto
el fi nal de l a operaci n. La l gi ca de control se comuni ca con l os ci rcui tos
que l a rodean a travs de l as vari abl es de entrada y sal i da. El control re-
conoce l a seal de entrada
eo

e"
y sumi ni stra l a operaci n requeri da.
Una vez finalizada la operacin, el control informa a los circuitos exteriores
con l a sal i da r que l a suma o di ferenci a est en l os regi stros A y A" y el
bi t de sobrecapaci dad est en E.
Deducci n del al gor i t mo
La representaci n de nmeros por medi o de si gno-magni tud es fami l i ar
debi do a que se usa para l os cl cul os ari tmti cos a l pi z y papel . El proce-
dimiento de sumar o restar dos nmeros binarios con signo a lpiz y papel
es muy si mpl e y di recto. Una revi si n de este procedi mi ento podra ser ti l
para deduci r el al gori tmo di seado.
Se desi gna l a magni tud de dos nmeros A y B. Cuando l os nmeros se
suman o restan al gebrai camente se encuentra
que hay ocho condi ci ones
di ferentes para consi derar, dependi endo del si gno de l os nmeros y de l a
operaci n real i zada. Las ocho condi ci ones pueden expresarse en forma com-
pacta de l a si gui ente manera:
( * ) n( t a)
Si l a operaci n ari tmti ca especi fi cada es l a sustracci n, se cambi a el si gno
de B y se suma. Esto se hace evi dente a parti r de l as rel aci ones:
( t ) - ( +a : ?. s) +( - B)
( t A) - ( - B) : ( * , ) + ( + )
Lo cual .reduce el nmero de condi ci ones posi bl es a cuatro, a saber:
( t l ) + ( t )
Cuando los signos de A y B son iguales, se agregan las dos magnitudes y el
signo del resultado es el mismo que el sigro comn. Cuando los signos de
43s
http://libreria-universitaria.blogspot.com
434 DI SEO DE LOGI CA DE CONTROL CAP, 10
A y B no son i gual es, se resta el nmero ms pequeo del mayor y el si gno
del resul tado es el si gno del nmero mayor. Esto es evi dente a parti r de l as
si gui ent es rel aci ones :
Si A>. 8 si l <a
( +A) + ( +B) : +( A+ B)
( +A) + ( - B)
:
( - A) +( +r :
+( A
-
B)
: - ( B -
A)
- ( A- B) : +( B- A)
( - A) + ( - B) :
- ( A+
B)
El fl uj ograma de l a Fi gura 10-7 muestra cmo se puede confi gurar una
sustracci n y una adi ci n con si gno magai tud con el equi po de l a Fi gura
10- 6. Se i ni ci a una oper aci n con l a ent r ada q, o l a ent r ada
eo. La
ent r a-
da q" i ni ci a una operaci n de sustracci n de manera que se compl ementa
el si gno de B. La entrada qo i ni ci a una operaci n de suma y el si gno de B
se dej a si n cambi ar. El si gui ente paso es comparar l os dos si gnos. El bl oque
de deci si n demarcado con A" : B" si mbol i za esta deci si n. Si l os si gnos
son i gual es se si gr,re por el cami no demarcado por el smbol o
:
;
de otra ma-
nera se toma el cami no marcado por el smbol o + . El conteni do de A se
suma al conteni do de B y l a suma se trasfi ere a A en el caso de smbol os
i guai es. El val or del arrastre fi nal en este caso es una sobrecapaci dad de
manera que se hace el fl i p-fl op E i gual al arrastre de sal i da C",, . EI ci rcui to
i r a su estado i ni ci al
3,'
l a sal i da se convi erte en 1. EI si gno del resul tado
en este caso es el mi smo que el si gno ori gi nal A, de manera que el bi t de
si gno se dej a si n cambi ar.
Las dos magni tudes se restan si l os si gnos no son i gual es. La sustrac-
ci n de l as magni tudes se hace agregando A al compl emento de 2 de B. No
debe ocurri r sobrecapaci dad si l os dos nmeros se sustraen de manera
que E se l l eva a 0. Un 1 en E i ndi ca que A>By el nmer o en A es el r esul -
tado correcto. El si gno del resul tado es i gual de nuevo al val or ori gi nal de
4' .
fl n 0 en E i ndi ca que A <8. Para este caso es necesari o formar el
compl emento de 2 del val or en A y el compl emento del si gno en ,4,. El com-
pl ement o de 2 de A puede hacer se con una mi cr ooper aci n A** 1. Si n
eri rbargo se requi ere usar el ALU del Captul o 9 y este ALU no ti ene l a ope-
raci n de compl emento de 2. Por esta razn el compl emento de 2 se obti ene
de l as operaci ones de compl emento e i ncremento que estn di sponi bl es en
el ALI-I.
Especi f i caci n del pr ocesador
de dat os
El fl uj ograma del al gori tmo l i sta todas l as mi crooperaci ones para l a parte
del procesador
de datos del si stema. Las operaci ones entre A y B pueden
hacerse con el ALU. Las operaci ones con A", B" y E deben ser i ni ci adas
con vari abl es de control separadas. La Fi gura 10-8(a) muestra el procesa-
dor de datos con l as vari abl es de control requeri das. como se haba men-
a :
,]
http://libreria-universitaria.blogspot.com
T
A- A+E +l
F
* C
' ' o u t
Fi gura l O-7 Fl uj ograma para l a adi ci n y sustracci n en si gno-magni tud
ci onado antes el ALU vi ene del Captul o 9 donde se especi fi ca su tabl a de
funci n en l a Tabl a 9-4. Este ALU ti ene cuatro vari abl es de sel ecci n de l a
manera que se i l ustra en el di agrama. La vari abl e L carga Ia sal i da del ALU
al regi stro A y tambi n el arrastre de sal i da a E. Las vari abl es
-t-,
z y ) com-
pl ementan B" y A, y borran E respecti vamente.
El di agrama de bl oque de l a l gi ca de control se muestra en l a Fi gura
10-8(b). El control reci be ci nco entradas: dos de Ios componentes externos
y tres del procesador de datos. Para si mpl i fi car el di seo se defi ne una
nueva var i abl e S:
S: l r OB,
435
http://libreria-universitaria.blogspot.com
' _-
,*E
L ( Car ga)
I
-
(a)
Registros procesadores
de datos y
ALU
, t ( Est ado
i ni ci al )
s2
( Sel ecci n
demodo)
s 1
s0
( Sel ecci n
de f unci n)
C
(Arrastre
de entrada)
L ( Car gar AyEdel ALU)
y (Compl ement ar
B")
z (Compl ement arA, )
p
(Borra
E)
(b)
Diagama
de bloque
del control
Figura
l0-g
Diagrama
de bloque
del sistema
Esta ' ari abl e
da er
fi .l r' ^1dg
de,ra
com.paraci n
entre
dos bi ts de si gno.
La
lffii:"r'r'o,*"r1*1"""
es igual
a t si los dos
,ic";.-;;
-.on
isuul".
y
".
El control
.r-i ' :' ::l 1mbos
posi ti vos
o negati vos
cionat,;i;;il;Ji:i:il"T,:iii#,.1:Ti:iJJ"ffi
,"r.,,,i;1ff; de sel ecci r
s' ' s' '
f o
y
c, . ' i u. -oi . -". . ", r"t "o
sari das
; ; ,
l os regi st ros
en el procesadr
dl atr
.LJi"
"Jitt",
en el diagr"-u.
au.,que
no se
4?6
( Tabl a
9- 4)
ALU
., .
LBi ca
-
de cont r ol
http://libreria-universitaria.blogspot.com
T
sEc. 10- 3 CONTROL DE COMPONENTES ALAMBRADOS- EJEMPLO
1 437
muestra en el di agrama, Ias sal i das de l a l gi ca de control deben estar co-
nectadas a las coirespondientes
entradas en el procesador de datos. Ahora
que se ha especi fi cad el procesador se puede di sear l a l gi ca de control
del si stema.
Di agrama de est ado de cont rol
El di seo de un control con materi al es i nterconectados es un
probl ema de
l gi ca secuenci al . Como tal , podra ser conveni ente formul ar el di agrama de
eslado del control secuencial. Los recuadros de control en el flujograma
pueden ser consi derados como estados del ci rcui to secuenci al
y l os recua-
dros de deci si n como condi ci ones del si gui ente estado. Las mi crooperaci o-
.r", qr" deben ser ejecutadas en un estado dado se especifican dentro del
,..rr"d.o de funci n. Las condi ci ones
para l a transi ci n del si gui ente estado
se especi fi can dentro del recuadro de deci si n o en l as l neas
que se conec-
tan tre dos recuadros de funcin. Aunque se puede formular esta relacin
entre un flujograma
y el diagrama de estado, la conversin
entre una for-
ma
y otra n s nic. En consecuencia, diferentes diseadores
producirn
diferentes diagramas de estado
para el mismo flujograma
y cada cual
puede
ser una correcta representaci n del si stema.
Se comi enza ai i gnando un estado i nci al ?6 al control ador secuenci al .
Se determi na l uego l transi ci n a otros estados Tr, Tz, Tz
Y
as sucesi va-
mente. Para cad estado se determi nan l as mi crooperaci ones
que deben
i ni ci ar el ci rcui to de control . Este procedi mi ento produce el di agrama de
estado
para el controlador conjuntamente con una lista de operaciones de
trasferenci a entre regi stros, l as cual es deben ser i ni ci adas mi entras
que
el ci rcui to de control est en todos
y cada uno de l os estados.
El diagrama de control
y las correspondientes operaciones de trasfe-
renci a entre regi stros se deducen en l a Fi gura 10-9. La i nformaci n
para
este di seo se toma di rectamente del fl uj ograma de l a Fi gura 10-7 y l as va-
riables definidas en el diagrama de bloque de la Figura 10-8. El estado de
control i ni ci al es ?o. Mi entras
que el control est en ese estado l a vari a-
bl e se debe hacer i gual a 1. Esta vari abl e es 0 en todos l os dems estados'
Durante el ti empo en que
Qo
y
Q,
sean 0, el control permanecer en su es-
tado i ni ci al . Si q" se convi erte en 1 el control real i zar una operaci n de
sustracci n al pasar al estado ?1. En este estado el bi t de si gno B, se
complementa. El control
pasa al estado T2 para sumar los dos nmeros.
Si q, se convi erte en 1 el control i r di rectamente al estado Tz .
-El
.iguiette estado despus de T2 depende de los valores relativos de
l os bi ts d si gno, l os cual es se determi nan a parti r de l a vari abl e S. Si l os
smbolos fuern iguales, S ser 0
y el control
pa.sar al estado ?3. En este
estado, las dos mgnitudes se suman
y se pone a uno el bit de sobrecapaci-
dad. na vez
que i e haga l o anteri or el control pasar a su estado i ni ci al .
si los signos sn diferentes, s es 1
y el control
pasar del estado ?2 al es-
tado ?4. En este estado las dos magnitudes se sustran obteniendo el
complemento de 2 de B. El arrastre final se trasfiere a E durante la sustrac-
ci n
y el control
pasar al estado ?u.
http://libreria-universitaria.blogspot.com
Qo = 0
I o:
Est ado i ni ci al , r
=
I
Tr : B,
* 8 ,
Tr: nada
T 3 : A+ A + B, f - C. , , ,
T o : A+ A + 8 + 1 , 6 - Cu , ,
1 5 : I , + 0
T u : A- A
T j . A+ A+ 1 . . 4 r - , 1 ,
( a)
Di agr ama de est ado
qa Sumar
Q s
Rest ar
S=0 Si gnosi gual es
S= I Si gnosdi f er ent es
E Arraste de salida
Sal i das de cont r ol
I
J 2 Jt Jo C, L
. t ,
z ^
100
000
000
000
001
000
0l l
000
000000
000100
000000
101000
0t 1000
000001
10t 000
0l l 0l 0
( b)
Secuenci a de t asf er enci as
del r esi st o
Fi gur a
1o- g Di agr ama
del est ado de cont ol y secuenci a de mi cr ooper aci ones
438
http://libreria-universitaria.blogspot.com
I
s Ec . 10- 3 CONTROL DE COMPONENTES ALAMBRADOS- EJEMPLO
1 439
Se debe tener en cuenta
que el arrastre fi nal del ALU se trasfi ere a E
con un pul so de rel oj . Esto sucede con el mi smo pul so de rel oj que causa
que
el control vaya del estado Ta al Tr. Aunque se muestre l a operaci n:
E <- Cou,
conj untamente
con l a vari abl e ?, esta operaci n no s ej ecuta hasta
que
".rrr" el pul so de rel oj . Una vez que este pul so-ej ec-ute 1a operaci n, el con-
trol se encontrar en l estado ?r. Por tanto el val or de E pata el ar-rastre
fi nal , no debe constatarse si no hasta que el control al cance el estado ?t '
El val or de E se constata
para determi nar l as magni tudes rel ati vas de A y
B. Si E
:
1, esto i ndi car
que A
>
B. Para' este caso E debe borrarse
para as
compl etar l a operaci n. si E: 0, esto i ndi car
que A < B. El .control i r a l os
estaos To y T para compl ementar
A y A,. Ntese
que
_E
se borra mi en-
tras
que ei o.tti oi est en l estado ?u. Esto se hace con E i gual a 1 0 ya
que tiatar cle borrar un flip-flop
que est en 0 Io dejar de todas maneras
O. S" debe notar tambi n
que E se borra con el pul so de rel oj que causa
que el control se sal ga del estado ?u. Se debe tener en cuenta
que despej ar
y trasferir el contiol al estado To T6 se hace con un pulso de reloj co-
mn si n probl ema. El val or ori gi nal de E en el ti empo ?, determi na el si -
guiente utt"do aunque este flip-flop se borre mientras
que el pulso de reloj
pase por una transi ci n de fl anco.
'
ebe ser mani fi esto con este ej empl o
que l a i nterpretaci n de un fl uj o-
grama podra resul tar en un di agrama de estado di ferente
para l a mi sma
i gi "u e control . Esto es aceptabl e si empre
y cuando l as restri cci ones de
l oJ materi al es se tomen en consi deraci n
y el si stema funci one de acuerdo
a las especificaciones. Por ejemplo, en vez de comprobar E en el ti-empo ?5
se hubira
podido escoger eL comprobar Co,t en el tiempo ?1 . Si Co', es 1,
el control
pasar al estado ?, parrr despej ar E. si ste es 0, el control
pue-
de i r di rectamente al estado ?0, s n consi derar el estado ?u ett este caso.
Di seo del cont r ol a base de component es al ambr ados
Las sal i das de control son funci n de l os estados de control
y se l i stan en
l a Fi gura 10-9(b). Estas sal i das se defi nen en el di agrama de bl oque de l a
Fi gura 10-8(b). Los val ores para l as vari abl es de sel ecci n del ALU se de-
tei mi nan a parti r de l a Tabl a 9-4. La vari abl e L
(cargar A) debe ser i gual a
1 cada vez que l a sal i da del ALU se trasfi era al regi stro A. De otra manera
l, es 0 y las salidas del ALU no tendran efecto sobre el registro. Para dise-
ar el ontrol de este si stema se necesi ta di sear el di agrama de estado de
l a Fi gura 10-9(a)
y dotarl o de l as sal i das de control como se especi fi can en
l a Fi gura 10-9(b).
El control
puede di searse usando un procedi mi ento cl si co l gi co se-
cuenci al . Este procedi mi ento requi ere una tabl a de estado con ocho estados,
cuatro entradas y nueve sal i das. El ci rcui to secuenci al
que se va a deduci r
de cada estado no ser fcil de obtenel debido a la gran cantidad de varia-
bl es. El ci rcui to obteni do, usando este mtodo, puede tener un nmero m-
nimo de compuertas,
pero tendr un patrn irreglar y ser muy dificil de
anal i zar e.t el ca.o de que ocurra una fal l a. Estas di fi cul tades son el i mi na-
das si se disea el control
por el mtodo de un flip-flop
por estado.
http://libreria-universitaria.blogspot.com
44O DI SEO DE LOGI CA DE CONTROL
CAP. 10
[Jna organi zaci n del control que
use un fl i p-fl op por estado ti ene l a
caractersti ca conveni ente de que
el ci rcui to p.rede
deduci rse di rectamen-
te del di agrama de estado por i nspecci n. No i e necesi tan tabl as de estado
o exci taci n si se usan fl i p-fl ops D. Recurdese que ei si gui ente estado de
un fl i p-fl op n es una funci n de l a entrada D y s i ndependi ente del esta-
do presente.
como el mtodo requi ere un fl i p-fi op po.
.ud" estado, se esco-
gen
ocho f l i p- f l ops D y se mar can sus sal i das Tu, Tr , Tr , .
,
Tr . Lu
" or r _ di ci n pra poner
a ul o un fl i p-fl op dado se especi i i ca-en el .di agrama de
estado. Por ej empl o, el fl i p-fl op 7, se pone u u.rb con el si gui entJpul so de
r el oj si Tt : t si I .
:
I y qo: 1. Est a condi ci n puede
ef i ni r se con l a
funci n de Bool e:
DT2: qoTo
+ T,
donde D?2 desi gna l a entrada D del fl i p-fl op ?2. De hecho, l a condi ci n
para.poner
a 1 fl i p-fl op se obti ene de l a condi ci n especi fi cada por l as l neas
de di recci n que van.a un estado de fl i p-fl op dado y que
a.u ue, se apl i can
conj untamente
con el estado previ o
def l i p-oo u
""u
funci n AND. si tuy
ms de una l ' ea de di recci n que
va a un stado, todas l as condi ci ones
{9ben
apl i carse a una funci n oR. usando este pto"edi -i e.rto
para
otros
fl i p-fl ops, se obti enen l as funci ones
de entrada dadas en l a Tabl a 10-1.
Ini ci al mente,
el fl i p-fl op ?o se pone
a uno y l as dems se boran. F)n
un ti empo dado sol amente una entrda D estar en el estado 1 mi entras
que l as dems se manti enen en o. El si gui ente pul so
de rel oj pone
a uno el
flip-flop
cuya entrada D es 1 y borra toi dems. rot
""-fi
si al presente
To: 1, ent onces si
e.
_
0 y q" : 0,
l a ent r ada D de ?. i , " i f y
el si gui en_
te pul so
dej ar el fl i p-fl op ?o en el estado 1. si durari te el i nterval o
entre
l os dos pul s-os q" .e convi erte en 1, ra entrad a D de ?e cambi ar a o pero
l a entrada D
*
f, ser l ,.de manera que
el si gui ente"p.ri .o po.a.a
a uno
Tt
-y
a cero ?0. Las funci ones
de enti ada
a"f ni p-nol p-ro.,
-ut.,"mente
excl uy-entes y
sol amente
un fl i p-fl op puede ponerse
u ,"o' un
""
ti empo dado
y los dems se borran porque
sus entiada, D son ceros.
Se necesi ta especi fi car l as sal i das de control corl o una funci n de l os
estados de l os fl i p-fl ops. Esto se hace con l as entradas de Bool e dadas en
l a Tabl a 10-1. Estas funci ones de Bool e se obti enen por i nspecci n de l a Fi -
Tabi a l 0-l Funci ones de Booi e para control
I
Funciones de entrada de flip-flops Funciones
de Boole para el control de salida
DT6= q' " q! Ts+ T3+ ETs+ Tj
DT, : q, Ts
DTl : q" Ts * T1
DT_.
:
5' 7.-
DTa: ST2
DT5: Ta
DTu: ' 7t
DT1: T5
x : To
sz: To
s i : Tot Tu
so: T, + Tu
Cin: T4 + T.r
L=Tt +7| 4+76+77
/ : Tt
z : Tt
w: Ts
http://libreria-universitaria.blogspot.com
I
t -
t t
sEc. 10- 4 CONTROL DEL MI CROPROGRAMA 441
gura 10-9(b). Por ej empl o l a sal i da I debe ser l durante l os estados ?3,
Tr,7,., ?r. Estas vari abl es son di sponi bl es en l as sal i das de l os fl i p-fl ops.
Lo que se necesi ta aqu es una compuerta OR de 4 entradas para generar
el control de sal i da ,L
El ci rcui to para l a l gi ca de control no se di buj a pero se puede obtener
fci l mente de l as funci ones de Bool e en l a Tabl a 10-1. Este ci rcui to puede
ser construi do con ocho fl i p-fl ops D, si ete compuertas AND, sei s compuer-
tas OR y cuatro i nversores. Ntese que ci nco sal i das de control se toman
di rectamente de l as sal i das de l os fl i p-fl ops.
1O- 4 CONTROL DEL MI CROPROGRAMA
En un mi croprograma de control , l as vari abl es de control que i ni ci an mi cro-
operaci ones se al rnacenan en l a memori a. La memori a de control es comn-
mente una ROM
ya que l a secuenci a de control es permanente y no necesi ta
al teraci n. Las vari abl es de control al macenadas en l a memori a son l edas
una a una
para i ni ci ar l a secuenci a de mi crooperaci ones del si stema.
Las pal abras al macenadas en l a memori a de control son mi croi nstruc-
ci ones
y cada una de el l as especi fi ca una o ms mi crooperaci ones
para l os
componentes en el si stema. [Jna vez que se ej ecutan estas mi crooperaci o-
nes, l a uni dad de control debe determi nar l a si gui ente di recci n. Por tanto,
unos pocos bi ts de l a mi croi nstrucci n se usan para control ar l a generaci n
de l a di recci n para l a si gui ente mi croi nstrucci n. As una mi croi nstruc-
ci n conti ene bi ts para i ni ci ar mi crooperaci ones
y bi ts para determi nar Ia
si gui ente di recci n para l a memori a de control en s mi sma
Adems de l a memori a de control , una uni dad de control de mi cropro-
grama debe i ncl ui r ci rcui tos especi al es para sel ecci onar l a si gui ente di rec-
ci n como se especi fi ca por l a mi croi nstrucci n. Estos ci rcui tos y l a confi -
guraci n de l os bi ts de mi croi nstrucci n al macenados en l a memori a varan
de una uni dad a otra. En vez de profundi zar en todas l as posi bi l i dades en-
contradas en l as di ferentes si tuaci ones se escoge aqu i ntroduci r el con-
cepto de mi croprograma por medi o de un ej empl o si mpl e.
La l gi ca de control
que se va a di sear es para el sumador-sustractor
de si gno-magni tud desarrol l ado en Ia secci n anteri or. El control a base de
componentes conectados, di seado en l a Secci n 10-3 ser rempl azado por
un control de mi croprograma que se va a di sear a conti nuaci n. Tngase
en cuenta si n embargo que el si stema di gi tal consi derado aqu es muy pe-
queo para un control ador de mi croprograma y en l a prcti ca un control a
base de componentes conectados debe ser ms efi ci ente. La organi zaci n
del control de mi croprograma es ms efi ci ente en si stemas mayores y
com-
pl i cados.
Un estado en l a memori a de control se representa por l a di recci n de
una mi croi nstrucci n. Una di recci n para l a memori a de control especi fi ca
una pal abra de control dentro de una mi croi nstrucci n. El control que se
desea di sear se especi fi ca en l a Fi gura 10-9. Como hay ocho estados en el
control se escoge una memori a de control con ocho pal abras que ti enen l as
di recci ones 0 hasta 7. La di recci n de Ia memori a de control corresponde al
nmero suscri to baj o l as ? en el di agrama de estado.
http://libreria-universitaria.blogspot.com
I
442 DI SEO DE LOGI CA DE CONTROL
c AP. 10
La i nspecci n del di agrama de estado revel a que l a secuenci a de di rec-
ci ones en el control del mi croprograma
debe tener 1as si gui entes cual i dades:
1. Provi si n para l a carga de una di recci n externa como resul tado de
l a ocur r enci a de l as seal es ext er nas
eo
y
e" .
2. Provi si n para
l a sucesi n consecuti va de di recci ones.
3. Provi si n para escoger entre dos di recci ones como una funci n de
l os val ores presentes
de l as vari abl es de condi ci n S
y E.
Cada mi coi nstrucci n debe contener un nmero de bi ts para especi fi car
l a maner a en que se sel ecci ona l a nueva di r ecci n.
Conf i gur aci n
de l os mat er i al es
La organi zaci n de l a uni dad de control del mi croprograma se muestra en
l a Fi gura 10-10. La memori a de control es una RoM de
g
pal abras por 14
bi ts. Los pri meros nueve bi ts de una pal abra
de mi croi nstrucci n conl i enen
l as vari abl es de control que i ni ci an l as mi crooperaci ones. Los l ti mos ci nco
bi ts sumi ni stran i nformaci n para
sel ecci onar l a si gui ente di recci n. El
regi stro de di recci ones de control (CAR:
Control Address Regi ster) al -
macena l a di recci n de l a memori a de control . Este regi stro reci b un val or
de ent r ada cuando se habi l i t a su cont r ol de car ga; d ot r a maner a se i n-
crementa en 1. Un CAft es esenci al mente un contador con capaci dad de
carga en paral el o.
Los bi t s 10, 11 y 12 de una mi cr oi nst r ucci n cont i enen una di r ecci n
para
el CAR. Los bi ts 13 y 14 sel ecci onan una entrada para un mul ti pl exor.
El bi t 1 sumi ni stra l a condi ci n de estado i ni ci al denotada por l a vri abi e
r y t ambi n habi l i t a una di r ecci n ext er na cuando
e,
o
eo
es i gual a 1. se
esti pul a que cuando : 1 el campo de di recci n de l a mi croi nstrucci n debe
ser i gual a 000. Entonces si q"
:
1, l a di recci n 001 est presente en l as en-
t r adas del CAR, per o si
eo:
l , l a di r ecci n 010 se apl i ca al CAf i . Si ambas
.1. y
Qo
son cero, l a di recci n cero de Ios bi ts 10, 11 y 12 es apl i cada a l as
entradas del CAfi . De esta manera l a memori a de control se manti ene en l a
di ecci n cer o hast a que
una var i abl e ext er na se habi l i t e.
El mul t i pl exor ( MUX)
t i ene cuat r o ent r adas que se sel ecci onan con l os
i s 13
- v
14 de l a mi cr oi nst r ucci n.
Las f unci ones de l os bi t s sel ecci onadas
p- r el mul t i pl exor se t abul an en l a Fi gur a 10- 10. Si l os bi t s 13 y 14 son 00, se
sel ecci ona una ent r ada de mul t i pl exor que
es i gual a 0. La sal i da del mul -
t i pl er or es 0
' l a
ent r ada de i ncr ement o al CAf t se habi l i t a. Est a conf i zur a-
ci n i nc ement a el cAR par a
escoger l a si gui ent e di r ecci n en secue' nci a.
una sal i da de I es sel ecci onada por
el mul t i pl exor cuando l os bi t s 13 y 14
son i gual es a 01. La sal i da del mul t i pl exor es 1y l a ent r ada ext er na se car -
ga al cf t . La var i abl e de condi ci n s es sel ecci onada
cuando l os bi t s 18 v
14 son i gual es a 10. Si s
:
1, l a sal i da del mul t i pl exor es 1 y l os bi t s de di -
r ecci n de l a mi cr oi nst r ucci n
son car gados al
- cAr ?
( si
sei i ene r : 0) . si
s
:
0, l a sal i da del mul t i pl exor es 0 y se i ncr ement a
el cAR. con l os bi t s 13 y
14 i gual es
a 11 r e sei ecci ona l a var i abl e de condi ci n E y el campo de di r ec-
ci n se car ga al cA, ? si E: r ; per o
el cAR se i ncr ement a si B: 0. As . el
i
I
I
I
http://libreria-universitaria.blogspot.com
___-\
4
5
6
7
8
9
[ ' o
I
l "
l .
12
f
' ,
I
[ 14
Di r ecci n
Sel ecci n
' : 1
\
b!
'o
6
O
Bi t s de ROM
1 3 1 4
Funci n de sel ecci n del MUX
0 0
0 l
l 0
l l
Incrementar el CAR
Cargar la entrada al CAR
Cargar las entradas al CAR si S
:
1, incrementar el CA^R si S
:
0
Cargar l as ent radas al CAR si B: 1, i ncrement ar el CA. R si E: 0
Fi gura 10-10 Di agrama ci e bl oque de control del mi croprograma
443
http://libreria-universitaria.blogspot.com
4 t ' t DI SEO DE LOGI CA DE CONTROL
Tabl a l O-2 Mi croprograma si mbl i co para l a memoi a de control
Di recci n
de ROM Mi croi nstrucci n
cAP. 10
mul ti pl exor permi te
al _ control escoger entre dos di recci ones dependi endo
del val or del bi t de condi ci n sel ecci onado.
El mi cr opr ogr ama
Una vez que se establ ece l a confi guraci n
de l a uni dad de control del mi cro-
programa l a tarea del di seador es generar
el mi crocdi go para l a memori a
de control .
Fl ,sta
generaci n
de cdi go se l l ama mi croprogrmaci n y
es un
proceso que determi na l a confi guraci n
de bi ts para
cad una de l s pal a-
bras en l a memori a de control . Para apreci ur ei te proceso,
se deduci i el
mi croprograma para
el ej empl o del sumador-sustractor.
La memori a de con-
trol ti ene ocho pal abras y cada pal abra
conti ene 14 bi ts.
para
mi croprogra-
mar l a memori a de contol se debe determi nar l os val ores de l os i ts ae"l as
ocho pal abras.
El mtodo de trasferenci a entre regi stros puede ser adoptado para
de-
sarrol l ar un mi croprograma. La secuenci a de mi crooperaci n pued ser es-
peci fi cada
con decl araci ones de trasferenci a entre rgi stros. o hay nece-
si dad de l i star l as funci ones
de control con l as vari abi s de Bool e yu qrr"
"., este caso, l as vari abl es de control son l as pal abras
de control al macenadas
en l a memori a de control . En vez de una funci n de control , se especi fi ca
una di recci n con cada proposi ci n
de trasfeenci a entre regi stros. La di rec-
ci n asoci ada con cada proposi ci n
si mbl i ca correspond a l a di recci n
donde l a mi croi nstucci n
es al macenada
en l a memri a. La secuenci a de
una di recci n a l a si gui ente puede
ser i ndi cada por medi o de proposi ci ones
de control condi ci onal es. Este ti po de proposi ci nes puede
usp""i fi "u.
u' a
di recci n a l a cual va el control depeni etrdo
d" l as condi ci ones establ eci -
das. As, en vez de pensar
en trmi nos de 1 0 que
deben ser agregados a
cada mi croi nstrucci n,
es ms conveni ente pensar
en trmi nos d si mbol os
en el mtodo de trasferenci a
entre regi stros.
una vez que se ha establ eci do
el mi croprograma si mbl i co,
es posi bl e
trasl adar l as proposi ci ones
de tras-
ferenci a entre regi stros o su forma bi nari a equi val ente.
El mi croprograma se da en forma si mbl i ca en l a Tabl a 10-2. Las ocho
di recci ones de l a RoM se l i stan en l a pri mera
col umna. La mi croi nstrucci n
Coment ari os
0
x: 1, si
( q" :
1) ent onces ( va
a 1) , si ( q,
ent onces (va a2), si (q,
Aq,
: g
entonces (va
a 0)
1 B, <- B-,
2 I f (S
:
l ) ent onces (va
a 4)
3 A< - A* B, E< - Co u u v a a 0
4 A< - A* E+ t , * Co , , ,
5 Si
(:
1) ent onves (va
a 0). E
. -0
6 A< - A-
7 A+ A* 1 , A, + A- , , v a a O
:
1) Cargar 0 o di recci n externa
g" :
1, comenzar sustracci n
e" :
l , comenzar suma
Sumar magnitudes y regreso
Sustraer magni tudes
Operaci n fi nal i zada si E: 1
E: 0, compl ementarA
Terminado, regresar a la
di recci n 0
http://libreria-universitaria.blogspot.com
"l
s Ec . 10- 4
CONTROL DEL MI CROPROGRAMA
45
que debe ser al macenada
en cada di recci n se da en forma si mbl i ca en l a
.="*f,; .;irr-ru.
Los comentarios
se usan
para clarificar
las
proposiciones
de trasferenci a
entre tegi stros.
La di recci tt
o e" equi val ente
al estado i ni -
ci al
y pr oduce una sal i d- a x: L. La
si gui ent e di r ecci n depende de l os val o-
,".- u' fu, vari abl es externas
e"
y
eo.
Las tres
proposi ci ones de control
condi ci onal
en esta mi croi nstrucci n-usan
una
proposi ci n de ua o despus
e l a pal abraentonces. Su si gni fi cado-se
i nterpreta de manera
que si l a-con-
di ci n se sati sface el control *va
a l a di recci n escri ta despus de l a pal abra
,o-o. n"i , si arnbos
Q,
y
Qo
son cero el control
permanece en i a di recci n
""ro
pu.u' repeti r l u -i toi "ttrucci n.
Si
q, o qo son 1, el control va a l a
di recci n 1 2 resPecti vamente.
Las
proposi ci nes de control condi ci onal
en l as otras mi croi nstrucci ones
usan l as" u" r i ubl " r
de condi ci n s y E. La pr oposi ci n de ua a si n una con-
di ci n adj unta, especi fi ca una al tei nati va
i ncondi ci onal a l a di recci n i ndi '
.u".
pot
ej empl o, va a cero si gni fi ca
que el control va a l a di recci n cero
despus de ecuta. l a mi croi nsi rucci n
presente' pi n9
\av
una proposi ci n
de ua a en l mi croi nstrucci n,
esto i mpl i ca
que l a si gui ente mi croi nstruc-
ci n se toma de l a si gui ente di recci n de Ia secuenci a. Tambi n, si l a condi -
"i "
".p"s de una
proposi ci n si no se sati sface, el control va a Ia si gui en-
t e di r ecci n en l a secuenci a.
Las mi croi nstrucci ones
asoci adas con l as ocho di recci ones se deducen
di rectamente
de l as especi fi caci ones
de control de l a Fi gura 10-9' Las mi -
croi nstrucci ones
l i stadas son i dnti cas a aquel l as l i stadas en l a Fi gura
10-9(b). La proposi ci n de control condi ci onal especi fi ca l a secuenci a de
di recci ones como se da en el di agrama de estado de l a Fi gura 10-9(a)' N-
tese
que cada nmero de di recci n es i gual al nmero suscri to haj o l as ? en
el di grama de estado. Debe ser obvi o
que l as proposi ci ones de control con-
di ci onl
presentan una manera di ferente de especi fi car el di agrama de es-
tado. Esto muestra
que el mtodo de trasferenci a entre regi stros
puede ser
usado
para especi fi car un ci rcui to secuenci al '
Ei mi croprograma
en l a Tabl a 10-2 se hubi era
podi do deduci r di recta-
mente del fl ul ogi ama de l a Fi gura 10-7. Este fl uj ograma se usa
para especi -
i i ca. et al gori i mo
para el si stma
que se est tratando de di sear. Aunque
el mi croprograma
desarrol l ado
aqui
parece necesi tar muchos
pasos i nter-
,rr"dio., ." d"ebe terrer en cuenta
q,ru
"tto
fue hecho as para propsitos de la
.rpti .u.i ".
Una vez
que se enti enda- el concepto del mi croprograma
no.hay
,"rdi prru .ro poder especi fi car el al gori tmo di rectamente
como un mi cro-
progr";u si mbl i co, si n l a necesi dad. del di agrama de estado. Una vez
que
ie u e.tablecido
la configuracin
del equipo
para el proc_esador de datos
y
el control del micrprog."l-u
se puede dsairoilar el algoritmo
por medio de
un mi croprograma.
La desi fnaci n
si mbl i ca es un mtodo conveni ente
para desarrol l ar el
.rrl.-projr"ira
de una manera
que la gente pueda leer y entender. Pero sta
no es l a manera como el mi croprograma
e. al macenado
en l a memori a de
control . El mi croprograma
si mbti o debe traduci rse a bi nari o
porque sta
es l a forma como va i l u -"^o.i a. L,a traducci n
se hace di vi di endo
l os bi ts
de cada mi croi nstrucci n
en sus
partes funci onal es l l amadas compo.s. A.qu
se ti enen tres
partes funci onal es, l os bi ts t hasta 9 especi fi can
l a pal abra
de control
para
:ni ci ar
l as mi crooperaci ones.
Los bi ts 10 hasta 12 especi fi -
http://libreria-universitaria.blogspot.com
Tabl a
l 0-3 Mi croprograma
bi nari o para
l a memori a cre control
Sal i das de ROM
f)i recci n
de ROM
x Ju .rl Jo C,n
t 2 3 4 5
L y z t r
6 t 8 9
Se l ecc i n
l 0 l t t 2
13 t 4
0
0
0
0
0l
0l
l 0
0l
0l
i l
0l
0l
000
010
r 00
000
l 0l
000
l l l
000
r 0 0 0 0 0 00
0
000000100
0 0 0 0 0 0 00 0
0 0 0l
0 100
0
0 010
I 100
0
0 0 0 0 0 0 00 i
0l I I 0 100
0
000011010
00
0l
I O
l l
00
0l
l 0
l l
can un campo
de di recci n y l os bi ts 13 y
14 sel ecci onan
una entrada
del
mul ti pl exor.
Por cada mi crol nstru."i n
qu.
se ri sta en forma si mbl i ca
se
deben
escoger l os bi ts adecuados
;; i ;r campos
de mi croi nstrucci n
corres-
pondi entes.
La forma
bi nari a equi val ente
del mi croprograma
se da en l a Tabl a
10-8.
I-as di recci ones para
ra memori a
" .o"ttol
RoM ,u l i rtr"
en bi nari o.
Er
conteni do
de cada pal abra
de RoM se da tambl "-;;;i ;"ri o.
Esta tabra
consti tuye
l a tabra de verdad necesari a para programar
ra RoM.
Los pri meros
ocho bi ts en cada pai abra
RoM dan l a pal abra
de contror
que i ni ci a
l as mi crooperaci on-es
".p""i ri fur.' E;;;;;r".J.
a" bi t se toman
di rectamente
de l a Fi gura
10_9(bi .
i * ,:ttt_o.
"i ;";Li ;;
en cada patabra
.13#f:J"ducen
de l as proposi ci nu,
u co.,t.or
condi ci onal
en el prog.ama
En l a di ecci n
000, se ti ene 01 para
i l
qampo de serecci n
Esto permi te
que
una di recci n
ex^t erna
r" . ". gu
"l
cn; i ; ; ; ; ' ; ", gual
a 1. De orra
nranera
l a di recci n
000 se trasfi ei e
a] cAR. o" ai *"r,",1,r1,
"l
campo
de
sel ecci n
de l a mi croi nst rucci n
. * or
v
"t "u. rrpo
J. Jr. "*i "
es 010. A par_
ti r de l a tabl a en l a Fi gura
10-10, se e.r-cue.rtru que
el pul so
del rel oi que
i ni -
ci a i a mi cr oi nst r ucci r _8" : - 8, ' ( yu
q. r u y: 1)
t ambi n
t r asf i er e
el campo
oe di recci n
ar cAR-
La' si gui l nt u
. t i i "roi n. t rucci n
que
sare de l a RoM
sera aquel l a
al macenada
en l a di recci n
010. EL ; "; ; ei ecci onado
en l a
i i rrec:i on
001 podra^habese
escogi do
como
00. Esto hubi era
causado
un
rf l L. rement o
en el CAr? para
l uego i i a l a di recci n
Of O.
---^
La i nspecci n
de l os .u*po.".
de sel ecci n
.n to. i t, 13 y 14 muestra que
cuando
esos dos bi t s sean i gul es
a 01, er campo
de di recci n
est en l a nue-
va di recci n.
cuando
est os dos bi t s sean 10, se sel ecci ona
l a vari abre de con_
di ci n
s
-r'
cuando sean 11 l a vari abl e . n
". t o.
, i i l i -o.
o, casos, l a si _
gui ente
di recci n
es aquel l a
especi fi cada
en el campo de di recci n
si el bi t
de condi ci n
sel ecci on"do
e. i surr
u l .
-si
el bi t de condi ci n
sel ecci onado
es i gual
a 0, l a si zui ente
di reJci n
es l a si gui ente
en ."",r".,.i u ya que
el
CAf t se i ncrement a.
46
http://libreria-universitaria.blogspot.com
=?
1O- 5 CONTROL DE LA UNI DAD PROCESADORA
La confi guraci n con rnateri al es de Ia uni dad de control del mi croprograma
usada en l a secci n anteri or es adecuada para el ej empl o parti cul ar consi -
derado. En una si tuaci n prcti ca, l a organi zaci n de l os materi al es de una
uni dad de control del mi croprograma debe tener una confi guraci n de pro-
psi to general para adaptarse a una gran canti dad de si tuaci ones. Una
uni dad de control de mi croprograma debe tener una memori a de control
sufi ci ente como para al macenar mi croi nstrucci ones. Se debe hacer provi -
si n para i ncl ui r todas l as vari abl es de control posi bl es en el si stema y no
sol amente
para control ar un ALU. El mul ti pl exor
y l os bi ts sel ecci onados
deben i ncl ui r todos Ios dems bi ts de condi ci n
posi bl es que se qui eran
comprobar en el si stema. Se debe tener una provi si n para aceptar una di -
recci n externa
para i ni ci ar muchas operaci ones en vez de dos operaci ones
sol amente tal es como suma y sustracci n.
La pri nci pal ventaj a del control del mi croprograma es el hecho que una
vez que se ha establ eci do l a confi guraci n de l os materi al es no debe haber
necesi dad de cambi os
posteri ores de l as conexi ones entre l os componentes.
Si se qui ere establ ecer una secuenci a de control di ferente
para el si stema,
todo l o que se necesi ta es especi fi car un conj unto di ferente de mi croi nstruc-
ci ones
para l a memori a de control . La confi guraci n con l os materi al es no
debe cmbi ar
para l as di ferentes operaci ones; el ni co cambi o debe ser el
mi croprograma
que resi de en l a memori a de control .
Para demostrar l a propi edad general de l a organi zaci n del mi cropro-
grama se expandi r l a confi guraci n de l os componentes
para i ncl ui r el
control de toda una uni dad de proceso. Una uni dad pl ocesadora de propsi -
to general se i ntroduj o en l a Secci n 9-9. Al referi rse a l a Fi gura 9-16, se
nota que l a uni dad procesadora ti ene si ete regi stros, un ALU, un regi stro
de despl azami ento
y un regi stro de condi ci n. Se sel ecci ona una mi croope-
raci n con una pal abra de control de 16 bi ts. Los bi ts para una pal abra de
control dada pueden ser formul ados del cdi go bi nari o que se Ii sta en l a
Tabl a 9- 8.
Una organi zaci n del mi croprograma para control ar l a uni dad proce-
sadora se muestra en l a Fi gura 10-11. Esta ti ene una memori a de control
de 64 pal abras, con 26 bi ts por pal abra. Para sel ecci onar 64 pal abras se ne-
cesi t a una di r ecci n de 6 bi t s. Par a sel ecci onar 8 bi t s de condi ci n se nece-
si tan 3 l neas de sel ecci n para el mul ti pl exor. Un bi t de l a mi croi nstrucci n
sel ecci ona ent r e una di r ecci n ext er na y el campo de di r ecci n de l a mi cr o-
i nstrucci n. Sumando l os 16 bi ts para sel ecci onar l a mi crooperaci n en el
procesador se requi ere un total de 26 bi ts por cada mi croi nstrucci n.
La uni dad procesadora se i ncl uye en el di agrama para mostrar sus co-
nexi ones a l a uni dad de control del mi croprograma. Los pri meros 16 bi ts
sel ecci onan l a si gui ente di recci n
para el control del regi stro de di recci o-
nes. Los bi ts de condi ci n del
procesador se apl i can a l as entradas del mul -
ti pl exor. Se usan l os dos val ores normal y de compl emento excepto pal a el
bi t de sobrecapaci dad V. La entrada 0 del MUX 2 se conecta a una constan-
te bi nari a l a cual es si empre 1. La entrada de cal ga al CAr? se habi l i ta,
cuando esta entrada es sel ecci onada
por medi o de l os bi ts 18, 19 y 20 en l a
mi croi nstrucci n. Esto causa una trasferenci a de i nformaci n desde Ia sa-
447
http://libreria-universitaria.blogspot.com
Campo de
di r ecci n
I
a
Di ecci n
externa
I CC, Z Z ' SS' V
Bi ts de
condi ci n
l i da del MIJX r ar cAR. La entrada
ar cAR es una funci n
del bi t 1T de l a
mi croi nst rucci n.
si el bi t 1? es 1, el crn, u"i u.
i
"u"u
di recci n
de ra
mi croi nst rucci n.
si el bi t 1? es cero *. u. gu
una di recci n
ext erna ar CAR.
La di recci n
extena
es con. el propsi t
i ni "i u.
ur,;^;;;"
secuenci a
de
mi croi nstucci ones
que pueden
espi cl nca.s
por
l os componentes
externos.
El bi t de condi ci n (o
su
"ompl "e.,i o)
,ut"""i of;,
i l ,
Ui t. ta, tg, 20
de l a mi croi nstrucci n
puede
.". i guui ' u
1 0. La di recci n
de entrada
se
carga al cAr? si el bi t sel ecci onado-es
1, pero
er cAR." i .,"."-".,ta
si el bi t
sel ecci onado
es 0.
Para
construi r
mi croprogramas
correctos
es necesari o
especi fi car
exac-
tamente
cmo el bi t de condi ci n
es afectado po,
.uJu Li J.ooperaci n
e.,
el procesador.
Los bi ts S (si gno)
y Z-
(cero)
esi n
afectados por
todas l as
448
Memoi a de
cont r ol
64Y. 26
I
l 6
1 7
l 8
t 0
t 1
26
p q
: v
! ' ; : *
u ! ; !
o . ; !
c"
E
o 9
v o
Sel ecci n
tl
MUX ]
0t 23156.
Uni dad procesadora
(Fi gura
9-16
v
Tabl a 9- 8)
Sal i da
Fi gur a
l O- 11
Cont ol
del mi cr opr ogr ama
par a l a uni dad pr ocesador a
http://libreria-universitaria.blogspot.com
-5
s Ec . 10- 5 CONTROL DE LA UNI DAD PROCESADORA 49
operaci ones. Los bi ts C
(arrastre) y V (sobrecapaci dad) no cumbi an despus
de l as si gui entes operaci ones del ALU:
1. Las cuatro operaci ones OR, AND, OR-excl usi va
y compl emento.
2. Las operaci ones de i ncremento y decremento.
Para l as dems operaci ones, el bi t de arrastre del ALU va al bi t C del regi s-
tro de condi ci n. El bi t C se afecta tambi n despus de un despl azami ento
ci rcul ar con operaci n de arrastre.
Ej empl o de mi cr opr ogr ama
Se puede demostrar por medi o de un ej empl o, cmo se escri be un mi cropro-
grama para confi gurar una mi crooperaci n. Una mi crooperaci n i ni ci a una
secuenci a de mi croi nstrucci ones en Ia memori a de control . Esta secuenci a
consti tuye una ruti na de mi croprograma para ej ecutar l a macrooperaci n
especi fi cada. Una macrooperaci n se i ni ci a por una di recci n externa que
aporta l a pri mera di recci n en l a memori a de control para l a ruti na de mi -
croi nstrucci n. La ruti na se termi na con una mi croi nstrucci n que carga
una nueva di recci n externa para comenzar a ej ecutar l a si gui ente macro-
operaci n.
La macrooperaci n que se desea confi gurar cuenta el nmero de unos
al macenados actual mente en el regi stro procesador R1 y al i sta el regi stro
procesador
R2 con ese nmero. Por ejemplo, si 111
:
00110101, la rutina del
mi croprograma cuenta l os cuatro unos al macenados en el regi stro y col oca
en el regi stro R2 el nmero bi nari o 100.
Aunque el mi croprograma puede deduci rse di rectamente del enunci ado
del probl ema, sera conveni ente construi r un fl uj ograma que muestre Ia se-
cuenci a de mi crooperaci ones y vas de deci si n. El fl uj ograma para el mi -
croprogrma se muestra en l a Fi gura 10-12. Se asume que l a ruti na del
mi croprograma comi enza en l a di recci n 8. El regi stro R2
y el bi t C
(arras-
tre) se Il evan pri mero a 0. Se exami na entonces el conteni do de R1. Si ste
es 0 esto si gni fi ca que no hay unos al macenados en l ; de esta manera l a
ruti na del mi croprograma fi nal i za con R2 i gual a 0. Si el conteni do de R1
no es 0, el l o i ndi ca que hay al gunos unos al macenados en 1. El regi stro R1
conj untamente con el arrastre se despl aza de manera ci rcul ar cuantas ve-
ces sea necesari o hasta que se trasfi era un 1 a C. Por cada 1 que se detecta
en C, se i ncrementa el regi stro R2 y l uego se comprueba si /?1 es i gual a 0.
Est crcul o se repi te hasta que se hayan contado todos l os unos de R1. N-
tese que el val or de C es si empre 0 cuando se ci rcul a con 1o conteni do en R1.
La ruti na del mi croprograma en forma si mbl i ca se presenta en Ia Ta-
bl a 10-4. La ruti na comi enza en l a di recci n 8 borrando el regi stro R2. La
mi croi nstrucci n de l a di recci n 9 borra el bi t C y pone a uno el bi t Z si el
regi stro El conti ene sl o ceros. Esto se hace trasfi ri endo el conteni do de
R1 as mi smo a travs del ALU. La mi croi nstrucci n en l a di recci n 10 com-
prueba
l os, val ores del bi t Z. Si ste es 1, es un i nd-i ci o de que el regi stro R 1
conti ene sl o ceros
y l a ruti na se termi na aceptando una nueva di recci n
externa para
comenzar a ej ecutar otra macrooperaci n. Si Z no es i gual a
http://libreria-universitaria.blogspot.com
Comi enzo
( di ecci n
8)
ircular R1 con arrastre
Rl + R2 + l
Figura 10-12 Flujograma para contar el nmero de unos en el registro -81
1el cont r ol cont i na con I a di r ecci n 11. El despl azami ent o ci r cul ar a l a
derecha con arrastre (crc)
col oca el bi t menos si gni fi cati vo de Rl en c. Lue-
go se veri fi ca el val or de C. Si ste es cero el control regresa a l a di recci n
11 par a
ci r cul ar de nuevo hast a que
c se convi er t a en 1. cuando c: 1 el
control pasa a l a di recci n 13 para i ncrementar R2 y l uego regresar a l a
di recci n 9 para comprobar el conteni do de ft1 para cada uno de l os estados
cero.
El mi croprograma bi nari o se da en l a Tabl a 10-b. Los 16 bi ts para l a pa-
l abra de control que sel ecci onan l as mi crooperaci ones del procesador-
se
deducen de l a Tabl a 9-8. De hecho, l a mayora de l as pal abras
de control
l i stadas fueron expl i cadas en l a secci n 9-9 conj untamente con l a Tabl a
9-9. Los bi ts sel ectores del mul ti pl exor sel ecci onan l as entradas de l os dos
mul ti pl exores. El bi t 17 es 0 en l a di recci n 10 para sel ecci onar una di ec-
ci n externa. En l os dems casos es 1 para sel ecci onar el campo de di rec-
ci n de l a mi croi nstrucci n. cuando l os bi ts 18, 1g y 20 son 000 l a si gui ente
di recci n se determi na di rectamente del campo de di recci ones. Cuando
estos bi ts son 011, stos sel ecci onan el bi t Z para el MUX 2. Si Z: 1 se
4fr
http://libreria-universitaria.blogspot.com
u
Tabl a l o-4 Mi croprograma si mbl i co
para contar el nmeo de unos en Rl
Di recci n
de ROM Mi croi nstrucci n
Comentari os
8
9
t 0
R2+- 0
Rl <- Rl . c <- 0
Si
( Z: 1) ent onces
(va a la direccin externa)
Rl <- c r c Rl
Si
(C :
0) entonces
(va a 11)
R2<- R2 * l , v aa9
Borrar el contador rl2
Borrar C,
poner a 1 los bits de condicin
Acabar si R1
:
0
Ci rcul ar ft1a Ia derecha con arrastre
Ci rcul ar de nuevo si C
:-0
furastre: 1, i ncrementar R2
l l
t2
l 3
Tabl a 1O-5 Mi croprograma bi nari o para contar el nmero de unos en /?1
Conteni do del ROM
Di recci n
de ROM
Selector de microoPeracin
ABDFH
Sel ector
de MUX
Campo de
di recci n
26 2l 20 17
l 6
001000
001001
001010
00101I
001100
001l 0l
000 000
001 000
001 001
001 001
001 001
010 000
010 0000 0l l
001 0000 000
000 1000 000
001 1000 l0l
000 1000 000
010 0001 000
00 0
00 0
0l I
00 0
010
00 0
001001
001010
000000
001100
00101I
001001
t rasf i ere una di recci n ext erna al CAR' Si Z: 0 se i ncrement a el CARy l a
si gui ente di recci n ser l a si gui ente en l a secuenci a. La mi croi nstrucci n
urr"l u di r". . i n 12 sel ecci ona ei compl ement o
del bi t de arrast reo C' . Si C:
0, ent onces C' : 1y el campo de di recci n
(bi nari o 1011) set rasf i ere al CAR'
Si C
:
1, entonces C'
:
0 y el CAR se i ncrementa
para dar 13 para l a si gui en-
te di recci n.
El l ector fami l i ari zado con l a programaci n de mqui na o l enguaj e en-
sambl ado
para un computador, se dar cuenta
que escri bi r
programas es
muy si mi l r a escri bi r
programas de l enguaj e de mqui na
para un computa-
dor. fu, el concepto d" *i c.optograma es un procedi mi ento si stemti co
para di sl ar l a uni dad de contrl de un si stema di gi tal . Una vez
que se ha-
ya establ eci do el formato de l a mi croi nstrucci n,
se hace el di seo esci -
Li endo un mi croprograma, el cual es si mi l ar a escri bi r un programa para un
computador. Poi esia razn, el mtodo del microprograma
se refiere algunas
vece; como
fi rmware
para di sti ngui rl o del mtodo de l os materi al es
(hard-
ware o l l amado tambi n control de componentes conectados)
y el concepto
de software l o cual consti tuye un mtodo de
programaci n'
451
http://libreria-universitaria.blogspot.com
1O- 6
CONTROL A BASE DE COMPONENTES
CONECTADOS- EJEMPLO
2
El ej empl o presentado
en esta secci n dernuestra el desarrol l o de un segun-
do al gori tmo ari tmti co y de un mtodo di ferente para
di sear l a l gi c de
control . como en el ej empl o anteri or, se desarrol l a pri mero
el al gori ti ro, di -
seado conjuntamente
con la configuracin
de los materiales, pira la parte
procesadora
del si stema. Despus de que se haga esto se formul an l as es-
peci fi caci ones
de l a l gi ca de control dl si stemi .
La organi zaci n
del control escogi da para este ej empl o es el mtodo del
regi stro
de secuenci a y
del decodi fi cador.
En l a si gui eni e secci n se di sea
l a l gi ca de control por
medi o de un FLA. Este ej Empl o demuestra l a rel a-
ci n di recta que
exi ste entre el regi stro de secuenci a y
el too del deco-
di fi cador y su correspondi ente
coni i guraci n
de contrl
pLA-
Enunci ado
del pr obl ema
se desea di sear un ci rcui to ari tmti co que
mul ti pl i que
dos nmeros bi na-
rios de_ puto
fijo representados
en la forma signt-magnitud.
El producto
obteni do de l a rnul ti pl i caci n
de dos nmeros i i ".i i * ."vas magni tudes
consi sten-de
bi ts, podra
tener hasta 2ft bi ts. El si gno e cada*nmero
ocupa un bi t adi ci onal .
.
La mul ti pl i caci n
de dos nmeros bi nari os de punto fi j o representados
en l a forma si gno-magni tud
se hace con rpi z y papt
meate sumas suce-
si vas. y
despl azami entos.
Este proceso
.u i l rr.l . u ,rrru *"o, -"r,"ra con
un ej empl o numri co.
sea l a mul ti pl i caci n
de l os dos ,r,i -"ro, bi nari os
10111 y
10011:
23
l 9
mul ti pl i cando
mul ti pl i cador
10111
10011
10111
10111
00000 +
00000
10111
437
I l 0l l 0l 0t producto
-
Este proceso
consi ste en obsevar sucesi vamente l os bi ts del mul ti pl i -
cador con el bi t menos si gni fi cati vo
en pri mer
l ugar. si el bi t del mul ti pl i -
cador es 1 se copi a el mul ti pl i cando;
de l o conti ari o se copi an sl o ceros.
Los nmeos escritos en lneas sucesivas se desplazan ,.n" posicin
a la
i zqui erda
del nmero previ o.
Fi nal mente,
r" ag.eg"n l os nmeros y su suma
forma el producto.
452
http://libreria-universitaria.blogspot.com
f
SEC. 10. 6 CONTROL A BASE DE COMPONENTES CONECTADOS- EJEMPLO 2 453
El si gno del producto se determi na de l os si gnos del mul ti pl i cando
y
mul ti pl i cador. Si son i gual es el si gno del producto ser ms. Si son di feren-
tes el si gno del producto ser menos.
Cuando el proceso anteri or se hace en una mqui na di gi tal es conve-
ni ente cambi ar un poco el proceso. Pri mero, en vez de tener ci rcui tos di gi -
tal es que al macenen y sumen si mul tneamente tantos nmeros bi nari os
como unos haya en el mul ti pl i cador, es conveni ente tener ci rcui tos para Ia
suma de dos nmeros bi nari os ni camente y sucesi vamente acumul ar l os
productos parci al es en el regi stro. Segundo, en vez de despl azar el mul ti pl i -
cando a l a i zqui erda, el producto parci al se despl aza a l a derecha l o cual da
como resul tado el col ocar al producto parci al y al mul ti pl i cando en l as posi -
ci ones rel ati vas requeri das. Tercero, cuando el bi t correspondi ente del
mul ti pl i cador es 0, no hay necesi dad de agregar ceros al producto parci al
ya que no se al terar su val or. El ej empl o numri co previ o se repi te aqu pa-
ra cl ari fi car el proceso de mul ti pl i caci n propuesto:
mul t i pl i cando:
mul ti pl i cador:
1er . bi t mul t i pl i cador : 1, copi ar el mul t i pl i cando
despl azami ento a l a derecha para obtener el pri mer
producto parci al
2o. bi t mul t i pl i cador : 1, copi ar el mul t i pl i cando
agregar el mul ti pl i cando al producto parci al previ o
despl azami ento a l a derecha para obtener el segundo
producto parci al
3er. bi t mul ti pl i cador: 0, despl azami ento a l a derecha
para obtener el tercer producto parci al
4o. bi t mul t i pl i cador : 0, despl azami ent o a l a der ech,
para obtener el cuarto producto parci al
5o. bi t r nul t i pl i cador : 1, copi ar el mul t i pl i cando
l 0l l l
l 00l I
l 0l l l
0101I I
l 0l l l
l 000l 0l
1000101
0l 000l ci
001000101
l 0l l l
agregar el mul ti pl i cando al producto parci al previ o
despl azami ento a l a derecha para obtener el 5o. producto
parci al
:
producto fi nal
l l 0l l 0l 0l
0l l 0l 10101
Conf i gur aci n del equi po
La confi guraci n del regi stro para el mul ti pl i cador bi nari o se muestra en l a
Fi gura 10-13. El mul ti pl i cando se al macena en el regi stro B, el mul ti pl i cador
se al macena en el regi stro
Q
y el producto parci al se forma en el regi stro A.
El si gno del mul ti pl i cando est en 8., el si gno del mul ti pl i cador est en
Q,
y
el si gno del producto se forma en 4.. El fl i p-fl op E al macena el arras-
tre de sal i da despus de l a adi ci n de B y A. Los dos nmeros que se van a
mul ti pl i car consi sten de n bi ts. Uno de estos bi ts conti ene el si gno y l os res-
tantes k: n
-
1 conti enen Ia magni tud del nmero. El contador P se prepa-
http://libreria-universitaria.blogspot.com
i pl i cando
Registro
B
Registro A
Producto
Figura
1O-13 Registros para
el multiplicador binaio
ra con una cuent a o nmero bi nari o i gual al nmero de bi t s en l a magni t ud
del mul ti pl i cador. Este contador se decrementa despus de l a formacl n de
cada nuevo producto parci al .
Cuando el conteni do del contador l l egue a ce-
ro, el producto
estar formado en l os regi stros A
v Q
y se ruspettder el
proceso.
La l gi ca de control permanece
en su estado i ni ci al hasta que l a vari a-
bl e q,,
se convi erta en 1. El control real i zar entonces l a mu^l ti pl i caci n.
La suma de A y B forma un producto parci al que
se trasfi ere a .
-si
hay un
arrastre producto
de l a suma, se trasfi ere a E. El producto parci al
en A y
el mul ti pl i cador en
Q
son ambos despl azados a l a erechu. nu u", qu"
.L
haya real i zado el despl azami ento a l a derecha de A y
e,
se trasfi ere un bi t
del producto parci al
a
Q
mi entras que
se despl azatt Io.-ti t. de
e
una posi -
ci n a l a derecha. De esta manera el bi t de l a extrema derecha el regi stro
Q,
desi gnado como
Q, ,
al macena si empre er bi t del mul t i pl i cador, ef cual
debe ser i nspecci onado
en segui da.
Der i vaci n del al gor i t mo
El fl uj ograma
del mul ti pl i cador bi nari o se muestra en l a Fi gura 10-14. Ini -
ci al mente-el mul ti pl i cando_est
_en
B y el mul ti pl i cador eri e. sus si gnos
correspondi entes
estn en B, y
8".
El proceso
d mul ti pl i caci n se i n-=i ci a
cuando
e^: r. Se
comparan l os dos s mbol os por medi o de una compuert a
oR-excl usi va. Si l os dos si gnos son i gual es l a operaci n con l a oR-excl usi va
produce
un 0 el cual se trasfiere a A,, para dr un ms para el producto.
Si l os si gnos son di ferentes se trasfi ere un 1 a A", para
dr un si gno nega-
ti vo para el producto.
Los regi stros A y E se borran y
el contador de secun-
ci a P se l l eva a un nmero bi nari o A, el cual es i gual al nmero de bi ts en el
mul ti pl i cador.
En segui da se cae en un bucl e que
conti na formando l os productos
parci al es.
Se comprueba el bi t mul ti pl i cador en
e,
y si se encuetra i gual
a 1 se agrega el mul ti pl i cando en B al producto parci al presente
en A. Cual -
qui er
arrastre de l a adi ci n se trasfi ere a E. El product parci al
e n A se dej a
si n cambi ar si
Qr
:0.
se i ncrementa el contador
p
i ndependi entemente
dl l
4g
Mul t
tr
f pl l uur, i ori -0,
I I cador
t
t ""-"""o I
q, (Mul t i pl i car)
{
http://libreria-universitaria.blogspot.com
Est ado i ni ci al
A " - B - q O^
1
- 0, E - 0
P- k
A - A + . B , Cu u r + -
P - P - l
AQ- s h r EAQ, E+ 0
Figura lO-14 Flujograma para un multiplicador binario
val or de
Q1.
Los regi st ros A,
Qy
E de despl azan una vezal a derecha para
obtener el nuevo producto parci al . Esta operaci n de despl azami ento se si m-
bol i za en el fl uj ograma en forma compacta
por medi o de l a proposi ci n:
AQ * shr EAQ, E <*0
EAQ es un regi stro compuesto, hecho de l os regi stros E, A y
Q.
Si se usan
smbol os i ndi vi dual es
para l os regi stros, se puede descri bi r l a operaci n de
despl azami ento
por medi o de l as si gui entes mi crooperaci ones:
I <-- shr A,
Q.
<- shr
Q,
A <- E,
Q*
- A, E <- 0
Ambos regi stros A
V Q
se despl azan a l a derecha. La posi ci n de l a extrema
i zqui erda de A desi gnada como 4,, reci be el arrastre de E. El bi t de l a ex-
trema i zqui erda de
Q

Qo
reci be el bi t que est en l a posi ci n de l a extre-
ma derecha de A en A1 y se borra E. Se trata entonces de un despl aza-
mi ento l argo del regi stro compuesto EAQ con un 0 col ocado en l a posi ci n
de l a extrema i zqui erda l ocal i zada en E.
455
http://libreria-universitaria.blogspot.com
456 DI SEO DE LOGI CA DE CONTROL
CAP. 10
El val or del contador P se comprueba despus de l a formaci n de cada
producto parci al .
Si P no es cero, se repi te el proceso y se forma un nuevo
producto parci al . El proceso fi nal i za despus dl produl to parci al A cuando
P: 0. Nt ese que el pr oduct o par ci al
f or mado
" . ,
A r " despl aza a
Q
bi t a
bi t para eventual merte rempl azar
el mul ti pl i cador.
El prodcto
fi nal estar
di sponi bi e en A y
Q
con A conteni endo l oi bi ts ms si gni fi cati vos y
e
l os
menos si gni fi cati vos. El si gno del producto
estar en A"".
Especi f i caci ones
del cont r ol
El al gori tmo di seado
-dado
en el fl uj ograma puede especi fi carse ms preci -
samente por medi o del di agrama de estado y una l i sta de operaci ones de
trasferenci a entre regi stros. Se ha hecho menci n previ amente
al hecho de
que l a conversi n del fl uj ograma al di agrama de esi ado no es ni ca. El fl u-
j ograma
debe consi derarse como una formul aci n prel i mi nar
del al gori tmo.
El di agrama de c<l ntrol de estado, conj untamente
con su l i sta de ml croope-.
raci ones, es ms preci so ya que toma en consi deraci n l as restri cci ones
de l os componentes del si stema.
La secuenci a de control de l as operaci ones se defi ne en l a Fi gura 10-1b.
El control ti ene cuatro estados y l as operaci ones de trasferenci a entre re-
gi stros
de cada estado se l i stan a conti nuaci n del di agrama de estado. El
control permanece
en un estado i ni ci al ?,, hasta
eu
go, se convi erta en
1. Pasar l uego al estado ? para i ni ci al i zar l os regi stroi ,, n y
p
y for-
ma- r el si gno del pr oduct o.
El cont r ol pasa l uego al l st ado r , . E" . . i " r . -
tado se decrementa el regi stro P y el conteni do e B se agrega a A si
e,
:
1,
d
qr . Mul t i pl i car
P ,
=
l s t P = 0
e , = Os i f + O
(a)
Di agrama de estado
Tr . Est ado i ni ci al
T t : l r - 8 , Qs , A + 0 . - 0 . 1 , - k
Qt T 2 :
A * A + B , f
' - Co u ,
T . : P - P - 1
T t - 4 0 - s h r E A Q. t - + 0 -
(b)
Secuenci a de trasferenci as
del regi stro
Fi gur a l O- 15 Di agr ama de est ado de cont r ol y secuenci a
de mi cr ooper aci ones
del mul t i pl i cador
Pr = 0
http://libreria-universitaria.blogspot.com
E
SEC. 1O- 6 CONTROL A BASE DE COMPONENTES CONECTADOS- EJEMPLO 2 457
de l o contrari o A se dei a si n cambi ar. Las dos funci ones de control en el
ti empo ?, son:
Qt Tz :
A<- A+B, E- Co, ,
Tz : P<- P- l
La segunda proposi ci n se ej ecuta si empre, cuando Tz
:1,.
La pri mera pro-
posi ci n se ej ecut a en el t i empo ?2 sol ament e si
Q,
-
1. As , sepuede i ncl ui r
una vari abl e de condi ci n
(Q,
en este caso) con una vari abl e de ti empo
para formar una funci n de control . Ntese que es conveni ente decrementar
P en el estado 7r, de manera que su nuevo val or se pueda
comprobar en el
est ado ? .
El control pasar a ?,3 despus de ?2. En el estado ?3 el regi stro
compuesto EAQ se despl aza a l a derecha y el conteni do de P se comprueba
para buscar ceros. La vari abl e bi nari a P. es 1 si el regi stro P conti ene sl o
ceros, de l o contrari o P. es cero. Si P.
:
1 se termi na l a operaci n y el con-
trol pasa al estado i ni ci al . Si

-
0 el control pasa al estado 7' 2 para for-
mar el nuevo producto parci al . Ntese que P se refi ere al conteni do del re-
gi stro mi entras que P. es l a vari abl e bi nari a.
Especi f i caci n del pr ocesador
de dat os
La parte del procesador
de datos del si stema puede
deri varse de l a l i sta de
mi crooperaci ones de l a Fi gura 10-15(b). Un di agrama de bl oque del proce-
sador de datos se muestra en l a Fi gura 10-16. Un sumador en paral el o se
agrega entre l os regi stros A y B para formar l a suma, l a cual es trasferi da
al regi stro A. El si gno del producto se forma medi ante una compuerta OR-
excl usi va y l a vari abl e bi nari a P. se genera con una compuerta NOR. Las
sal i das de l a l gi ca de control i ni ci an l as mi crooperaci ones para el procesa-
dor de datos. La vari abl e T, carga el si gno del producto a A. y el nmero
h a P y borra l os regi stros A y E' . La vari abl e ?2 decrementa el regi stro P
y si
Qr
: 1se
gener a l a var i abl e, L, l a cual car ga l a suma del sumador en pa-
ral el o a A y E. La vari abl e ?3 despl aza A
V Q
a l a derecha y borra E. La
vari abl e ?o no ti ene efecto sobre el procesador de datos ya que sol amente
i ndi ca que el si st ema est en su est ado i ni ci al .
Las entradas a l a l gi ca de control son l as seal es externas q- y l as
dos condi ci ones de st at us P, y
Qr .
Las sal i das son Tr , Tr , L y
Tr . Aun-
que no se muestran en el di agrama, estas sal i das deben estar conectadas
a l as correspondi entes entradas en el procesador de datos. La compuerta
AND que genera la variable .L se muestra separadamente, aunque sta sea
parte de la lgica de control.
Di seo del ci rcui t o de cont rol
La l gi ca de control para el mul ti pl i cador bi nari o se especi fi ca en el di agra-
ma de estado de l a Fi gura 10-15. El di agrama de estado ti ene cuatro esta-
dos y dos entradas. Se necesi tan dos fl i p-fl ops y un decodi fi cador de 2 x 4
http://libreria-universitaria.blogspot.com
DI SEO DE LOGI CA DE CONTROI
cAP. 10
para confi gurarl o por medi o del regi stro de secuenci a y el mtodo dei deco-
di fi cador. Aunque ste es un ej empl o senci l l o, el procedi mi ento
enunci ado
a cont i nuaci n se apl i ca a si t uaci ones ms compl i cadas de l a mi sma f or ma.
Se comi enza con l a t abl a de exci t aci n del ci r cui t o secuenci al dado en
l a Fi gur a 10- 17( a) . La par t e de I a t abl a de est ado se obt i ene di r ect ament e
del di agrama de estado. Las condi ci ones de entrada de l os fl i p-fl ops son pa-
ra dos fl i p-fl ops Jl ( demarcadas G, y Gr. Ntese que l a tabl a de exci taci n
ti ene entradas.de no i mporta en l a mayora de l as entadas. Ntese tambi n
que un estado presente se l i sta ms de una vez si ste ti ene dos o ms
condi ci ones de estado si gui ente. Las vari abl es To hasta T3 se l i stan
conj untamente con l as vari abl es bi nari as para i denti fi caci n. Las exci ta-
ci ones de entrada a l os fl i p-fl ops se obti enen di rectamente a parti r
de Ia
tabl a de exci taci n del fl i p-fl opJIl como se muestra en l a Tabl a G-8(b).
EI ci rcui to secuenci al puede ser di seado de l a tabl a de exci taci n por
medi o de un procedi mi ento
cl si co. Este ej empl o ti ene un pequeo nmero
de estados y entradas; en l a mayora de apl i caci ones de l gi ca de control ,
el nmero de estados y entradas es mucho ma!' or. La apl i caci n del mtodo
cl si co requi ere un canti dad excesi va de trabaj o para obtener l as funci ones
de entradas si mpl i fi cadas para l os fl i p-fl ops. El di seo puede ser si mpl i fi ca-
do si se ti ene en consi deraci n el hecho de que l as sal i das del decodi fi cador
estn di sponi bl es para
ser usados en el di seo. En vez de usar l as sal i das
de l os fl i p-fl ops como condi ci ones de estado presente, se podra usar Ias sa-
l i das del decodi fi cador para entregar esta i nformaci n. Si l as sal i das del
decodi f i cador se desi gnan por medi o de l as var i abl es To, 7, , ?2, T3 es-
tas vari abl es pueden
ser usadas para sumi ni strar l as condi ci ones de estado
presente
del ci rcui to.
Se podra
deci di r el obtener estas funci ones di rectamente de l a tabl a
de exci taci n, en vez de usar l os mapas para l a si mpl i fi caci n de l as funci o-
nes de entrada de l os fl i p-fl ops. Aunque puede que no se l ogre un ci rcui to
mi ni mi zado, el posi bl e
desperdi ci o de al grnas compuertas se recompensa
con el ti empo ahorrado. Por ej empl o, de l a tabl a de exci taci n se nota que
l a entrada J de G2 (desi gnada
como JG2 en l a tabl a) debe reci bi r un 1
bi nar i o sol ament e cuando l a sal i da pr esent e
del decodi f i cador es Tr . La
entrada K de G debe reci bi r un l -cuando l a sal i da del decodi fi cad-or es
T3 si empre y cuando P,
:
l . Estas observaci ones pueden escri bi rse en
forma al gebrai ca como:
J Gr : 7
KG, : T' P,
En todos l os dems casos, ambas entradas J y K de G2 reci bi rn un 0 y el
estado del flip-flop no cambiar. Esto es acepta[le porque todas las dems
entradas debaj o de JG y KG ti enen ceros o X de no i mporta.
De manera si mi l ar, es posi bl e deri var l as funci ones de entrada del fl i p-
fl op para Gr por i mposi ci n a parti r de l a tabl a de exci taci n. Las funci o-
nes de entrada as obteni das son:
J Gr - Toq^ * T,
KGr : 1
http://libreria-universitaria.blogspot.com
!
SEC. 10. 6 CONTROL A BASE DE COMPONENTES CONECTADOS- EJEMPLO 2 459
La raz6n para que KG1 sea si empre 1 es que todas l as entradas en Ia tabl a
para esta vari abl e de entrada son 1 X.
No se puede estar seguro de que l as funci ones hayan si do si mpl i fi cadas
de l a mej or manera posi bl e cuando se deri van l as funci ones de entrada por
i nspecci ones, parti endo de l a tabl a de exci taci n. Por esta razn se debera
anal i zar si empre el ci rcui to para asegurar que l as ecuaci ones deri vadas pro-
ducen en efecto l as dos transi ci ones de estado requeri das de l a manera es-
peci fi cada en l a tabl a de estado.
El di agrama l gi co de l a l gi ca de control se di buj a en l a Fi gura 10-17
(c).
Este consi ste de dos fl i p-fl ops G, y G y un decodi fi cador. Las sal i -
das del decodi fi cador se usan para obtener el si gui ente estado del ci rcui to
de acuerdo a l as funci ones de Bool e l i stadas en l a Fi gura 10-17(b). Las sal i -
das del control ador deben estar conectadas a l a parte del procesador de
datos del si stema de l a manera mostrada en l a Fi zura 10-16.
?,
(Decrementar)
?r
( Car ga)
Borrar
?r
(Borrar)
I
(Cargar suma)
13 (D.esplazamiento derecha)
Q1T2
=
L
Lgi ca
de control
Fi gur a 1O- 16 Pr ocesado de dat os par a un mul t i pl i cador bi nar i o
http://libreria-universitaria.blogspot.com
t o
Tl
T2
T3
T3
Estado
presente
Entradas
Estado
si gui ente
Entradas del flip-flop
G2 Gl
Q^
P, G2 Gl KGz JGz KGt JGt
00
00
0l
l 0
l l
l l
OX
I X
XX
XX
XO
XI
00
0l
l 0
l l
l 0
00
OX
OX
I X
XO
XO
XI
OX
I X
XI
I X
XI
XI
(a)
Tabl a de exci t aci n
JG2: T1 KG2: T3P"
JG1
:
Tuq^ * T2 KGr: 1
(b)
Funciones de entrada del flip-flop
(c)
Di agrama l gi co
Fi gura 1O-17 Di seo del cont rol para el mul t i pl i cador bi nari o
T
r l
T2
T
L
4@
http://libreria-universitaria.blogspot.com
1O- 7 CONTROL DEL PLA
se ha vi sto de l os dos ej empl os
presentados en este captul o
que el crs.r
de un ci cui to de control es esenci al mente un probl ema de di seo de i c' g' -
ca secuenci al . En l a Secci n 7- 2se most r
que un ci r cui t o secuenci al
puede
ser construi do medi ante un regi stro conectado a un ci rcui to combi naci onal '
En Ia Secci n 5-8 se i nvesti g el arregl o l gi co
programabl e
y se demostr
que puede usarse
para confi gurar cual qui er ci rcui to combi naci onal .
Es po-
sl bl e ento.rces di sar un ci rcui to de control con un regi stro conectado a
un PLA si mpl emente rempl azando
el ci rcui to combi naci onal
con el PLA' El
regi stro opera como un rgi stro de secuenci a
que determi na el estado del
co"ntrol . Ot
pt
, ," p.ogrui oa para sumi ni strar Ias sal i das de control
y del
estado si gui ente
para dar secuenci a al regi stro'
El di seo de una uni dad de control con un PLA es muy si mi l ar al di se-
o que usa Ios mtodos de regi stro de secuenci a
y decodi fi cador.
De hecho,
el regi stro de secuenci u
"t
u--bo. mtodos es el mi smo. La di ferenci a de
los rtodos es la forma en
que se configura la parte lgica combinacional
del control . El PLA rempl az esenci al mnte
el decodi fi cador
y otros ci rcui -
tos l gi cos de deci si n
necesari os
para l a confi guraci n
d_e l os componentes'
L" or gani zaci n
i nt er na det t A se
pr esent - en l - a, secci n 5- 8. Se de-
mostr tambi n en di cha secci n cmo btener l a tabl a del
programa del
PLA. Se advi erte al l ector
que sera conveni ente
repasar di cha secci n
para
asegurar
que se enti ende ef si gni fi cado de Ia tabl a de
programa del PLA'
Los* cami nos i nternos dentro aet
pl n
son "programados" de acuerdo a l as
especi fi caci ones
dadas en l a tabl a de programa'
Bl di seo de un control PLA requi el e
que se obtenga l a tabl a de estado
del ci rcui to. El mtodo del PLA debe usarse si Ia tabl a de estado conti ene
muchas entradas de no i mporta, de l o contrari o es ms ventaj oso usar una
ROM en vez de un PLA. La tabl a de estado da esenci al mente toda Ia i n-
i ormaci n requeri da
para obtener l a tabl a de programa del PLA
(o l a tabl a
de ver dad de l a ROM) .
Tabl a 1O-6 Tabl a de estado para el ci cui to de control
Estado
presente Entradas
Estado
si gui ente
Sal i das
G2 Gl
4^
P"
Qt
G2 Gl ToTt T2LT3
OOOXX
OOI XX
OI XXX
I OXXO
I OXXI
I I XOX
I I XI X
0
0
010000
110000
001000
100100
l 00l l 0
0 0 0 001
0 0 0 001
461
http://libreria-universitaria.blogspot.com
462
D, SE\ O DE LOGI CA DE CONTROL
CAP. 10
Para ci e' i ostrar
el procedi mi ento
con un ej empl o,
consi drese
el ci rcui _
t o de c, , nr r oi par a
el mul t i pl i cador
bi nar i o pr ur " . r i J; ; ; - l "
secci n pr evi a.
Las especr f i caci ones. de. cont r ol
par a
el *r i t l pr i . uoi
i . r ur i o se dan en l a
Ltryl: l0.P;
Arartir
de esta informacin
r"
^obti".,"
ru lulu de esrado de
la lacra -tu-b. il estado presente
se determina
a partir
de los flip_ftoo.
""
1' G- Las var i abl es
de ent r ada par a
er ci r cui t o a"
" " nt r " l
son q, , ,
p,
y
Q, .
El si gui ent e
est ado. dq
G,
- v.
C, puede
ser una f unci n
de una de
l as e.ntradas o puerl e-ser
i ndepend"i r"t"
a" cual qui er
entrada.
Si una vari -
o^e de entrada no i nfl uye
en l estado si gui ente,
se marca con una X de no
j mporta'
Si ei si gui ente
estado es una funci n
a"
"*".rtrada
parti cul ar,
el
estado presente
se repite
en la tabla y
a los
".t"io,
,isu-i;es
se les asignan
di ferentes
val ores bi nari os.
La tabl a l i sta tambi n
todas l as sal i das de con-
trol como una funci n
del estado presente
y
de l as condi ci ones
de entrada.
Ntese que l a entrada
Qr
no afeci a al si gui ente
estado si no soramente
de-
termi na
el val or de l a sal i da .L cuando t sati a-i f
".
l suur u r.
El di agrama
de bl oque
del control
pLA
se *"tru .' ., tu ri gu.a
10_1g
(a).
El PLA se conecta
l regi stro
de secuenci a
con dos fl i p_fl ops
Gt y
G.
Las entradas
al PLA son l oJvarores
del estado presente
der regi stro
de se-
cuenci a y
l as tres entadas
externas.
Las sal i das' d;i
pi A;eneran
el estado
si gui ente para
el regi stro
de secuenci a y
i as vari abl es
"-ri au de control .
El estado presente_del
regi stro
de secunci u,
"o.r.r.1";;;;"
con l as condi _
ci ones de entrada determi nan
en un ti empo dado-l os val ores
de sal i da y del
i:*i:",:: "j:ig:::*
el registro
de seculncia.
El sisui".,1" p,rr.o
de reloj
l nl cl a l as ml crooperaci ones
especi fi cadas
por l as sal i ds y
trasfi ere el esta_
do si gui ente
a.l rbgi stro
a" ruu"t
";.-E;;,;-rrr-1."
"i
nuevo estado de
control y posi bl es
val ores
de entrada
di ferentes.
Ci ;l i i n actri a como l a
parte
de l gi ca combi naci onal
de ,rr,
"i r",ri t
;;;;;"l l uru
".,t."gar
l as
sa^l i das
de contror y
l os val ores
del si gui ente
".t"J"
"i ,"!i rt.o
de secuen_
cra.
un PLA se especi fi ca por
medi o der nmero
de entradas,
el nmero
de
trmi nos
de prrducto
y
el nmero
de sal i das.
prr;;rt"-;;;
.. ti enen
ci nco
entradas
v
si ete sal i das.
El nmero
ae tri "o,
;;;r;
es una fnci n
ri el ci rcui to que
se desea confi gurar.
--
La t abl a de orograma del
pLA
se puede
obt ener
di rect ament e
a part i r
de l a t abl a de ei t ao ri "
"""u. i a-; i ; f
procedi mi ent os
de si mpl i f i caci n.
La tabl a de programa
der
pLA
.n i u ri gu.a
10-1g(b)
especi fi ca
si ete tmi _
nos producto,
uno para
cada fi l a en l a Jabl a d, ;t;J;:;os
termi nares
de
entrada y
sal i da se marcan con nmeros y
ras vari abl es
apl i cadas
a esas
termi nal es
numeradas
se i ndi can
en el di g;";;-;;.
Los comenta_
ri os no consti tuyen parte.
de l a tabra pero
se i ncl .ry"n pur
""l araci ones.
De acuerdo
a l as regl as
establ eci as
en l a secci n' -g
Jna conexi n pa_
ra un cami no de
pl ,A.se
i ndi ca por
medi o a"
""
gui ;
i j l l "
ta tabl a. Las
X en l a tabl a de es!a!9
desi gnan
l us co"di ci o"".' .
,,o' i *pi rta y no i mpl i -
can conexi n para
el
pLA.
Los 0 en l as corumna,
a. ."l i u' i "di can
tambi n
no conexi ones
a l as compuertas
oR dentro del
pLA.
Lu *u"rri n
de ra ta_
bl a de estado
a una tabl de program"
!r
pi e;;*-.,"rol u,
L". X de l as
col umnas
de entrada y l os 0 " i . col .r*r,as
de sari d r"
"-uu.,
a gui ones
y
l as dems entradas permanecen
i gual es.
Ntese

l ,
""tradas
ar
pLA
son l as mi smas que
l as del presente"estado
y tu,
".,trua;;;
l a tabl a de es_
{
http://libreria-universitaria.blogspot.com
..----'!
I
(a) Di agrama de bi oque
(b) Tabl a del programa PLA
Fi gur a 1O- 18 Cont r ol del PLA par a un mul t i pl i cador bi nar i o
tado. Las sal i das del PLA son l as mi smas que l as del si gui ente estado y l as
sal i das de l a tabl a de estado.
El procedi mi ento para di sear l a l gi ca de control con un PLA debe ser
evi dente a parti r de este ej empl o. De l as especi fi caci ones del si stema se ob-
ti ene pri mero l a tabl a de cstado para el control ador. El nmero de estados
determi na el nmero de fl i p-fl ops para el regi stro de secuenci a. El PLA se
conecta al regi stro de secuenci a y a l as vari abl es de entrada y sal i da. La
tabl a del programa del PLA se obti ene di rectamente de Ia tabl a de estado.
La uni dad PLA en un control PLA puede vi sual i zarse como una memo-
ri a de control que al macena i nformaci n de control para el si stema. Las sa-
l i das del regi stro de secuenci a conj untamente con l as entradas externas,
podran
consi derarse como una di recci n para tal memori a de control . Las
sal i das sumi ni stran una pal abra de control para el procesador de datos y
l a i nformaci n del estado si gui ente especi fi ca un val or parci al para l a si -
gui ente di recci n en Ia memori a de control . Desde este punto de vi sta un
control PLA puede cl asi fi carse como una uni dad de control de mi croprogra-
ma con el PLA rempl azando l a ROM para l a memori a de control . Si n embar-
Trmi no
del producto
Entradas
t 2345
Sal i das
| 23 4 5 6 7
Comentari os
I
2
4
5
6
7
000-
001-
0l
l 0 0
l 0 I
l l - 0
I l * l
l -
- l
I
-
l -
-
I
l l I
-
l l I I
l - I
I
To: l ,
4^ : 0
To: l , q^ : I
Tt : l
Tz : r ,
Qt : 0
Tz : 1. L: 1.
Qt : l
Tt : l , P, : 0
Tt : I ' P, : I
http://libreria-universitaria.blogspot.com
4& DI SEO DE LOGI CA DE CONTROL
go,
la organrzacin
de los dos mtodos es diferente aunque hay
canti dad de si mi l i tud entre el
pLA
y l os mtodos de control del
grama.
cAP. 10
una ci erta
mi cropro-
Los ej empl os ce controi i ntroduci dos
en este captul o demuestran
cua-
tro mtodos de di seo de l gi ca de control . Estos n deberi an consi derarse
Ios ni cos mtodos posi bl es.
un di seador recursi vo
eue ,e. capaz de for-
mul ar una confi guraci n
de co_ntrol para
adaptarse a una apl i cati n pu.l i
cul ar._Esta
confi guraci n puede
"o.rri rti .
de una combi naci n
de mtodos
o puede
consti tui r una organi zaci n
de contol di ferente de l os qrre .e hu.,
presentado
aqu.
El di seo de i a l gi ca de control para
un computador
di gi tal si gue del
Tfmo
procedi mi ento
que
se enunci a n este captul o. El papel
del control
del mi croprograma
en l a organi zaci n
de un computador
de propsi to gene-
ral se presenta
en l a si gui ente secci n.
El capt' J- i i -p.".""ta
un di seo
detal l ado
de un computador
di gi tal y
muestra cmo confi gurar su uni dad
de control por
medi o del mtodo de cnexi n
a" .o*po""l l ' .,
"l
mtodo del
PLA y
el mtodo del mi croprograma.
1O- 8
SECUENCI ADOR
DEL MI CROPROGRAMA
una uni dad de control de.mi croprograma
debe vi sual i zarse
como compues-
ta-de dos partes:
l a memori a de cnti ol que
al macena
l as mi croi nstrucci ones
y
l os ci rcui tos asoci ados que
control an
i a generaci ;
d" i a srgui ente
di rec_
c.i n._ La parte
de generaci n
de di recci n , l l u*u ul gu.rug, ue" es secuenci a_
dor de mi croprograma
9n
vi sta de que
da l a secuenci i - Jul ". mi croi nstruc_
ci ones en l a memori a de control . un secuenci ador
de mi croprograma puede
construi se
con ci rcui tos MSI para
adaptarse
a una apl i caci n parti cur.
Si n-embargo,
de l a mi sma -utre.u que
se encuentran
di sponi bl es en cI l as
uni dades procesadoras
para propsi ts
general es,
se encuntran l os cI para
los secuenciadores patu propsito. gen"er"l",
u""rru;, p"." la construc_
ci n de l as uni dades de c-ontrol del ri i croprograma.
para
garanti zar
un am-
pl i o
rango. de aceptabi l i dad
un secuenci ador
de cI debe sumi ni strar
una
organi zaci n
i nterna que pueda
adaptarse
a un ampl i o ,u"go-Jr-"Jri .""i "l
n es. *
un secuenci ador
de mi croprograma
uni do a l a memori a de control i ns-
pecci ona
ci ertos bi ts de l a mi croi nstrucci n,
de l os cual es se determi na l a
srgui ente
di recci n para
el control de l a memoi a.
un secuenci ador
tpi co pre_
senta i as si gui entes
caractersti cas
de secuenci mi ento
de di recci ones:
1. l ncrementa
l a di recci n presente para
l a memori a de control .
2' Se rami fi ca a una di ecci n como se especi fi ca en el campo de di rec-
ci n de Ia mi croi nstrucci n.
3. se r ami f i ca a una di r ecci n
dada, si el bi t de condi ci n especi f i cado
es i gual a l .
*Al gunos
secuenci ador es
comer ci al es son CI t i po
g
X 02 ( Si gnet i cs)
940g ( Fai chi l d)
y 2910
( Advanced
Mi c o Devi ces r .
http://libreria-universitaria.blogspot.com
-
a
sEc. 10- 8 SECUENCI ADOR DEL MI CROPROGRAMA
465
Trasfi ere el control a una nueva di recci n de l a manera especi fi cada
por una fuente externa.
Ti ene l a faci l i dad para hacer subruti nas con l l amadas
y
etornos.
En la mayora de los casos se leen las microinstrucciones
de la memo-
ri a de control en sucesi n. Este ti po de secuenci a puede l ograrse fci l mente
i ncrementando el regi stro de di recci n de l a memori a de control . En al gunos
formatos de mi croi nstrucci n, cada mi croi nstrucci n conti ene un cmpo
de di recci n an para di recci ones secuenci al es. Esto el i mi na Ia necesi dd
de i ncrementar el regi stro de di recci n de l a memori a de control porque el
campo de di recci n di sponi bl e en cada mi croi nstrucci n especi fi ca l a di -
recci n de l a si gui ente mi croi nstrucci n. En cada caso, se debe dej ar l a
al ternati va de rami fi carse a una di recci n que est por fuera de l a secuen-
ci a nor mal .
El control debe trasferi rse de vez en cuando a una mi croi nstrucci n
no secuenci al , de manera que
el secuenci ador debe sumi ni strar l a capaci -
dad de rami fi carse a cual qui era de l as dos di recci ones dependi endo e si
el bi t de condi ci n es 0 1. La manera ms senci l l a de l ograr l o anteri or es
rami fi cndose a l a di recci n especi fi cada por el campo de di recci n de l a
mi croi nstrucci n si el bi t de condi ci n especi fi cado es i gual a 1 o si no pa-
sar a l a si gui ente di recci n en secuenci a si el bi t de condi ci n es i gual a 0.
Esta confi guaci n requi ere l a capaci dad de i ncrementar el regi stro de di -
r ecci n.
El secuenci ador trasfi ere una nueva di recci n para que l a memori a de
control comi ence a ej ecutar l a nueva mi crooperaci n. La di recci n externa
trasfi ere el control a l a pri mera mi croi nstrucci n en una ruti na de mi cro-
programa que ej ecuta l a macrooperaci n especi fi cada.
Las subruti nos son programas
usados por otras ruti nas para l ograr una
tarea dada. Las subruti nas pueden l l amarse desde cual qui er pu.rto dentro
del cuerpo pri nci pal
del mi croprograma. Frecuentemente muchos mi cropro-
gramas
conti enen secci ones i dnti cas de cdi go. Las mi croi nstrucci ones
pueden
conservarse usando subruti nas que
usan secci ones comunes de mi -
crocdi go. Los mi croprogramas q.r"
.,run subruti nas deben tener una pro-
vi si n para al macenar di recci ones de retorno durante una l l amada de i ub-
ruti na y restaurar l a di recci n durante una subruti na de retorno. Esto
puede l ograrse col ocando l a di recci n de retorno en un regi stro esppci al y
l uego rami fi carse al comi enzo de l a subruti na. Este regi str especi puede
entonces converti rse en l a fuente dej di recci n para al i star el regi stro de
di recci n para el regreso a l a subruti na pri nci pal .
La mej or manei a de or-
ganzar
un archi vo de regi stro que al macene di recci ones para l l amadas de
subruti nas y que regrese, es usar una pi l a (LIFO)
l ti mo en entrar pri mero
en sal i r. La organzaci n de l a pi l a y su uso en l as l l amadas de subruti na y
regreso se expl i ca en mayor detal l e en l a Secci n 12-5.
El di agrama de bl oque de un secuenci ador de mi croprograma se mues-
tra en l a Fi gura 10-19. Este consi ste de un mul ti pl exor que sel ecci ona una
di recci n de cuatro fuentes y l a di ri ge al regi stro de di recci n de control .
La sal i da del cAR sumi ni stra l a di recci n para l a memori a de control . El
conteni do del cAR se i ncrementa y apl i ca al mul ti pl exor y al archi vo de
regi stro de l a pi l a.
El regi stro sel ecci onado de l a pi l a se determi na por me-
4.
5.
http://libreria-universitaria.blogspot.com
Di ecci n Di ecci n de
ext er na
( EXA)
bi f ur caci n
( BRA)
Fi gura 1O-19 Organi zaci n de un secuenci ador de mi croprograma t pi co
di o del regi stro i ndi cador de l a pi l a (stack poi nter). Las entradas 10,.fr
e 12 especi fi can l a operaci n para el secuenci ador y l a entrada ? es el pun-
to de prueba para el bi t de condi ci n. Ei regi stro de di recci n puede l l evar-
se a cero para i ni ci ar el si stema y l os pul sos de rel oj si ncroni zan l a carga a
l os regi stros.
La tabl a de funci n l i stada en el di agrama especi fi ca l a operaci n del
secuenci ador. Las entradas Iy e I determi nan l as vari abl es de sel ecci n
para el mul ti pl exor. Una di recci n externa (EXA)
se trasfi ere al CAR cuan-
do .1110:00. La trasferenci a del regi stro de Ia pi l a (S.R)
ocurre cuando
466
" 0
I I 3
MUX
J0
Registro de
di recci n de
control (
CA,R )
Registro
indicador de
l a pi l a (SP)
Incremento
f'l
o
o
Di r ecci n de sal i da
Tabla de funcin
1 2 I t I o T J Jg Operaci n Coment ari os
X O O X
X O I X
X I O X
0l l 0
0l l l
r l r 0
l l l l
0
I
0
0
I
0
I
CAR <_ EXA
CIR <- SR
CAR <-- CAR + I
CAR <_ CAR + I
CAR <_ BRA
CAR <_ CAR + I
CAR <- ARI, SR <_ CAR + I
Trasf eri r l a di recci n ext erna
Tasferir de la pila de registro
I ncement ar di recci n
l ncrement ar di recci n
Trasf eri r di recci n de bi f urcaci n
I ncrement ar di recci n
Bi f urcar a I a subrut i na
http://libreria-universitaria.blogspot.com
s Ec . 10- 8
SECUENCI ADOR OEL MI CROPROGRAMA 467
I t I o: Ol
y el CAR se i ncrement a cuando I t I o: 1O. Las ent radas T e I ,
no-ti enen efeCto durante estas tres operaCi ones
y Se marcan con entradas
X de no i mporta. Cuando
hl o:11,
el secuenci ador ej ecuta una operaci n
de rami fi caci n condi ci onal dependi ente del val or del bi t de prueba en ?.
Si 12 es tambi n i gual a 1, l a operaci n es una l l amada condi ci onal a l a
subruti na. En cadi caso, el cA,R se i ncrementa si el bi t de prueba ? es 0.
La di recci n de rami fi caci n
(BnA) se trasfi ere al cAR si ?:1. As, con
ItIo
:11,
el secuenci ador se rami fi ca al BRA si el bi t de condi ci n en ? es
i gul l a I o i ncrementa el CAft si el bi t de condi ci n es cero. La di recci n de
rmi fi caci n normal mente vi ene del campo de l a di recci n de l a mi croi ns-
trucci n.
La l l amada de subruti na condi ci onal
(Ir:1)
es si mi l ar a l a rami fi ca-
ci ncondi ci onal ( . f z: 0) , except oquel apr i mer ausel api l ayl al t i mano.
La di recci n al macenada en l a pi l a durante una l l amada de subruti na se
toma del di sposi ti vo de i ncremento. Este es l a si gui ente di recci n en se-
cuenci a
y es l l amada l a di .recci n de regreso. La di recci n de regreso se
trasfiere de nuevo al CAR con una operacin de regreso a la subrutina
( / 1/ o : 91 '
La operaci n del regi stro de l a pi l a y el i ndi cador de
pi l a (stack poi nter)
ser entendi da de mej or manera despus de l eer l a Secci n 12-5. Un regi stro
pi l a (o
memori a) es si mi l ar a l a uni dad de memori a excepto
que l a di recci l
para l a pi l a se determi na a parti r del val or en el regi stro del i ndi cador de
pi t" (.t""t poi nter regi ster). El acceso a l a pi l a est en l a secuenci a de l -
l i mo en enti ar
pri mero en sal i r y se control a i ncrementando o decrementan-
do el i ndi cador de l a pi l a. Ini ci al mente el i ndi cador de pi l a se borra y se di ce
qve apunta a l a di recci n 0 en l a pi l a. La escri turo o l a trasferenci a de i n-
formaci n a l a pi l a se l l ama i nsercn
(push). Esto consi ste de escri bi r l a
informacin de ntrada en la pila en la direccin especificada
por el indi-
cador de pi l a para l uego i ncrementar el regi stro del i ndi cador de pi l a. De
esta manera se trasfi ere i nformaci n a l a pi l a y el i ndi cador de pi l a i ndi ca
el si gui ente l ugar l i bre en l a pi l a. La l ectura o l a trasferenci a de i nformaci n
haci afuera de Ia pi l a se l l ama socar
(pop). Esta consi ste de decrementar
pri mero el regi stro del i ndi cador de pi l a y l uego l eer el conteni do del regi s-
l ro
(o pal abra) especi fi cado
por el nuevo val or del i ndi cador de pi l a.
Una l l amada de subrut i na se ej ecut a cuando I 2I 1I : 111
y ?: 1. Es-
ta causa una operaci n de socar de l a pi l a (push-stack) y una rami fi caci n
a l a di recci n especi fi cada
por el BRA. Esto se confi gura al macenando
pri -
mero el val or i ncrementado del CAR a l a pi l a. Cuando el pul so de rel oj CP
pasa a travs de una transi ci n de fl anco posi ti vo, l a di recci n BRA se tras-
?i ere al CAR
y se i nhi be l a entrada de l ectura a l a pi l a. El regi stro del i ndi -
cador de l a pi l a se i ncrementa
posteri ormente cuando CP
pase por su tran-
si ci n de fl anco negati vo. Esto se i l ustra en l a Fi grrra 10-20(a).
El regreso de l a subruti na se ej ecuta cuando ItIo:01. Este causa una
operaci n de sacar de l a pi l a y una rami fi caci n a l a di recci n al macenada
en l a ci ma de l a pi l a. Esto se confi gura decrementando
pri mero el regi stro
i ndi cador de l a pi l a en l a transi ci n del fl anco negati vo del CP. EI val or en
l a pi l a, dado por l a di recci n conteni da al presente en el i ndi cador de pi l a.
se l ee y trasfi ere al CAR en l a transi ci n del fl anco posi ti vo del CP. Esto se
i l ustra en l a Fi gura 10-20(b). Ntese
que el cAB se di spara durante el fl an-
http://libreria-universitaria.blogspot.com
Escri bi
CAR
+
en l a pi l a
( a)
Sub ut i na de l l amado ( i nser t ar a
l a pi l a)
/ r 1, 1o ?: f f l l
t Y""1:" t
I
r apr a
I
SP+JP- |
CAR
- , ! R
( b)
Regr eso de l a subr ut i na ( sacar
de l a pi l a) I , 1o
:
01
l ' i gur a 1o- 20 oper aci ones
de l a pi l a
en el secuenci ado de mi cr opr ogr ama
co posi ti vo y
sP durante ei fl anco negati vo de un pul so
de rel oj . El i ndi ca-
do de l a pi l a (sP)
se i ncrementa
dei pus de l a ti asferenci a l cafi y ,.
decrementa
antes de trasferi rse
al CAR^.
'll
CAR+ BRA
5 P SP+ \
Or gani zaci n
del CpU mi cr opr ogr amado
un computador
di gi tal consi ste
de una uni dad procesadora
central (cpu),
una uni dad de memori a y
un di sposi ti vo
de enti ada-sal i da.
El cpu p,r"d
ser cl asi fi cado
en dos secci ones
funci onal es
i "i e.ucti l ;
di feentes.
una
es l a,secci n
de proceso y ra otra es l a secci n
de control . una uni dad pro-
cesadora
es un di sposi ti vo
-ti l
para
construi r l a secci n
del procesador
del cPU. El secuenci ador_
del *i "rop.og.uma
es un el emento conveni ente
para
construi r
un control
der-mi croprograma
para
el cpu. Se va a desarro-
l i ar ahora un comnutador
cpu para
i rostrar l a uti l i dad del secuenci ador
ci e mi croprograma
defi ni do
en l a i ri gura 10-19.
.
Li n di agrama
de.bl oque
de un c"omputador
mi croprogramado
se muestra
en l a Fi gura 10-21. consi sre de una uni dad d. ;;;;; os uni dades pro_
c' esadoras
un secuenci ador.de
mi croprograma,
una memori a de contrf y
' ,' ari as
funci ones
di gi tal es Es.ta confi guraci n
pued.
"".
"-parada
con el
computador
senci l l o que
fue di seado
en l a secci " s:g y
cl yo ai agrama de
b; 4ue se da en l a Fi gura
g-16.
La uni dad de memori a al macena
l as i nstrucci ones y
datos sumi ni stra-
dos por
el usuari o a_travs de un di sposi ti vo
de entrad. El procesador
de
datos mani pul a
l os datos y el procesaor
de di recci o.r",
,rrurri pura l as di rec-
ci ones reci bi das
de l a mmori a.
Los dos procesadores
pueden
combi narse
en una uni dad, pero
al g' nas
veces es conveni ent.
*"pu.".t*
;;;;;;;;
sumi ni strar
un bus di feente para
l a di recci n
de l a memori a. una i nstruc-
468
Leer de
l a pi l a
http://libreria-universitaria.blogspot.com
T
Procesador de di recci ones
Contador de programa
Regi stro de di recci n
de memori a
Registro de instruccin
Uni dad
de memori a
Secuenci ador
del
mi croprograma
(Fi gura 10-19)
Memoria de control
( ROM)
Di recci n
I
Bttl4:
I
condrcron
L
I
-Sel ect or
de secuenct a
SL
-Sel ect or
MUX
BRA
-Di recci n
de bi f urcaci n
MC
-Cont rol
de memori a
PS
-selector
del
Procesador
DF
-CamPo
de datos
Figura lO-21 Organizacin del computador microprogramado
469
http://libreria-universitaria.blogspot.com
47O DI SEO OE LOGI CA DE CONTROL
c AP. 10
ci n extrada de l a memori a durante un ci cl o de entrega o envo (fetch)
pasa
al regi stro de i nstrucci n.
Los bi ts del cdi go i nstruc-ci n en el regi str
de i nstrucci n
especi fi can una macrooperaci n para
l a memori a de control "
A menudo se necesi ta una trasformaci tt
de cdi go para
converti r l os bi ts
del cdi go de operaci n de una i nstrucci n
a l a di recci n de comi enzo para
l a memori a de control . Este cdi go de trasformaci n
consti tuye una funci n
de pl ani metra y puede
ser confi g' rada con una RoM o un
pLA.
El concep-
to de pl ani metra presenta
fl exi bi l i dad para
agregar i nstrucci orr",
o -r"--
i nstrucci ones para
l a memori a de contrbl cu"ndJ se presente l a necesi dad.
La di recci n generada por
l a funci n pl ani mtri co
de trasformaci n del c-
di go se apl i ca a l a entrada de l a di recci n externa (EXA)
del secuenci ador.
La uni dad de control del mi croprograma
consi ste del secuenci ador
de
l a Fi gura 10-19, una memori a de contrl para
al macenar mi croi nstrucci o-
nes, un mul ti pl exor y
un regi stro canal i zador (pi pel i ne
regi ster). El mul ti -
pl exor..sel ecci qna
uno de l os muchos bi ts de
"o.ri i .,
y l o pl i ca a l a entra-
da (pueba)
? del secuenci ado.
una de l as entradas del mul ti pl exor es
si empre 1 para presentar
una operaci n
de i nformaci n i ncondi ci onal .
El
regi stro
canal i zador no es si empre necesari o ya que l as sal i das de l a memo-
ri a de control van di rectamente
a l as entradu. u control de vari as uni da-
des en el cPU. El regi stro canal i zador,
si n embargo, i ncrementa l a vel oci dad
de l a operaci n de
go-ntrgl . Este permi te que
se gne.e
l a si gui ente di recci n
y que
cambi e l a sal i da de l a memori a de contro[ mi entras
{ue
l a pal abra
de
control corri ente en el regi stro canal i zador i ni ci a l as mi coperai i ones
da_
das por l a presente
mi croi nstrucci n.
-
un posi bl e formato de mi croi nstrucci n para l a memori a de control se
i l ustra dentro del regi stro
canal i zador. El cmpo I consi ste de tres bi ts y
sumi ni stra l a i nformaci n de entrada al secuenci ador. El campo S.L sel ec-
ci ona el bi t de condi ci n para
el mul ti pl exor. El campo BtA es el campo de
di recci n de l a mi croi nstrucci n que sumi ni stra
un di recci n de bi furca-
ci n (Bfi A)
al secuenci ador.
Estos tres campos de l a mi croi nstrucci n pre-
sentan i nformaci n
al secuenci ador para
del emi nar l a si gui ente di reci n
para
l a memori a de control . El secuenci ador genera
l a si i ui ente di recci n
y
l a memori a de control l ee l a si gui ente mi cri nstrucci n"mi entras que
se
Ylyan
ejecutando las microoperaciones presentes
en las otras unidads del
CPU.
Los otros tres campos en l a mi croi nstrucci n
son para
control ar l as mi -
crooperaciones
en la
-unidad
del procesador y de memoria. El campo de la
memori a de control (MC)
control a al procesdor
de di recci ones y l s opera-
9l ol 9s-d9
l ectura y
escri tura en l a uni dd de memori a. El campo e sel eci n
(PS)
del procesador
controla las operaciones en la unidad dei procesador
de
datos. El ltimo campo es el campo de datos (DF)
usado para introducir
constantes al mi croprocesador.
El procedi mi ento
para i ntroduci r datos al si s-
tema a parti r
de l a memori a de control es una tni ca frecuentemente
usada
en muchos sistemas de microoperacin.
Las salidas del campo de datos pue-
den usarse para preparar
regisfros de control e introducir datos en los rgis_
tros- del procesador.
Por ejemplo, se puede
agregar una constante del
""ripo
de dat-os al_registro procesador para lncrementar
su contenido por un val'or
especfico.
otro uso del campo de datos es poner
un contado de secuencia a
un valor constante. El contador de secuencia se usa para
contar el nmero
http://libreria-universitaria.blogspot.com
\!
REFERENCI AS 471
de veces
que se pasa por un bucl e de mi croprogfmq
(l oop), como se requi ere
usual meni e
.tt i u tni i tta de mul ti pl i caci n
o di vi si n'
---
"u vez
que l a confi guraci n
de l os materi al es
del cPU mi croprograma-
o ..
".tuI.r."n,
el -di snador
l o puede usar
para constTi r una cual qui era
de las muchas .o"fig"r"io.re*
de computadoi
posibles. Primero se formula
i u i nrt*".i n
estabci da
para el computador
y l uego se escri be el mi cropro-
!iu*"
para la ,rr"rrroii" de control. Se
puede cambiar el microprograma
en la
;;;;tiu
de control si se desea un computador
diferente con un conjunto
diferente
de instrucciones.
No se t-te.".lt"tt cambios en los materiales
si
."r"iu"
las especificaciones
del computador,
ya que el cambio se hace so-
l amente en Ia memoi i " ".o"t.ol
ROM. Esto i mpl i ca
qui tar l a presente ROM
J. .n Uu."
para cambiarla
por otra con un microprograma-diferente'
La construcci n
de un cPU a parti r de componentes
LSI como se mues-
tra en la Figura tO-Zl,
presenta la libertad
par definir el conjunto
de ins-
i-"aiorr""
d"e un .i.i"*u de computador.
Se debe tener en cuenta,
sin em-
bargo,
que los .ir.,ri-t*-ltriegrados
disponibles contienen
un CPU completo
dentro de una .ofu .p."f*
ste tipo de CPU se llama microp,rocesodo.r'
En
el caso de usar
""
*lptocesador
en vez de un CPU hecho bajo
pedido se
debe estar de acuerdo con el conjunto
de instrucciones
fijas del microproce-
.uo,
"r.ogido.
En olrar
palabo". ,' microprocesador_es
lTr
CPU
ya listo,
"o"
u,' c-on"junto fijo de initrucciones
de computador.
Un CPU microprogra-
mado hecho bajo
pediao es una unidad flexible
que permite la formulacin
u i""t."..ionel adecuadas
para una aplicacin
particular. Los microproce-
sadores se di scuten en el Captul o
12'
2.
J .
4.
5.
6.
7.
1.
REFERENCI AS
Mano, M. M., Computer System Archi tecture. Engl ewood Cl i ffs, N. J.: Prenti ce-
Hal l , Inc., 1976.
Rhyne, Y . "I ., Fundamental s of Di gi tal Sysems Desi gn. Engl ewood Cl i ffs, N' J.:
Prenti ce-Hal l , Inc., 1973.
chu, Y., computer organi zati on and Mi croprogramrni ng. Engl ewood cl i ffs, N. J.:
Prenti ce-Hal l , Inc., 1972.
Mi ck, J. R. y J. Bri ck, Mi croprogrammi ng
Handbooh. Sunnyval e, cal i f.: Advance
Mi cro Devi ces, Inc., 1977.
Bpolar Mcrocornputer conr,ponents
Data Booft. Dallas, Texas: Texas Instru-
ments, Tnc., L977.
Agrawal a, A. K.y T. G. Rausch er, Foundati ons of Mcroprogramrni ng.
Nueva York:
Academic Press. 1976.
Si gneti cs Fi el d Prograrnmabl e Logi c Array: An Appl i cati on Manual . Sunnyval e,
Cal i f.: Si gneti cs Corp., 1977.
8. Cl are, C. R., Desl gni ng Logc Systems
l Jsng State Machi nes. Nueva York: Mc'
Graw-Hi l l Book Co., 1973.
9. Al exandri di s, N. A., "Bi t-sl i ced Mi croprocessor Archi tecture", Compfer,
Vol . 11.
No. 6,
( j uni o 1978) , pgs. 56- 80.
http://libreria-universitaria.blogspot.com
PROBLEMAS
10-1. (a)
Muestre que el control del contador de ani l l o de l a Fi gura T-22(a) es un
caso especial de un flip-flop por
cada control de estado dibujado en la Figura
10-2. Indi que cmo el r' ' l ti mo
puede reduci rse al pri mero. (bj
Muestre qu"e el
contador y el control del decodi fi cador
de l a Fi gu 7-22(b).. ,rn."ro especi al
de un regi stro de secuenci a y
control de decoi fi cador' cmo
se di buj a en l a
Fi gura 10-3. Indi que cmo el rti mo puede reduci rse al pi i rn..o.
10-2.
Cul
es Ia di ferenci a entre un control de conexi ones de materi al es y el con-
tro-l del mi croprograma?
cul es
son l as ventaj as y desventaj as de cada m_
todo?
10-3. El si stema sumador-sustractor
di seado en l a secci n 10-3 usa un ALU. Re_
di buj e el di agrama de bl oque de l a Fi gura r0-g si n usar un ALU. En vez de
el l o use el ci rcui to sumador sustractor
de l a Fi gura 9-10 y un regi stro con l as
caractersti cas
de compl ementar, i ncrementar y cargar. Revi se l as sal i das de
control de l a Fi gura 10-9(b).
10-4' Repase el flujograma de la Figura 10-? para buscar si podra resultar un cero
negati vo al fi nal del cmputo. un cero negati vo ocurre.i : o y A"
:
1.
10-5. Disee un sistema digital q_ue sume y reste dos nmeros binarios de punto
fijo
representados
en l a forma de si gno-compl emento
de 2. Incl uya una i ndi caci n
de sobrecapaci dad.
10-6' Revi se el di agrama de estado de control de l a Fi gura 10-9 para constatar si el
val or de cou, se comprueba en el ti empo T, en ri z a..o-f-bur
el val or de E
en el ti empo ?u.
10-7' El nmero de estados para el control de la Figura 10-9 puede reducirse si la
vari abl e s se usa conj untamente
con q, y
a,
para
d-etermi nar el estado
si gui ente despus del estado i ni ci al .
ramtl n, r ..gi .tro a p".a" ser compl e_
mentado durante el estado mi smo en que E se borra' si E,sei ncl uye."
t"
j rr.,-
cin de control para la operacin de cmplemento. Demuestre que un sistma
sumador sustractor puede
ser configurad con seis estados Je control.
10-8' Deri ve l as funci ones
de_entrada para
l os fl i p-fl ops 8,, A" y E de l a Fi gura
10-8(a). Use flip-flops Jl(.
10-9. Di see el control esp_eci fi cado por el di agrama de estado de l a Fi gura 10-1b(a)
por el mtodo de u flip-flop por
estado. liurre el diagrama lgicoisando
.oo,-
puertas y
cuatro flip-flops D.
10-10. obtenga-
]na
se,eun_da lista para
el microprograma
binario de la Tabla 10-3,
usando 00 para l os bi ts de RoM 18 y 14 ."du".r., que
se i ncrementa i ncondi _
ci onal mente el CAR.
10-11. Disee el circuito de entrada de la Figura 10-10 remplazando las compuertas
AND asoci adas
con
eo
y
e"
con un mul ti pl exor dual de i
"
i .on entrada de
habi l i taci n.
ro-L2. La unidad de control de microprograma
de ta Figura 10-10 conjuntamente
con
el procesador
de datos asociado de la Figura t-s(a), * ;;" para
sumar y
restar dos nmeros binarios en representu.l.,
d. ,ig";-.or";l;mento
de 2. Los
bi ts de si gno resi den-en l a posi ci n
del bi t a. tu."*i .r"-i l q"i .ra"
de l os re_
gistros
A y
B. como los signos.se incluyen
con A y
g,
no hay- necesidad
de A"
y B" y la variable s. En cambio, p"t-it".u qu.
s .. el flij-flop que
almace'-
na el arrastre C, que^va
en la posicin
del bit de signo, l" *"rr"ra que .O
al macena
al arrastre
c+r: c.u, que sal e de ta posi cl l n' .l
i l rt de si gno' . su-
472
I
http://libreria-universitaria.blogspot.com
s
PROBLEMAS 473
ponga que las variables
y y z usan las dos seales de control
que ponen a uno
u
".r
el flip-flop de sobrecapacidad V. Si ocurre una sobrecapacidad, V es
puest a a uno con i a vari abl e del cont rol y. Si no ocurre una sobrecapa. ci dad,
se borra V con l a vari abl e de cont rol z.
(a)
Escri ba el mi croprograma en l a f orma si mbl i ca.
(b) Li st e l a t abl a de verdad de l a ROM en bi nari o.
10-13. D una mi croi st rucci n en f orma bi nari a para l a memori a de cont rol de I a Fi -
gura 10-11 que mant enga el si st ema en un bucl e de no operaci n si empre que
i a di recci n ext erna sea i gual a l a di recci n donde se l ocal i za l a mi croi nst ruc-
ci n en l a memori a. Los val ores
que van al regi st ro de condi ci n no son i mpor-
t ant es.
10-14. Escri ba un mi croprograma en l a f orrna si mbl i ca
para el si st ema de l a Fi gura
10-11 que
"ort rp. rrbu
el si gno del nmero al macenado en el regi st ro R1. El n-
' ' -' ero ei t en l present aci n de si gno-compl ement o de 2. Si el nmero es posi -
t i vo, se di vi de por 2. Si es negat i vo se mul t i pl i ca por 2. Si ocurre una sobreca-
paci dad, R1 se l l eva a 0.
10-15. Escri ba un mi croprograma
que compare dos nmeros bi nari os si n si gl o al ma-
cenados en R1 y nz. "nt regi st ro
que cont i ene el nmero menor se borra ent on-
ces. Si l os dos nmeros. on
i goui ". , se borran ambos regi st ros. Use el si st ema
de mi croprograma de l a Fi gura 10-11'
10-16. El procesador de I a Fi gura 9-16 se usa
para mul t i pl i car dos nmeros bi na-
-- - -
ri os' si n si gno. El mul t i pi i cando
est en 81, el mul t i pl i cador
en R3 y el product o
se f orma . . , Rz
y R3. Ei egi st ro R4 al macena un nmero bi nari o i gual al nmero
de bi t s en el mul t i pl i cadoi .
Descri ba el al gori t mo en l a f orma de f l uj ograma.
10-17. Li st e el cont eni do de l os regi st ros E, A,
Q
y P (Fi gura 10-16) despus- d.
9199
pul so de rel oj durant e el pro-ceso de mul t i pl i caci n de l as dos magni t udes 10111
(mul t i pl i cando) y 10011
(mul t i pl i cador)'
10-18. El di agrama de est ado de cont rol de l a Fi gura ro-15(a) no-usa I a vari abl e Qr
. o-o nu condi ci n de t ransi ci n de est ado. En vez de el l o,
Qr
se usa como
part e de l a f unci n de cont rol en l a l i st a de regi st ros de t rasf erenci a.
Di see el
control de manera
Que Qr
aparezca como una condicin en el diagrama de
est ado
y que se el i mi ne de l a l i st a de f unci ones de cont rol . Muest re
que pal a
est e caso. l di "g. "t n" de est ado debe t ener al menos ci nco est ados.
10-19. Det ermi ne el t i empo
que se t oma
procesar l a operaci n de mui t i pl i cac^i n
en e. l
si st ema di gi t al desc. i i o en I a Fi gura 10-15. Asuma
que el regi st ro
Q
t i ene k
bi t s y que l i nt erval o ent re dos pul sos de rel oj es segundos'
10-20. Di see l a l gi ca de cont rol de l a Fi gura i 0-16 usando dos f l i p-f l ops ? y un de-
codi f i cador.
10-21. Cambi e el regi st ro P de l a Fi gura 10-16 a un cont ado creci ent e con carga en
paral el o. La nt rada ?, no i ncrement a el regi st ro P.
, Cul
es el val or i ni ci al
que debe cargarse a P en el t i emPo ?1 ?
10-22.
pruebe
que l a mul t i pl i caci n de dos nmeros de n d gi t os en cual qui er base r
da un poroducto de no ms de 2n dgitos de longitud. Mueste
que esta decla-
raci n i mpl i ca que no puede ocurri r sobrecapaci dad
en el mul t i pl i cador
di se-
ado en l a Secci n 10-6.
10-23. Di see el cont rol especi f i cado
en l a Fi gura 10-9 por el mt odo de regi st ro de
secuenci a
y decodi f i cador. Use l os t res f l i p-f l ops JK, G3, Gz
I
Gt '
10-24. Di see el cont rol especi f i cado en l a Fi gura 10-9 usando un regi st ro de secuen-
ci a y un PLA. Li st e l a t abl a de programa del PLA'
http://libreria-universitaria.blogspot.com
Mul t i pl i cador
P+ P+ B
A+ A- l
l"-l
Producto
l-;l
Qn
Lgi ca
de control
Fi gura PIO-25 Mul ti pl i caci n por sumas sucesi vas
10-25. La confi guraci n del regi stro y el fl uj ograma dei si stema di gi tal que mul ti pl i ca
dos nmeros binarios sin signo por el mtodo de la adicin repetida se mues-
tra en l a Fi gura P10-25.
(a)
Convnzase usted mi smo que el si stema mul ti pl i ca el conteni do de A y B
y coloca el producto en el registro P.
(b)
Sea A:0100 y B:0011. Pasando por l os pasos del fl uj ograma, demuestre
que el si stema regresa a su estado i nci al , con el regi stro P conteni endo el
producto 1100.
(c)
Dibuje un diagrama de estado para el control y liste las trasferencias de
registro que van a ejecutarse en cada estado de control.
(d)
Dibuje el diagrama de bloque de Ia parte del procesador de datos.
(e)
Disee el control por el mtodo de un flip-flop por estado.
10-26. Las siguientes operaciones de trasferencia entre registros especifican un
control del tipo de cuatro estados de registro de secuencia y decodificador.
G es un regi stro de secuenci a de 2 bi ts y 7,,, Tt, Tt
I
?3 son l as sal i das
del decodi fi cador.
x T6:
G<- G+l
!To: G <- l0
z T :
G<- l l
T1 * T2* 73: GeG+l
(a)
Di buj e el di agrama de estado del control .
(b)
Disee el registro de secuencia con flip-flops Jl(.
474
I
http://libreria-universitaria.blogspot.com
-l!
x = 0
Figura PIO-27 Diagrama de estado de control para el Problema 10-27
10-2?. una uni dad de control ti ene dos entradas x y y y ocho estados. El di agrama
de estado de control se muestra en la Figura Pl0-27.
(a)
Di see el control usando 8 fl i p-fl ops D.
(b)
Di see el control usando un regi stro, un decodi fi cador
y un PLA'
10-28. El diagrama de estado de una unidad de control sC muestra en la Figura
P10-28. Tiene cuatro estados
y dos entradas f y y. Disee el control
por el
mtodo de registro de secuencia
y decodificador con los dos flip-flops JK, G2
Y
Gr .
(a)
Use las salidas del decodificador como condiciones de estados
presentes.
(b)
Use las salidas de los flip-flops como condiciones de estados presentes.
compare los dos resultados
y comente las ventajas y desventajas en ca-
da caso.
10-29. El registro canalizador de la Figura 10-21 tiene una salida adicional demar-
cada P pa.ra controlar la polaridad de la entrada 7 en el secuenciador. Cuando
P:0 el val or del bi t de condi ci n sel ecci onado
por SL se apl i ca a l a entrada
T. Cuando P:1, el compl emento del bi t de condi ci n sel ecci onado se apl i ca
aT.
Figura PfO-28 Diagrama de estado de control para
el Problema 10-28
x = l . v - - l
475
http://libreria-universitaria.blogspot.com
476 DI SEO DE LOGI CA DE CONTROL
( a I
Qu
se l ogra por
medi o del cont rol de pol ari dad
p
r
(b)
Di see
el ci rcui t o que
debe ser c<l ocado
ent re el mul t i pl exor
sel ecci onado
por
S.L y la entrada de prueba
2,.
10-30. El comput ador
mi croprogramado
de l a Fi gura 10-21 t i ene un regi st ro
de con-
t r ol de di r ecci n ( cAR)
dent r o del secuenci ador y
el . egi st r o
canar i zador
I PLRI en l a sal i da de l a memori a de cont ror. La vel ci dad d"e operaci n puede
meJorarse si se usa sol ament e
un regi st ro.
compare t u u"roci aua a. op". i on
comparando
l as demoras
de propagaci n
enco. , t rad; . ; ; ; J"
se usa el si s-
t ema:
( a )
( b)
Un CAr? si n un Pl R.
Un PLR si n un CAr ?.
http://libreria-universitaria.blogspot.com
:
Di seo
de comPutadores
1 1- 1 I NTRODUCCI ON
Este captul o
presenta un computador di gi tal
pequeo para propsi tos ge-
neral es a parti r de sus especi fi caci ones
funci onal es
para cul mi nar con su
di seo. Aunque el computdor es
pequeo, est muy l ej os de ser ti l . Su fi -
nal i dad u. -.ry l i mi taa cuando se compara con si stemas comerci al es el ec-
trni cos de
prcesami ento de datos, aunque i ncl uye especi fi caci ones
funci o-
nal es sufi ci ntes
para demostrar el proceso de di seo. Es adecuado
para
construi r en el l aboratori o con CI
y el producto termi nado
puede ser un
si stema ti l capaz de procesar datos di gi tal es' '
Ei computador
consi ste de una uni dad
procesadora central , una uni dad
de memori a
y una uni dad tel ei mpresora
de entrada-sal i da.
El di seo l gi co
de l a uni dad
procesadora centrai ser deri vado aqu. Las otras dos uni da-
des se asumen como el ementos di sponi bl es con caractersti cas
externas
conoci das.
Bl di seo de l os materi al es de un computador di gi tal ' puede deri varse
en tres fases i nterrel aci onadas:
di seo del si stema, di seo l gi co
y di seo
del ci rcui to. El di seo del si stema versa sobre l as especi fi caci ones
y propi e-
ud".
g"nural es del si stema. Esta tarea i ncl uye el establ eci mi ento
de un
obj eti v de di seo
y fi l osofi a de di seo, Ia formul aci n de l as i nstrucci ones
i
"op"tador,
y Ia i nvesti gaci n de su facti bi l i dad econmi ca.
Las espe-
ci fi caci es de i estructura del computador
son traduci das
por el di sea-
or tgi .o
para l ograr l a confi guraci n
de l os materi al es del si stema' El di -
seo el i rcui to- especi fi ca
l o.
"o-pottentes
de l os di ferentes ci rcui tos
i Jgi ."., .i tcui tos de memori a, equi po el ectromecni co
y sumi ni stro de
po-
tei ci a.' El
di seo de l a parte de l os materi al es del computador
es enorme-
mente
i nfl uenci ado
po. el si stema de programaci n
(software) el cual
,rormal mente
se desai rol l a al ti empo
y consti tuye una
parte i ntegral del
si stema de comPutador total .
El di seo el computador
di gi tal es una tarea compl i cada.
No se debe
..puo. el cubri mi ento
de todos l s aspectos del di seo en un sol o captul o'
*Las
i nst r ucci ones par a el comput ador son un subconj unt o de l as i nst r ucci ones en cl
comput ador PDP- 8.
4 7 7
*
ffi
http://libreria-universitaria.blogspot.com
478 DI SEO DE COMPUTADORES
cAP. 1 1
Aqu i nteresa el si stema y el di seo rgi co de un computador
di gi tal peque-
o cuyas especi fi caci ones
se formul an
" u.r" *u"ao' urul trari a"para' poder
establ ecer
una confi guraci n
mni ma para
una mqui na muy pequea,
aun-
que-prcti ca.
El procedi mi ento
enunci ado
en este pi t"l o p"d"' .",
ri i i t en
el di seo l gi co de si stemas ms compl i cados.
El proceso
del di seo se di vi de en sei s fases:
1'
!,
descomposi ci n.del
computador
di gi tal en regi stros que especi -
fi can l a confi guraci r, g".,"rl
del si stea
2' La especi fi caci n
de l as i nstrucci ones
del computador.
3. La formul aci n
de l os ci rcui tos
de ti empo y
de control .
4. La l i sta de operaci ones
de trasferenci a
entre regi stros
necesari a
para
ej ecutar
todas l as i nstrucci ones
de computadi r.
5. El di seo de l a secci n
del computado.
6. El di seo de l a secci n
del control .
El proceso
de diseo se lleva a cabo por
medio de las listas tabuladas que
sumarizan
las especificaciones
y op""""ione"
en for-u
"o-p""t".
La seccin
del procesador
se define por
*ai del diagram;bd;
que
consiste de
registros y
multiplexores.
Se asume que
el l*ecto tiene iniormacin
suficien-
t-e
mra
remplazar
los bloques en el dlagrama
con circuitos MSI. La seccin
de control se disea para
cada uno d los tres mtodos enunciados
en el
Captul o 10.
11. 2
CONFI GURACI ON
DEL
SI STEMA
La confi guraci n
del computador
se muestra en l a Fi gura 11-1. cada broque
representa
un registro,
ercepto por la unidad de merioria, el gerre.^do;
ei
rel oj -maestro y l a l gi ca de confrol . Esta confi guraci n
se' supone que sati s_
face l a estructura del si stema fi nal . En una si t"uaci on pra"i i .u,
el di seador
comienza
con una configuracin
tentativa
de un ,irt"rri"
v
i" modifica cons_
tantemente
durante
el proceso
de diseo. El nombre a" f"u registro se
escri be dentro del bl oque,
conj untamente
con l a desi gnaci n
si mbri ca
en
parntesi s.
El generador
del reloj maestro es una f'uente de pulsos
de reloj comn,
por
l o general
un osci l ador,
el cual genera
un tren peri di co
de pul sos.
A es_
tos pulsos
se les da mayor capacidad por
medio d
";pi.adores
y
se dis_
tri buyen por
todo el si stema.
ada pul so
debe l regar
"
"a" ni p-fl op y regi s-
tro,al mismo tiempo. El llevar ros reiardos
u tu ,.r*u rrr" p"u"
necesitarse
i ndi rectamente
de manera que
l a di ferenci a
en retardos
de trasmi si n
es
unifome por
todas partes.
La frecuencia
de ro. p"i*
""*irrru
funcin de
l a vel oci dad
con l a cual opera el si stema.
Se asume una frecuenci a
de 1 me-
gaci cl o,
l a cual da un pul so
cada mi l i segundo.
Esta fecuenci a
de pul so
se
escoge para
tener un nmero redondo y
evitar problemas
de los eta.dos
e
propagaci n
en l os ci cui tos.
La uni dad de mem_ori a
ti ene una capaci dad de 4.096 pal abras
de 16 bi ts
cada una. Esta capaci dad es sufi ci entemente
l arga p"ru
L., proceso
si gni fi _
http://libreria-universitaria.blogspot.com
T
E
E
Registro de
di recci n de
memori a
(MAR)
Unidad de memoria
4.096 palabras
16 bits,/palabra
Regi stro separador
de memor i a
( B)
Registro acumulado
(A)
Generador de
pulsos de reloj
maestros
Figura l1-l Diagrama de bloque del computador digital
Tabla 1l-l Lista de registros
para el computador
I
Regi st rode
| |
Regi st rode
I
l e n t r a d a ( N) l l . " l j 9 4 j ' - -
Designacin
si mbl i ca
Nombre'
Nmero
de
bi ts Funci n
A Registro acumulador
16
B Registro seParador de memoria
16
PC Contador de
Programa
12
MAR
Registro de direccin de memoria 12
I Registro de tnstruccin
4
E Flip-floP de extensin
1
F
Flip-flop de bsqueda
1
S
Flip-floP de comienzo-Parada
1
G
Registro de secuencia
2
N
Registro de entrada 9
U
Registro de salida I
Registro
procesador
Retiene el contenido de la
Palabra
de memoria
Retiene la direccin de la siguiente
instruccin
Retiene la direccin de la
palabra de memoria
Retiene el cdigo de operacin corriente
Extensin del acumulador
Controla bsqueda
y ejecuta ciclos
Detiene
y comienza el comPutador
Entrega seales de tiemPo
Retiene informacin del dispositivo
de entrada
Retiene informacin del dispositivo
de sal i da
479
http://libreria-universitaria.blogspot.com
4f f i DI SEO DE COMPUTADORES
I
a
i
l
CAP. 1 1
cati vo. Se puede
usar un tamao menor si se desea construi r un computa-
dor en el l aboratori o baj o restri cci ones
econmi cas.
Son necesari os
doce
bi ts de una i nstrucci n para
especi fi car l a di recci n de un operando, el cual
dej a cuatro bi ts para
l a parte
de l a i nstrucci n.
El ti empo de acceso de l a
memona se asume que
9s
menor que 1 mi l i segundo
de manera que l a pal a_
bra puede
l eerse o escri bi rse durante el i ntervai o
entre dos pul sos
de rel oj .
La parte
del computador di gi tal que
se va a di sea. ," d"..o-pon"
"i
subuni dades
de regi stro. Los si gui entes pargrafos
expl i can por qu
cada
regi stro es necesari o y qu funci n real i za.
Una l i sta de regi stros y
una bre-
' e descri pci n de s
' ,s
func-i ones se presenta
en l a Tabl a 1l -1. Lo; regi stros
que al rnacenan pal abras
de memori a son de 16 bi ts de l ongi tud. Aq"uel l os
que al rnacenan
una di recci n son de 12 bi ts de l ongi tud.
"otro.
."gl .r.o,
ti e' nen un nmero di ferente de bi ts, dependi endo
de s funci n.
Regi st r o de di r ecci n de memor i a
y r egt st r o
separ ador de memor i a
El regi stro de di recci n de nremori a, MAR, se usa para
di recci onar l uga-
res de_memori a especfi cos.
Er MAR se carga del contador del programa (p-c)
cuando una i nstrucci n
se va a l eer de l memori a y de l os 12 bi ts -".ro
si gni fi cati vos
del regi stro B, cuando un operando r. rru a l eer de l a memo-
i a.. El regi stro separador de memori a B ai macena l a pal abra
l eda o escri ta
e.r i a m,emori a. La parte
de operaci n
de una pal abra
de i nstrucci n
col oca-
da en ,8, se trasfi ere al regi stro I y l a parte
de Ia di recci n se dej a en el
regi stro B para
ser trasf' eri da al MAR. una pal abra
de operando ol ocada
en e1 regi stro B se hace accesi bl e para
op".u"i n con el ."gi .t.o A. La pal a-
bra que va a ser al macenada
en I memori a debe r".
"urgl du
al ,egi ri ;b
ant es de i ni ci ar una oper aei n de escr i t ur a.
Cont ador de pr ogr ama
EI. contador de programa
PC al macena l a di recci n de l a si gui ente i nstruc_
cron para ser l eda de l a memori a. Este regi stro pasa por.ri u
r"..,".rci a de
conteo paso a paso y causa que
el computador l ea i nstrucci ones
sucesi vas
al r acenadas pr evi ament e
en l a memor i a.
cuando el p. ogr ama
l l ama una
tasferenci a
a otro l ugar con el fi n de evi tar l a si gui eni e i "nstrucci n
en se_
r-:ut' nci a.
se modi fi ca el PC al mi smo ti empo, causa-ndo que el programa
con-
ti ne a parti r
de un l ugar de memori a que
est fuera de l a secuenci a de
co.rteo. Para l eer una i nstrucci n,
el conteni do del
pc
se trasfi ere al MAR
y. se i ni ci a l a operaci n de l ectura. Ei contador del programa
se i ncrementa
si empre en 1 mi entras que l a operaci n de escri tura de"memori a l ee l a i ns-
trucci n presente.
Por tanto, l a di recci n de l a si gui ente i nstucci n,
mayor
una uni dad qu9
!a
que est si endo ej ecutada
un u:l pro""sador,
est si empre
di sponi bl e
en el PC.
Regi st r o
acumul ador
EI regi stro acumul ador A es un regi si rcr
vi amente al macenados
en l a mem,l ri a.
procesador que
opera con datos pre-
Este regi stro se usa para
ej ecutar
http://libreria-universitaria.blogspot.com
'El
SEC. 11- 2
CONFI GURACI ON DEL SI STEMA 487
l a mayora de i nstrucci ones
y para aceptar datos del di sposi ti vo de entrada
o trasi eri r datos al di sposi ti vo de sal i da. El regi stro A conj untamente con
el regi stro B componen el cuerpo de l a uni dad
procesadora.del computador'
Aunque l a mayora de l os si stemas
procesadores de datos i ncl uyen ms re-
gi strs para l a uni dad
procesadora, se ha escogi do i ncl ui r sol amente un
cumul dor,
para no compl i car el di seo. Es posi bl e confi gurar sol amente
l a operaci r, . r,r*u con un si mpl e acumul ador con el emento ari tmti co.
Otrs operaci ones ari tmti cas tal es como sustracci n, mul ti pl i caci n
y di -
vi si n
pueden ser confi guradas con una secuenci a de i nstrucci ones
que
forman una subruti na.
Regi st ro de i nst rucci n
El regi stro de i nstrucci n 1 reti ene l os bi ts del cdi go de operaci n de l a
i nstrucci n corri ente. Este regi stro ti ene sol amente cuatro bi ts
ya que el
cdi go de operaci n de l as i nstrucci ones es de cuatro bi ts de l ongi tud' Los
bi ts tel ci go de operaci n se trasfi eren al regi stro / a parti r del regi stro
B, mi entras
que l a prte de di recci n de l a i nstrucci n se dej a en B. La par-
te aet cdi go de operaci n se debe sacar del regi stro B ya que una l ectura
de un operndo de l a memori a al regi stro destrui r l a i nstrucci n reteni da
previ amente. La parte de operaci n de l a i nstrucci n es necesari a
para que
l control determi ne
qu se l e va a hacer al operando
que se ha l edo.
Regi st r o de secuenci a
El regi stro de secuenci a G es un contador
que produce l as seal es de ti empo
puta l computador. El regi stro G es decodi fi cado
para sumi ni strar cuatro
vari abl es de ti empo
para l a uni dad de control . Las vari abl es de ti empo con-
j untamente
con otrai vari abl es de control ,
producen l as- funci ones de con-
i rol
que i ni ci an todas l as mi crooperaci ones
del computador'
Fl i p- f l opsE, FVS
cada uno de estos fl i p-fl ops se consi deran un regi stro de un bi t. El fl i p-fl op
E es una extensi n et rgi stro A. Se usa durante l as operaci ones de des-
pl azami ento, reci be el arrai tre fi nal durante l a suma
y adems es un fl i p-
i l op qu. puede si mpl i fi car l as operaci ones de procesami ento de datos del
comptadbr.
El fl i p--fl op F di sti ngue entre l os ci cl os de bsqueda
(fetch)
v
de eJecuci n. Cuando F es 0, l a pal abra l eda de l a memori a se trata como
una i nstrucci n. Cuando F es 1, l a pal abra se trata como un operando. S
es un fl i p-fl op de
parada e i ni ci o que puede borrarse
por medi o del control
del programa-y manej arse manual mente. Cuando S es 1, el computador tra-
baj a de acu"to u una secuenci a determi nada
por el programa al macenado
"n
l u tn"-ori a. cuando s es 0, el computador deti ene su operaci n.
Regi st r os de ent r ada
Y
sal i da
El di spcsi ti vo de entrada-sal i da
(I,zO) no se muestra en el di agrama de
bl oque de Ia Fi gura 11-1. Se asume como una uni dad de tel ei mpre3ora con
http://libreria-universitaria.blogspot.com
482 DI SEO DE COMPUTADORES
cAP. 1 1
un tecl ado y
un i mpresor. La tel ei mpresora enva y reci be i nformaci n en
seri e. Cada paquete
de i nformaci n
ti ene ocho bi ts de un cdi go al fanum-
ri co. La i nformaci n en seri e de un tecl ado se despl aza al regi stro de entra-
da. La i nformaci n en seri e de un i mpresor se al macena en el regi stro de
sal i da. Estos dos regi stros se comuni can con l a tel ei mpresora en sei i e y con
el regi st ro acumul ador en paral el o.
El regi stro de entrada N consi ste de nueve bi ts. Los bi ts 1 a
g
al mace-
nan i nformaci n de entrada al fanumri ca; el bi t 9 es un bi t de control l l a-
mado i ndcador de entrada (fl as).
El bi t i ndi cador se pone a uno cuando
est di sponi bl e un nuevo caracter de un di sposi ti vo de entrada y se pone
a cero cuando el caracter es aceptado por el computador. El bi t i ndi cdor
es necesari o para si ncroni zar l a baj a vel oci dad a l a cual opera el di sposi ti vo
de entrada comparada con l a gran vel oci dad de l os ci rcui tos del computa-
dor. El proceso
de trasferenci a de i nformaci n es como si gue. Ini ci al mente
se borra el bi t i ndi cador en Nn . Cuando se opri me una tecl a del tecl ado, el
cdi go de 8 bi ts se despl aza al regi stro de entrada (N,
-Ns).
una vez que
se termi ne l a operaci n de despl azami ento, el bi t i ndi cador en Nn se pone
a 1. EI computador comprueba el bi t i ndi cador; si ste es 1, el oai gb ae
caracter del regi stro N se trasfi ere en paral el o
al regi stro A y el bi t i ndi ca-
dor se borra. una vez se haya borrado el regi stro i ndi cador, se puede
des-
pl azar
el nuevo caracter al regi stro N al opri mi r otra tecl a.
El regi stro de sal i da u trabaj a de manera si mi l ar pero l a di recci n del
fl uj o de i nformaci n se i nvi erte. Ini ci al mente, el i ndi cdor de sal i da en u.
se pone
a 1. El computador comprueba el bi t i ndi cador; si ste es l , un c-
di go de caracter del regi stro A se trasfi ere en paral el o al regi stro de sal i da
(ur.*
ue) y el bi t i ndi cador un se borra a 0. El di sposi ti vo de sal i da acep-
ta l a i nformaci n codi fi cada e i mpri me el caracter correspondi ente; cuano
se compl ete l a operaci n se pone a 1 el bi t i ndi cador. El computador no car-
ga un nuevo caracter al registro de salida, cuando el indicador es 0, porque
esta condi ci n i ndi ca que el di sposi ti vo de sal i da est en proceso de i mpri -
mi r el caracter previ o.
11- 3 I NSTRUCCI ONES DE COMPUTADOR
El nmero de i nstrucci ones di sponi bl es en un computador y su efi ci enci a
en resol ver el probl ema entre manos, es una buena i ndi caci n de l o bi en que
el diseador del sistema previ
la aplicacin que se requera de la mquina.
Los sistemas de cmputo mediano y de gran escala, pueden tener cienios de
instrucciones, mientras que la mayora de computadores pequeos limitan
la lista a menos de 100. Las instrucciones se deben escogei con cuidado pa-
ra imprimir las caractersticas suficientes del sistema con el fin de resolver
un amplio rango de problemas
de procesamiento
de datos. Los requerimien-
tos mnimos de tal lista deben incluir una capacidad para almacenar y
cargar palabras
de la memoria, un conjunto suficiente de operaciones arit-
mticas y lgicas, algunas propiedades
de modificacin de direcciones,
bifurcacin incondicional, bifurcacin bajo condiciones de prueba, propie-
dades de manipulacin de registro e instrucciones I/O. L lista e i.r"-
trucciones escogidas para el computador pretende
ser la mnima requerida
para un procesador
de datos prctico pero restringido.
http://libreria-universitaria.blogspot.com
xf
Si gno
Magni t ud
(nmeros negat i vos en compl ement o de 2)
Pal abra l gi ca
l 6 I J l 4 l - l t 2 l l 10 9 8 7 6 5
A
3 2 i
(a) Operandoari tmti co
l 6 t 5 l 4 l 3 12 l l t 0 9 8 7 f) 5
A
3 2
(b) Operando lgico
Caracter
Caracter
(c)
Datos de entrada,/sal tda
Figura ll-2 Formatos de datos
La formulacin del conjunto de instrucciones
para el computador
va
mano a mano con la formulcin de los formatos
para datos
y palabras de
i nstrucci ones. Una
pal abra de
-memori a
consi ste de 16 bi ts. Una
pal abra pu9-
de representar una uni dad de datos o una i nstrucci n. Los formatos de
palabias de datos se muestran en la Figura 11-2. Los datos para las ope-
raci ones ari tmti cas se representan
por un nmero bi nari o de 15 bi ts con
un si gno en l a posi ci n del bi t dci mo sexto. Se asume
que l os nmeros estn
en su-equi val ente de compl emento de 2. Las operaci ones l gi cas se real i zan
Operaci n
l 6 l 5 t 4 l 3 l 2 l l 10 9 8 7 6 )
^
2
(a)
Instruccin de referencia de memoria
Cdi go 0110
Tipo de operacin de registro o prueba
Di recci n
Datos de entrada,/salida
t 6 l 5 t 4 l - l r2 l l t 0 9 8 7 6 5
4 3 2 I
(b) Instruccin de referencia de registro
Cdi go 0111
Tipo de operacin de entrada-salida
o prueba
l 6 15
l 4 13 l 2 ul l 0 9 8 7 6 5
^
J
(c)
Instruccin de entrada,/salida
Figura l1-3 Fomatos de instruccin
483
http://libreria-universitaria.blogspot.com
4U DI SEO DE COMPUTADORES
Tabl a l 1-2 Instrucci ones de referenci a de memori a
cAP. 1 1
con bi ts i ndi vi dual es de l a pal abra,
con el bi t 16 tratado como cual qui er
otro bi t. cuando el computador se comuni ca con un di sposi ti vo
v,
l a
i nformaci n
trasferi da se consi dera compuesta de caracters al fanumri cos
de 8 bi ts. Dos de estos caracteres pueden
acomodarse en una pal abra
de
comput ador .
Los formatos de pal abras
de i nstrucci n se muestran en l a Fi gura 11-3.
I a parte operati va de l a i nstrucci n
conti ene cuatro bi ts; el si gni fi cado de
l os i 2 bi ts restantes depende del cdi go de operaci n encontrado. una i ns-
trucci n d,e referenci a de memori o usa l os 12 bi ts restantes para especi fi car
una i nstrucci n. una i nstrucci n
de referenci a de regi stro i mpl i ca .ra ope-
raci n o prueba
con el regi stro A o el E. No se necesi ta un operando de l a
memori a; por tanto, l os 12 bi ts menos si gni fi cati vos son usados para especi -
fi car l a operaci n o l a prueba que se va a ej ecutar. una i nstrucci n de refe-
renci a de regi stro se reconoce por el cdi go 0110 en l a parte
de operaci n.
Si mi l armente una i nstrucci n de entrada-sal i da no necsi ta una referenci a
para l a memori a y se reconoce por el cdi go de operaci n 0111. Los 12 bi ts
restantes se usan para
especi fi car el di sposi ti vo parti cul ar y el ti po de ope-
raci n o prueba
real i zada.
Sol amente cuatro bi ts de l a i nstrucci n estn di sponi bl es para el cdi -
go
de operaci n. Parecera que
el computador est resi ri ngi do un mxi mo
de 16 operaci ones di ferentes. Si n embargo, como l as i nstrucci ones de refe-
renci a de regi stro y de entrada-sal i da usan l os 12 bi ts restantes como par-
te del
gdi go de operaci n, el nmero total de i nstrucci ones puede
e*"dut
de 16. De hecho, el nmero total de i nstrucci ones
escogi do para el compu-
t ador es 22.
De l as 16 di ferentes operaci ones que pueden
ser formul adas con cuatro
bi ts. sol amente ocho pueden
ser uti l i zadas por
el computador, porque
el bi t
de l a extrema i zqui erda de todas l as i nstrucci ones (bi t
16) es si -empre 0.
Esto dej a abi erta l a posi bi l i dad
de agregar nuevas i nstrucci ones y aumen-
tar l a capaci dad del computador, si se desea.
Las sei s i nstrucci ones
de referenci a de memori a para el computador se
l i stan en l a Tabl a 11-2. El di seo si mbl i co es una pul ub.u
de tres l etras y
Cdi go
hexa-
Smbol o deci mal Descri pci n
Funci n
AND 0 m*
ADD l m
STO 2m
$Z 3m
BSB 4m
BUN 5m
AND aA
Sumar a A
Al macenar en A
Incrementar y omi ti r si
es cero
Bifurcar a una subrutina
Bi furcar i ncondi ci onal mente
A<- A
\ M*
A <- A + M, E <- Arastre
MeA
M<- M + l , s i ( M+ l : 0) ent onc es
(PC +- PC + l)
M<- PC+5000, PC<- m* l
PC<- m
*n
es l a parte de di recci n de l a i nstrucci n. M es l a pal abra
de memori a di recci onada oor m
http://libreria-universitaria.blogspot.com
-|{
SEC. 11. 3 I NSTRUCCI ONES DE COMPUTADOR 485
representa una abrevi aci n que va a ser usada por programadores
!' usua-
ri os cuando se escri ben programas si mbl i cos para el computador. EI cdi -
go hexadeci mal l i stado es un nmero hexadeci mal equi val ente al cdi go
bi nari o adoptado por el cdi go de operaci n. Una i nstrucci n de referenci a
de memori a usa un dgi to hexadeci mal
(4
bi ts) para el cdi go de operaci n;
l os tres dgi tos hexadeci mal es restantes (12
bi ts) de l a i nstrucci n repre-
sentan una di recci n desi gnada por l a l etra m. Cada i nstrucci n ti ene una
breve descri pci n en pal abras y se especi fi ca ms
preci samente en Ia co-
l umna de funci n con una proposi ci n de macrooperaci n. Una cl ari fi caci n
de cada i nstrucci n se da a conti nuaci n, conj untamente con una expl i ca-
ci n de su uso.
AND de . 4
Esta es una operaci n l gi ca que ej ecuta una operaci n AND a l os pares de
bi ts correspondi entes en A, con l a pal abra M de memori a especi fi cada por
l a parte de di recci n de l a i nstrucci n. El resul tado de l a operaci n se dej a
en el regi stro A, rempl azando su conteni do anteri or. Cual qui er computador
debe tener un conj unto bsi co de operaci ones l gi cas para el mani pul eo de
l os datos no numri cos. Las operaci ones l gi cas ms comunes que se encuen-
tran en l as i nstrucci ones del computador son el AND el OR, el OR-excl usi vo
y el compl emento. Aqu se usa sol amente el AND y el compl emento. Este
l ti mo se i ncl uye como i nstrucci n de referenci a de regi stro. Estas dos ope-
raci ones l gi cas consti tuyen un conj unto mni mo del cual se pueden
deri var
todas l as dems operaci ones l gi cas porque el AND y el compl emento
j un-
tos, forman l a operaci n NAND. En l a Secci n 4-7 se observ que sta es
una operaci n uni versal de l a cual se puede obtener cual qui er otra opera-
ci n l gi ca.
SUMAR a /
( ADD)
Esta i nstrucci n agrega el conteni do de Ia pal abra M de memori a, especi fi -
cada por l a parte
de di recci n de l a i nstrucci n al conteni do presente
del
regi stro A. La suma se hace asumi endo que l os nmeros negati vos estn
en su forma de compl emento de 2. Esto requi ere que el bi t de si gno se agre-
gue de l a mi sma forma que se agregan todos l os dems bi ts. El arrastre de
sal i da proveni ente de l a posi ci n del bi t de si gno se trasfi ere al fl i p-fl op E.
Esta i nstrucci n, conj untamente con l as i nstrucci ones de referenci a de
regi stro, es sufi ci ente para escri bi r programas para confi gurar todas l as
dems operaci ones ari tmti cas. La sustracci n se l ogra compl ementando
e i ncrementando el sustraendo. La mul ti pl i caci n se l ogra sumando y des-
pl azando. El i ncremento y despl azami ento son i nstrucci ones de referenci a
de registro.
La i nstrucci n ADD
(sumar)
debe ser usada para cargar una pal abra
de l a memori a al regi stro A. Esto se hace borrando el regi stro A con l a i ns-
trucci n CLA de referenci a de regi stro (defi ni da
en l a Tabl a 11-3). La pal a-
bra requeri da se descarga de Ia memori a agregndol e al regi stro A previ a-
mente borrado.
http://libreria-universitaria.blogspot.com
ALMACENAR
en , 4
( STORE)
Esta i nstrucci n al macena
el conteni do del regi stro A en l a pal abra
de me-
mori a especi fi cada por l a di recci n de i nstruci n.
Las tres i nstrucci ones
de referenci a de memori a se usan para
mani pul ar l os datos, entre l a pal a-
bra d9 memori a y
el regi stro A. Ls tres i nstrucci ones
si gui entes son i ns-
trucci ones
de control que
causan un cambi o en l a secue".rci a normal del
programa.
l ncr ement ar y
omi t i r en caso de cer o
f l SZ)
La i nstrucci n
de i ncrementar y
omi ti r es ti l para l a modi fi caci n
de di _
recci n y para
contar el nmero de veces que se ej ecuta un bucre de progra-
ma..un nmero negati vo al macenado previ ament
en l a memori a en l a di -
recci n rn se l ee por
medi o de l a i nstruCci n
ISZ. Este nmerose i ncrementa
en 1 y se al macena de nuevo a l a memori a. La i nstrucci n
si gui ente es omi -
ti da si despus de ser i ncrementada
el nmero l l ega a cero. ,
"l
fi nal del
bucl e de programa,
se col oca una i nstrucci n
ISZ segui da de una i nstruc-
ci n de bi furcaci n
IBUN)
que i ncondi ci onal ment"
"yu al comi enzo del
bucl e del programa.
Si el nmero al macenado no l l ega cero, el programa
regresa
de nuevo a ej ecutar el bucl e. si ste l l ega a"cero, l a si gui ent"e i ns-
trucci n (BUN)
es omi ti da y
el programa
conti na ej ecutando i nstrucci o-
nes despus del bucl e del programa.
Bi f ur caci n
i ncondi ci onal ( BUN)
Esta i nstrucci n
trasfi ere el control i ncondi ci onal mente
a l a i nstrucci n
en el l ugar especi fi cado por l a parte
m de l a di recci n. Recurdese que
el
contador del programa
reti ene l a di recci n de l a si gui ente i nstrucci n que
se va a l eer y
ej ecutar. Normal mente
el
pc
se i ncreri renta para dar l a di rec-
ci n de l a si gui ente i nstrucci n
en secuenci a. El progr"rrrudo.
ti ene l a pre-
rrogati va de especi fi car cual qui er otra i nstrucci n l uera de l a secuenci a
usando l a i nstrucci n
BUN. Esta i nstrucci n
l e di ce al computador que
tome l a parte
m de l a di recci n y l a trasfi era ar
pc.
La di recci n de l a si -
gui ente
i nstrucci n que se va a ej ecutar estar ahora en el
pc
y
es aquel l a
que
antes era l a parte
de l a di recci n de l a i nstrucci n BUN
La i nstrucci n
BUN est l i stada con l as i nstrucci ones
de referenci a
de memori a porque necesi ta
una parte
m de di recci n.
si n embargo, no ne-
cesi ta una referenci a de l a memori a para
tener acceso a una pl abra
de
memori a (desi gnada
-por
el smbol o M), como se requi ere por otras i nstruc-
ci ones de referenci a de memori a.
Bi f ur car a l a subr ut i na ( BSB)
Esta i nstrucci n
es ti l para
l a bi furcaci n a l a parte de una subruti na de
programa.
cuando se ej ecuta, sta al macena l a di recci n de l a si gui ente
i nst ucci n
en secuenci a, l a cual est al macenada al present e
en el
p?
l l l a-
mad,a di recci n de retorno),
en l a pal abra
de memori a especi fi cada por l a
parte
de di recci n de l a i nstrucci n.
Tambi n al macena el cdi go d" l p".u-
486
http://libreria-universitaria.blogspot.com
E
SEC. 11- 3
I NSTRUCCI ONES DE COMPUTADOR 487
ci n del BUN
(hexadeci mal 5) en el mi smo l ugar de l a memori a. El conteni do
de l a parte m de l a di recci n ms 1 se trasfi ere al PC para comenzar Ia ej e-
cucin del programa de la. subrutina en esta parte especfica. Una vez se
haya ej ecut"ao-" subruti na, el control se trasfi ere al programa de l l amado
poi medi o de un i nstrucci n BUN col ocada al fi nal de l a subruti na.
'
El proceso de bi furcaci n a l a subruti na
y el regreso al programa de l l a-
mado s muestra en l a Fi gura 11-4 por medi o de un ej empl o numri co espe-
cfi co. El programa de l l amado est ahora en Ia posi ci n 32. El programa de
subruti na comi enza en l a posi ci n 65. La i nstrucci n BSB causa una tras-
ferenci a a l a subruti na
y l l ti ma i nstrucci n en l a ruti na causa una bi fur-
caci n de regreso haci a l a posi ci n 33 en el programa de l l amado. El ej empl o
numri co ttt l " Fi guta 11-4 muestra una i nstrucci n BSB en l a posi ci n 32
con una parte m d l a di recci n
i gual al bi nari o 64. Mi entras
que se ej t:cuta
esta i nstrucci n el PC al macena l a di recci n de l a si gui ente i :' :.::i i ' .i l ci oi :r
en secuenci a, l a cual es 33. La i nstrucci n BSB real i za l a macrooperaci n
(ver Tabl a 11-2):
M<- PC+5000. PC<- m* l
El conteni do del PC ms el hexadeci mal 5000
(cdi go para el BUN) son tras-
feri dos a l a posi ci n 64. Esta trasferenci a
produce una i nstrucci n BUN 33.
La parte de l a di recci n de l a i nstrucci n se i ncrementa y se col oca en el
PC- El PC al macena el bi nari o equi val ente a 65 de manera
que el compu-
tador comi enza a ej ecutar l a subruti na en esta posi ci n. La l ti ma i nstruc-
ci n en l a subruti na es BUN 64. Cuando esta i nstrucci n se ej ecute, el
control se trasfi ere a l a i nstrucci n en l a posi ci n 64. Pero en l a posi ci n
64, hay una i nstrucci n que se bi furca de regreso a l a di recci n 33. La di -
Di recci n
(dec)
I
+
Memor i a
0100 00000 l 000000
0 l 0 l 000000 I 0000 I
0 l 0 l 00000 I 000000
J I
33
BSB 64
m= 6 4
m+ l = 6 5
BUN 33
I
t
I
Subrutina
)
BUN 64
Fi gur a 11- 4 Demost r aci n de una i nst r ucci n de bi f ur caci n a l a subr ut t na
http://libreria-universitaria.blogspot.com
488 DI SEO DE COMPUTADORES
Tabl a 11-3 Instrucci ones
de referenci a
de egi sto
cAP. 1 1
recci n
al macenada
en l a posi ci n
64 por
l a i nstrucci n
BSB tendr l a di -
recci n
de regreso propi a,
no i mportando
dnde ;;;tl ";.trucci n
BsB
l ocal i zada.
De esta mane.a,
"l
."gr".o
u ta surui i .r-..
.i p.e
a una posi -
ci n un l ugar
mavor.que
l a posi c"i n
de l a i nsti u";;B' s-.
Ntese que
el
nmero
de l a di recci n
de l a i nstucci n
BUN r"""l i "r"f
ri nal de l a sub_
ruti na
debe ser si empre i gual
al nmero
de ra di recci J"
a""a" se al macena
temporal mente
l a di recci n
de regreso,
el cual .. o
""-".' "uro.
I nst rucci ones
de ref ere, nci a
de regi st ro
Las 12 i nstrucci ones
-de
referenci a
de regi stro para
el computador
se l i stan
en la Tabla 11-8. cada instruccin
" ,;?;-rl.,i.il;;;c*;;5
ri".," un cdigo
de operaci n
0110
(hexadeci mal
)
V
conti ene
un sol o 1 en uno de l os 12
bi ts restantes
de l a i nstrucci n.
et. l nstrucci ones
se especi fi can
con cua_
tro dgitos
hexadecimalp^s,
los cuales representan
todos los 16 bits de una
pal abra
de i nstrucci n.
Las p.i mera,
.i te i rr.truc"i one.-r"al i zan
una ope-
racin
sobre los registros
'q y
o y se explic"" p;;-;;-;;i*-.
r,". siguientes
cuatro instrucciones
de om.isin
iskip) s usan para
control
del programa
condi ci ona-do
por
ci ertos bi ts e' cor,i ci n.
pa
",,,i ;i ;-l ;
si gui ente
i ns_
trucci n
el PC se i ncrementa
en 1 de nuevo.
El pri mer
i ncremento
ocurre
cuando
se l ee l a i nstrucci n
actual .
De esta -rn. l a si gui ente
i nstrucci n
leda
de la memoria
est dos posiciones
ms arriba
de la posicin
de la pre-
sent e i nst rucci n (omi si n).
Los bi ts de condi ci n para
ras i nstrucci ones
de omi si n
son el bi t de
si gno en A, el cual est en ei ,ni p-fl op
Ata
!
l a condi ci n-u.".o
para
A E.
si l a c.ndi ci n
de sratus
-de' i g.,ado' ;i
pru.;;t;;-;;
;;;" l a si gui ente
instruccin
en secuencia;
de otia
ma.reru
"i_ "o,,'p.ri"", """tina
a partir
de l a si gui ente
i nstrucci n
en r..u"".i ,
debi do a que
er
pc
no se i ncre_
menta.
Cdi go
hexa-
Smbol o
deci mal
Descri pci n
Funci n
CLA
CLE
CMA
CME
SHR
SHL
INC
SPA
SNA
SZA
SZE
HLT
6800
6400
6200
6100
6080
040
6020
6010
6008
004
6m.2
6001
Borrar A
Borrar E
Compl ementarA
Compl ementar,E
Despl azar
a l a derechaA y
E
Despl azar
a l a i zqui erdaA y
E
Incrementar
A
Omi ti r con A posi ti vo
Omi ti r con A negati vo
Omi ti r con A cero
Omi ti r con E cero
Detener
el computador
A <- 0
E <- 0
A <-. 4
E<- - E
I <-- sh A, A6<- E, E <- A1
I <- shl A, A1<- E, E <- A6
A< - A* l
! l ( Ar 6
: 0) ent onc es
( pC<-
pC
+ l )
9i f , o
: 1)
ent onces (PC<-
PC + t )
l i ft:0)
entonces
(pC <--
pC
+ t)
S-i
t: 0) entonces
(pC <-
pC
+ l)
s<- - 0
http://libreria-universitaria.blogspot.com
E
sEc. 1 1- 4 SI NCRONI ZACI ON DE TI EMPO Y CONTROL
489
La i nstrucci n de detenci n
(hal t)
se col oca por l o generai al nal i e
un programa si se desea detener el computador. Su ej ecuci n borra el t-Irp-
flop de parada y
comienzo
para evitar operaciones posteriores.
l nst r ucci ones de ent r ada- sal i da
El computador ti ene cuatro i nstrucci ones de entrada-sal i da que se l i stan
en l a Tabl a 11-4. Estas i nstrucci ones ti enen un cdi go de operaci n 0111
(hexadeci mal
7) y cada una conti ene un 1 en sol amente uno de l os 12 bi ts
restantes de l a pal abra de i nstrucci n. Las i nstrucci ones de entrada-sal i da
se especi fi can con cuatro dgi tos hexadeci mal es comenzando con 7.
Tabl a 11- 4 I nst r ucci ones de ent r ada- sal i da
Cdi go
hexa-
Smbol o deci mal Descri pci n Funci n
SKI
I NP
SKO
OUT
7800 Omi ti r con el
7400 Introduci r aA
7200 Omitir con el
7100 Sacar deA
i ndi cador de ent rada
i ndi cador de sal i da
Si (No
:
l) entonces (PC <- PC +
At - a + N1 - s , Ne < - 0
Si (Un
:
l ) ent onces(PC <- PC +
Ut - a + A1 - s , Ue < - 0
l )
r)
La i nstrucci n INP trasfi ere el caracter de entrada de l / hasta A y
borra tambi n el i ndi cador de entrada en Ns. La i nstrucci n OUT tras-
fiere un cdigo de caracter de 8 bits desde A hasta el registro de entrada
y
tambi n borra el i ndi cador de sal i da en [/s . Las dos i nstrucci ones de
omi si n comprueban l os i ndi cadores de condi ci n correspondi entes
y cau-
san una omi si n de l a si gui ente i nstrucci n si el bi t i ndi cador es 1. La i ns-
trucci n que se omi te es una i nstrucci n BUN. La i nstrucci n BUN no se
omi te si el bi t i ndi cador es 0; sta causa una bi furcaci n de regreso a l a
i nstrucci n de omi si n para comprobar de nuevo el i ndi cador. Si el bi t
i ndi cador es 1 se omi te l a i nstrucci n BUN y se ej ecuta Ia operaci n de en-
trada o sal i da. As, el computador permanece en un bucl e de dos i nstruc-
ci ones
(omi si n
en i ndi caci n y bi furcaci n de regreso a l a i nstrucci n an-
teri or) hasta que el bi t i ndi cador sea puesto a uno medi ante un di sposi ti vo
externo. La si gui ente i nstrucci n en secuenci a debe ser una i nstrucci n
de entrada o sal i da.
1' I - 4 SI NCRONI ZACI ON DE TI EMPO Y CONTROL
Todas l as operaci ones del computador estn si ncroni zadas por un generador
de tiempo maestro cuyos pulsos de reloj se aplican a todos los flip-flops del
si stema. Adems, est di sponi bl e ci erto nmero de vari abl es de ti empo en
l a uni dad de control para darl e secuenci a a l a operaci n en el orden ade-
cuado. Esas vari abl es de ti empo se desi gnan como 6, tt, tz y t3 y se
muestran en l a Fi gura 11-5. Los pul sos de rel oj ocurren una vez cada mi -
crosegundo
(s). Cada vari abl e de ti empo es de 1^rs de duraci n
y ocu-
rre una vez cada 4
rs.
Se asume que el di sparo de l os fl i p-fl ops ocurre
http://libreria-universitaria.blogspot.com
490 DI SEO DE COMPUTADORES cAP. 1 1
durante el fl anco negati vo de l os pul sos de rel oj . Se puede control ar el pul so
de reloj especfico
que dispara el registro, aplicando una de las variables de
ti empo al termi nal de entrada de habi l i taci n de un regi stro dado. Las va-
riables de tiempo se repiten continuamente de manera que f6 aparezca
despus de 3. Cuatro vari abl es de ti empo son sufi ci entes para l a ej ecuci n
de cual qui er i nstrucci n en el computador que consi deramos aqu. En otras
si tuaci ones podra ser necesari o empl ear un nmero di ferente de vari abl es
de ti empo.
Se asume que el ti empo de acceso de memori a es menor que 1
rs.
Una
operaci n de l ectura o escri tura de memori a puede i ni ci arse con una de l as
vari abl es de ti empo cuando sta se ponga al ta. La operaci n de memori a se
compl etar en el momento en que l l egue el pul so si gui ente de rel oj .
El computador di gi tal opera con pasos di scretos control ados por l as
seal es de ti empo. Una i nstrucci n s l eda de l a memori a y ej ecutada en
Ios regi stros por medi o de una secuenci a de mi crooperaci ones. Cuando el
control reci be una i nstrucci n, ste genera l as funci ones de control ade-
cuadas para l as mi crooperaci ones requeri das. En Ia Fi gura 11-6 se muestra
un di agrama de bl oque de l a l gi ca de control . Una i nstrucci n que se l ee
de l a memori a se col oca en el regi stro B separador de l a memori a. La i ns-
trucci n ti ene un cdi go de operaci n de 4 bi ts, desi gnado por el smbol o
OP. Si sta es una i nstrucci n de referenci a de memori a tendr una parte
de di recci n desi gnada por el smbol o AD. El cdi go de operaci n se tras-
fi ere si empre al regi stro de i nstrucci n /. El cdi go de operaci n en .I se
decodi fi ca en ocho sal i das
eo
-
et,
si endo el nmero suscri to i gual al cdi -
go hexadeci mal para l a operaci n. El regi stro G es un contador de 2 bi ts que
cuenta conti nuamente l os pul sos de rel oj , durante el ti empo en que el fl i p-
fl op S, de comi enzo-parada, est puesto a uno. Las sal i das del regi stro G se
decodi fi can en cuatro vari abl es de ti empo to
-
te. El fl i p-fl op F di sti ngue
entre l os ci cl os de bsqueda y de ej ecuci n. Otras condi ci ones de status
son necesari as para determi nar Ia secuenci a de control . Las sal i das de l os
ci rcui tos de l gi ca de control i ndi can todas l as mi crooperaci ones para el
computador. El di agrama de bl oque de l a l gi ca de control es ti l para vi sua-
l i zar l a uni dad de control del computador, cuando se deri van l as operaci o-
nes de trasferenci a entre regi stros durante el proceso de di seo de l a l gi ca.
La red de l gi ca de control es un ci rcui to combi naci onal
que consi ste
de una conexi n al eatori a de compuertas. Su confi guraci n consti tuye un
control de el ementos i nterconectados. Se ver en l a Secci n 11-7 que l a par-
te de control del computador puede confi gurarse con arregl os l gi cos progra-
mabl es. La confi guraci n del PLA rempl aza l a red l gi ca de control , como
tambi n l os decodi fi cadores de operaci n y si ncronzaci n. En l a Secci n
11-7 se muestra que el control puede ser confi gurado parci al mente con una
uni dad de mi croprograma. La confi guraci n de control del mi croprograma
rempl azar Ia red de l gi ca de control , Ios dos decodi fi cadores y l os regi stros
I v G.
11- 5 EJECUCI ON DE I NSTRUCCI ONES
Hasta el momento se ha consi derado el di seo del si stema del computador.
Se ha especi fi cado Ia confi guraci n del regi stro, el conj unto de i nstrucci o-
http://libreria-universitaria.blogspot.com
qF
' s
->l
i rs >l
Pulsos de
rel oj (CP)
t ,
Fi gura 1l -5 Seal es de ti empo del computador
16 13 r l
BQP) I
BI AD)
Funci ones de contro
par a r nr cl ar
mi crooperaci ones
Ot r as condi ci ones
de status
:codi fi cad
de ti empo
Fi gur a l 1- 6 Di agr ama de bl oque de l a l gi ca de cont r ol
491
http://libreria-universitaria.blogspot.com
492 DI SEO DE COMPUTADORES
cAP. 1 1
nes del computador, una secuenci a de ti empo y l a confi guraci n de l a uni -
dad de conr r ol . En est a secci n, se comi enz cn l a f ase" de di seo del com-
putador.
El pri mer paso es especi fi car l as mi crooperaci ones
conj untamente
con l as funci ones de control necesari as para
ej ecutar cada i nstrucci n de
mqui na.
Las operaci ones de trasferenci a entre regi stros descri ben de una for-
ma conci sa el proceso
de trasferenci a de i nformaci n
dentro de l os regi stros
del computador. cada proposi ci n
de l a descri pci n consi ste de un fun-
ci n de control , segui _da de una coma, segui da de una o ms mi crooperaci o-
nes con notaci n si mbl i ca. La funci n de control es una funci n de Bool e
cuvas vari abl es son l as seal es de ti empo to
-
tt, l a operaci n decodi fi cada
Q
-
Q;
y
ci ertas condi ci ones de l os bi ts de condi ci n. Las mi crooperaci o-
nes son especi fi cadas de acuerdo con l a notaci n si mbl i ca defi ni da en el
mtodo de trasferenci a entre regi stros.
una vez que se acti ve el i nterruptor
de comi enzo, l a secuenci a del com-
putador
si gue un patrn
bsi co. Una i nstrucci n
cuya di recci n est en el
PC se l ee de l a memori a.
su parte
de operaci n se trasfi ere al regi stro I y
el PC se i ncrementa
en 1 para prepara.l a para l a di recci n de l a
"si gui entl
i nstrucci n. Si l a i nstrucci n
es del ti po rferenci a de memori a, poJra
ser
necesari o accesar de nuevo l a memori a para
l eer el operando. Asj l as pal a-
bras l edas de l a memori a al regi stro B pueden
ser l nstrucci ones o datos.
El fl i p-fl op F se usa para di sti ngui r entre l os dos. cuando F:0, l a pal abra
l e da de l a memor i a, se i nt er pr et a
como una i nst r ucci n y. "
hi " " ' que el
comput ador est en el ci cl o de bsqueda de l a i nst r ucci n.
uando F: 1, l a
pal abra
l eda de l a memori a se toma como un operando y se di ce que
el cm-
putador
est en el ci cl o de ej ecuci n.
Ci cl o de bsqueda ( f et ch)
una i nstrucci n
se l ee de l a memori a durante el ci cl o de bsqueda. Las re-
l aci ones
de trasferenci a entre regi stros que
especi fi can este proceso
son:
F' to: MAR <- PC
F',tr: B <- M, PC <- PC + |
F' tr: I <-- B(OP)
cuando F- 0, l as seal es de t i empo t o, t t y
2 i ni ci an una secuenci a de
operaci ones que trasfi eren el conteni do del PC al MAR, i ni ci an una Iectura
de memori a, i ncrementan el PC y
trasfi eren el cdi go de operaci n de l a i ns-
trucci n al regi stro 1. Todas l as mi crooperaci ones
se ej ecutan cuando l a
funci n de control es de l gi ca 1 y cuando ocurre un pul s
de rel oj . Las mi -
crooperaci ones
en l os regi stros y l a trasferenci a de l a pal abra
de memori a
a B son ej ecutadas durante el fl anco negati vo del pul s de rel oj . Esto ocu-
rre
j ustamente
antes del momento en que l a vari abl e de ti empo especi fi -
cada va a cero.
El cdi go de operaci n en el regi stro 1 se decodi fi ca en el ti empo r.
El si gui e- nt e pal o
depende del val or d" q, , l : 0,
1, . . , 7, que pr oduc
un' 1
a l a sal i da del decodi fi cador. Si l a sal i da decodi fi cada es una i nstrucci n de
http://libreria-universitaria.blogspot.com
SEC.
' I
1 - 5
EJ ECUCI ON DE I NSTRUCCI O\ ES
4 9 3
referenci a de memori a, se puede necesi tar un operando. Si no. se pueci e e' e-
cutar l a i nstrucci n durante el ti empo 3.
La i nstrucci n BUN y l as i nstrucci ones de referenci a de regi stros e
i nstrucci ones de entrada-sal i da no necesi tan un segundo acceso de l a nre-
mori a. El computador ti ene que pasar a un ci cl o de ej ecuci n para accesar
de nuevo l a memori a, cuando se encuentra con un cdi go de operaci n 0, 1,
2, 3, 4. Esta condi ci n se detecta a parti r del decodi fi cador de operaci n,
el cual causa una trasferenci a al ci cl o de ej ecuci n,
poni endo F a 1:
F' (qo *
Qt
*
ez
* qt * qo)tt: F<- I
Las operaci ones comunes de trasferenci a entre regi stros durante el ci cl o
de bsqueda se l i stan en l a Tabl a 11-5.
Tabl a l l -5 Operaci ones de trasferenci a entre regi stros durante el ci cl o de bsqueda
F' t o:
F' l t ' .
F' t z' .
F' (qo +
et
*
Qz
+ q3 + q)t3: F <- |
4stt' .
Qot{
q 7 t
3 .
MAR e PC Trasferi r l a di recci n de i nstrucci n
B <- M, PC <- PC * I Leer l a i nst rucci n, i ncrement ar PC
r <- B(oP) Trasf eri r cdi go de operaci n
I r a ej ecut ar ci cl o
Bi f u rcar i ncondi ci onal ment e
(BUN)
PC <* B(AD)
Ver Tabl a 11-8 Instrucci n de referenci a de regi stros
Ver Tabl a 11-9 Instrucci n de entrada-sal i da
Las i nstrucci ones BUN ti enen un cdi go de operaci n 5 y su corres-
pondi ente sal i da del decodi fi cador de operaci n s gs. Esta i nstrucci n no
necesi ta un operando de l a memori a, aunque est l i stado como una i nstruc-
ci n de referenci a de memori a. Esta especi fi ca
que l a si gui ente i nstrucci n
se tome de Ia posi ci n dada por l a parte m de l a di recci n. La parte de di -
recci n de l a i nstrucci n est en B(AD) en el ti empo 3 del ci cl o de bs-
queda. La i nstrucci n puede ej ecutarse durante el ci cl o de bsqueda en ese
ti empo:
Qst {
PC <- B( AD)
No hay necesi dad de i ncl ui r F en Ia funci n de control
porque el ni co ti em-
po en
Que Q;
puede ser 1 es durante el ci cl o de bsqueda. La mi croopera-
"i On
q,ru ej ul a l a i nstrucci n especi fi ca una trasferenci a de l os bi ts t has-
ta 12 del regi stro B al PC. La si gui ente vari abl e de ti empo despus de f3 es
si empre . Como F permanece en 0 para esta i nstrucci n, el computador
t"g.ei u al comi enzo del ci cl o de bsqueda
para l eer l a i nstrucci n dada
por
el PC.
Las i nstrucci ones de referenci a de regi stros son reconoci das a parti r de
l a sal i da del decodi fi cador
qo y l as i nstrucci ones de entrada-sal i da de qt.
Como estas i nstrucci ones requi eren sol amente una mi crooperaci n ms pa-
ra su ej ecuci n, el l as se pueden determi nar en el ti empo 3 durante el
ci cl o de bsqueda. Est e hecho se i ndi ca en l a Tabl a 11- 5. Las mi cr ooper a-
ci ones especfi cas se l i stan en tabl as
posteri ores.
http://libreria-universitaria.blogspot.com
Ci cl o de ej ecuci n
El fl i p-fl op F es i gual a 1 duante el ci cl o de ej ecuci n. Las cuatro vari abl es
de ti empo que ocurren durante el ci cl o real i zan l as mi crooperaci ones para
ej ecutar una de l as i nstrucci ones
de referenci a
de memori a. La i nstrucci n
que se va a ej ecut ar se especi f i ca por
medi o de l a var i abl e q, , i : 0, r , 2, 8,
4 di sponi bl e para
el decodi fi cador de operaci n. La parte de di recci n l a
i nstrucci n
estar en l os bi ts 1 a 12 del regi stro B, si mbol i zado B(AD), al
fi nal del ci cl o de bsqueda. Esta di recci n Je trasfi ere al MAR al comi enzo
del ci cl o de ej ecuci n para servi r como l a di recci n de memori a para
l a
pal abra
de memori a subsecuente:
Fto: MAR <- B(AD)
Las i nstrucci ones que necesi tan un operando de Ia memori a son el AND
( go) ,
ADD ( q, )
e I SZ ( q3) .
Las ot r as dos i nst r ucci ones STO
( qz) y
BSB
(qn
)
al macenan
un val or en l a memori a y
son ej ecutadas duante i si gui en-
t e oper aci n de l ect ur a de memor i a:
F(qo + qt * qz)tt: B <- M
La i nstrucci n
decodi fi cada parti cul ar
se ej ecuta con l as vari abl es de ti em-
w
tz y f3. En el ti empo f3 se borra el fl i p-fl op F para que el computador
regrese al ci cl o de bsqueda:
Ftr: F<_ 0
La si gui ente vari abl e de ti empo despus de 3 es e.
pero
como ahora F
es i gual a 0, por
tanto l a si gui ente funci n de control es F,n. Esta es l a
pri mera
funci n de control en el ci cl o de bsqueda. As, despus de ej ecutar
l a i nstrucci n
corri ente, el control regresa si mpre al cl cl o i e btsquaa pa-
ra l eer l a si gui ente i nstrucci n
cuya di .ecci n ei t en el
pC.
Las operaci o-
nes comunes real i zadas durante el ci cl o de ej ecuci n se l i stan en l Tabl a
11- 6.
Las ci nco i nstrucci ones
de referenci a
de memori a y sus correspondi en-
tes operaci ones
de regi stro se l i stan en l a Tabl a 11-?. Estas i nstrucci ones
son ej ecut adas cuando F: 1 y
con l as var i abl es de t i empo t 2 y
t s, La
operaci n decodi fi cada q, determi na l a i nstrucci n parti cul ar que s ej e-
cut a.
Tabl a 1l - 6 Oper aci ones comunes par a el ci cl o de ej ecuci n
,J
Fts:
F( qo+qr * qr ) t r :
F(t2 + t):
Ft3:.
MAR <- B(AD)
B<_M
Ver Tabl a 11-7
F <- 0
Trasferir parte
de Ia direccin
Leer el operando
Ejecutar la instruccin
de referencia
de memoria
Regresar al ciclo de bsqueda
http://libreria-universitaria.blogspot.com
Tabl a 1l -? Ej ecutar l as i nstucci ones
de referenci a de memona
AND Fqot3l
ADD FqJ3' .
STO Fqzt2i
Fq2t 3:
ISZ F%t2i
Fqlt3:
Fq3B"t3:.
BSB Fq4tz:
Fq4t3:
A<- A
\ B
A <- A + B, E <- Arrastre
B<- - A
M<_B
B<- B+l
M<- B
PC<. PC+I
B(AD)
<- PC, B(OP)
<-- 0101,
PC <- MAR
M<- B, PC<- PC+I
Microoperacin
AND
Microoperacin
de suma
Trasferir A a B
Al macenar
en Ia memori a
lncrementar
Ia
Palabra
de memoria
Almacenar
de nuevo en la memoria
Omi t i r si B.
:
1
( B: 0)
Trasferir a la direccin
de regreso,
trasferi r
Ia di recci n
al PC
Almacenar
la direccin
de regreso,
i ncrementar
l a di recci n en el PC
Las i nstrucci ones
AND
y ADD se ej ecutan con l as vari abl es de ti empo
f3, ne
pueden t.rru, t".-u"ri abl es
t2 como-
-rempl azo'
El operando
de
l a memori a
ha si do l i asferi do
a B con l vari abl e de ti empo tv' La opera-
.il .or.".porrdie.rte
puJe ejecutarse
ahora entre los registros B
y A'
La i nstrucci n
Si O espci fi ca
una trasferenci a
del conteni do
de A a l a
putu* e memori u
",ry".' di recci ones
fueron trasferi das
al MAR con Ia
vari abl e de ti empo ro. f conteni do
de A se trasfi ere
pri mero a B y l a ope-
raci n de escri tura
ti asfi ete
el conteni do
de B a l a pal abra de memori a es-
peci fi cada
Por
MAR:
Fq2tzi B <-- A
Fqrtr: M <- B
La i nstrucci n
ISZ se ej ecuta
Fqr t r :
B<- B*
Fqrtr:
M <- B
con l as si gui entes
mi crooperaci ones:
I
FqrB"tr: PC <- PC + | B, : l i f B: 0
La pal abra de l a posi ci n M fue col ocada
en B durante
el ti empo r
(ver
Tabl a 11-6). El regi stro B se i ncrementa
en el ti empo t2
y el nuevo val or
se almacena
una ur" *r r" la memoria.
Durante todo este tiempo el MAR
no cambia,
. -"r,.ru
e
especifica
siempre
la direccin
de M. Recurdese
"
;;;
labra
de ,rr"iior'"o
puede
"".
in"r"*.ntada
cuando
est locali-
zada en la memoria.
-D;t"
ser trasferida
a un registro
procesador donde se
p"""
":..rtar
el conteo.
Mi entras
que el nmero i ncrementado
se al mace-
na en l a memori a,
t;;;;"b;
su val or en B; si es 0' se i ncrementa
el PC
pata
causar una omi si .r-a-"
rrtt" i nstrucci n.
La vari abl e B" usada en l a l ti ma
proposi ci n es una
"ui i fi fu
de detecci n
de cero
y es i gual al bi nari o 1 si el
registro B contiene un nmero de solo ceros'
La i nstruccl r,
gSf
;. l a i nstrucci n di sponi bl e
ms.compl i cada
en el
"o-prrt"ot.
U.ra formu
po.iUf" de ejecutar esla instruccin
es como sigue:
495
http://libreria-universitaria.blogspot.com
Fqotr:
B(AD)
<_
pC,
B(Op)<__
0101,
pC
<_ MAR
Fqotr:
M <_ B,
pC
<__
pC
+ |
La di recci n
de regreso
di sponi bre
en el -pc
se trasfi ere
a l a parte
de di rec_ cin del registro' J
1
"diq;i' e' uxl
." i."r;;;;r;
parte
del cdigo de operaci n
del mi smo
reg-i stro.
Recurdese.que
el regi stro
de di recci n
MAR
contiene
la
p1{e de i" ir"".l?"
de la iJrt.*"ii
iesignada
wr
m. La trasferenci a
dei
MAR ar
pc;;i ;;
ae l a t.asi e*""i "' a"
m al
pc.
Todo i o anteri or
se hace
duranl e
u
"".*i l
de ti empo
tr. Ladi recci n
de re- greso
se al macena
en l a memori a
e' Li ti empo
r. e
pcre
i ncrementa
en esre
t i empo
de manera. que
l a i nst rucci n
q. ru. u
l "u^ai r, t "
el si gui ent e
ci cl o
de bsqueda
estar
" U p".l "i "
m * 1..
I nst rucci ones
de ref erenci a
ent re
regi st ros
Las mi crooperaci ones
de regi stro
que
ej ecutan
l as i nstrucci ones
de referen_
ci a de regi stros
se ri stan
en"ra
Tabra
tl -g.
E.tu. i ".";i ;;es
se reconocen
en el termi nal
de sal i da go
del *"i n."ao.
a" of".";t"
;
son ej ecutadas
durante
el ti emp<,
, der i ,i .r" au ,i Jqu"-au.
p".
","i ""r*Ji u
." defi ne
una nueva
vari abl e r:
eatz
y
se usa en i o.r^as r"r r"""i ""uJ' L
"o.,tror
de re- ferenci a
entre regi stror.
i l ."rto
"' r"J
rr.,"i or".-a";;;;
se determi nan
a parti r
de uno de sus bi ts en et regi .i i o
B; ;i ;;i ;;;;;
resto
de ra i ns_ trucci n permanece
armacenado
.""
tl empo.
por
ej empl o,
ra i nstrucci n
cLA ti ene
el cdi go.
tt"r"a.".i -r
o' gdd,
"l
.uar corresponde
al cdi go bi nari o
01i 0 1000 0000 0000..-Er
cdi go
ae opei aci n.u
d."oi i i i "l ' "-pu.ti .
del regi s_
:.:
1t es i gual
a qu.
El bi r 12"en
"l -rl It,o
b;l ;
J;;i i ;
o,ru
l a funci n
o. .f::ro-l _,que
ej ecuta
esta i nstru""i Z.,
".
,e6tsB1z
:
rBtz.
Las prl meras
si et e i nst rucci ones
de ref ei enci a-ent re' i egi st ros
ej ecut an l as operaci ones
de borrado,
"";;;";;";
;"roi ;;;;i l ;;",T
i ncremento
en el regi stro
A E. Las si g' i en;;;;;
i r,rt.,i ""ron;";;;
i nsrrucci ones
de
Tabla 11-g
Ejecucin
de ras instrucciones
de referencia
entre registros
r
:
Qatt
CLA
rB12:
CLE
r B1 :
CMA
186:
CME
rBe:
SHR
TB:
SHL
rB7:
INC
rBu:
SPA
rB5Aiu:
SNA
rBaA16:
SZA
rB3A,:
SZE
rB2E,:
HLT
rBy:
A <- 0
, g<- 0
A<_A-
E<_E
I <-- slr A, A6 <- E, E <__ A,
I <- shl A, A1<_ E, E <_ A 6
A<- A* l
PC<- . PC+I
PC<_PC+I
PC<_PC+1
PC<- PC+I
S+- 0
Borrar
A
Borrar
E
Compl ementarA
Compl ementar
E
Despl azar
a l a derecha
A v E
Despl azar
a l a i zqui erda
A v E
Incrementar
A
Incrementar pC
si A es positivo
Incrementar
PC si A es negativo
Incrementar pC
si A es cero
Incrementar pC
si E es cero
Borra
el flip-flop
de comienzo y parada
http://libreria-universitaria.blogspot.com
E
SEC, 1 1 - 6 DI SEO DE L OS REGI STROS DE COMPL T- I ) C=
J : -
omi si n ej ecut adas sol ament e si se sat i sf acen l as condi ci ones cS' . &r , , - r i . c: :
La omi si n de una i nstrucci n se l ogra i ncrementando de nuevo P(' ace=--.
del i ncr ement o que se hace en el t i empo t
( ver
Tabl a 11- 5) . Ei e. i ,
del bi t de condi ci n para l a omi si n se convi erte en parte de l a funcron ce
cont r ol . As , el acumul ador es posi t i vo si 41o: 0 y negat i vo si Ar , , : 1. E.
smbol o A, es una vari abl e bi nari a i gual a 1 cuando el regi stro A conti ene
sl o ceros. E' es i gual a 1 cuando el fl i p-fl op E conti ene 0.
La i nstrucci n de parada (hal t)
borra el fl i p-fl op de comi enzo y parada
S
y deti ene l a secuenci a de ti empo. El regi stro de secuenci a G para de con-
tar mi entras
que su val or sea 0. Esto causa
que el computador est l atente
con fe si empre a l a sal i da del decodi fi cador de ti empo. Como F es. tambi n
0, l a funci n de control F' o es l a ni ca que se produce mi entras que el com-
putador est i nacti vo. Esta funci n de control trasfi ere el conteni do del PC
al MAR conti nuamente
(ver
Tabl a 11-5). Esta trasferenci a conti nua se pue-
de tol erar cuando el computador hace una parada l atente. Si esto es i nde-
seabl e se pueden qui tar l os pul sos de rel oj de| MAR y de l a mi sma manera
preveni r que esta trasferenci a ocurra cuando S: 0. El computador puede
recomenzar cuando se acti ve el i nterruptor de "comi enzo", el cual pone a 1
el fl i p-fl op S. Esto causa
que l os pul sos de rel oj al cancen l a secuenci a del
regi stro G
y comi encen a produci r Ias otras vari abl es de ti empo.
I nst r ucci ones de ent r ada- sal i da
Las mi crooperaci ones de trasferenci a entre regi stros que ej ecutan l as cua-
tro i nstrucci ones de entrada-sal i da se l i stan en l a Tabl a 11-9. Estas i ns-
trucci ones son reconoci das en el termi nal de sal i da qt del decodi fi cador
de operaci n y se ej ecutan durante el ti empo 3. Se defi ne una nueva va-
ri abl e p:
ez
3 y se usa en todas l as funci ones de control de entrada-sal i -
da. Las funci ones de control para estas i nstrucci ones conti enen un sol o bi t
del regi stro B, el cual es parte de l a defi ni ci n del cdi go de i nstrucci n. Las
dos i nstrucci ones de omi si n dependen del estado de l as condi ci ones de l os
bi ts i ndi cadores N" y Ug.
Tabl a 1l - 9 Ej ecuci n de l as i nst r ucci ones de ent r ada- sal i da
P
:
4tl t
SKI pBeNs:
I NP pBt i
SKO pBrcUe:
OUT pBs:
PC<- . PC+I
At - a<- N - s, N9<- - 0
PC<_PC+I
Ut - a+ A - s , Ue<- 0
l ncrementar PC si el i ndi cador de No
:
1
Al i mentar A, borrar i ndi cador
Inprementar PC si el i ndi cador de sal i da U,,
:
I
Extraer de A, borrar i ndi cador
11- 6 DI SEO DE LOS REGI STROS DE COMPUTADOR
El di seo de un si stema di gi tal si ncrni co si gue un procedi mi ento prescri to.
A parti r del conoci mi ento de l as necesi dades del si stema se formul a una red
de control y se obti ene una l i sta de operaci ones de trasferenci a entre re-
gi stros del si stema. Una vez que se haya deri vado esa l i sta, el resto del
http://libreria-universitaria.blogspot.com
498 Dt sEo DE CoMPUTADORES
cAP l 1
di seo es di recto. Al gunas i nstal api ones uti l i zan tcni cas de automati za-
ci n para el di seo de computador
paral raduci r l as proposi ci ones de tras-
ferenci a entre regi stros a un di agrama de ci rcui tos compuesto de ci rcui tos
i ntegrados.
La Secci n 11-5 especi fi ca l as proposi ci ones de trasferenci a entre re-
gi stros para el computador en ci nco tabl as separadas. Las entradas en l as
tabl as consi sten de funci ones de control y mi crooperaci ones. La l i sta de
funci ones de control presenta l as funci ones de Bool e para l as compuertas
en l a red l gi ca de control . La l i sta de mi crooperaci ones da una i ndi caci n
del tipo de registros que deben escogerse para el computador. Aunque estas
tabl as son sufi ci entes para compl etar el di seo l gi co del si stema, podra
ser conveni ente redi stri bui r l a i nformaci n en l as tabl as de una mai rera ms
conveni ente durante el proceso de confi guraci n actual .
Oper aci ones de r egi st r o
Para determi nar el ti po de termi nal de control que se debe tener en cada
regi stro es necesari o obtener una l i sta de mi crooperaci ones
que afecten ca-
da regi stro separadamente. Esto puede l ograrse repasando l as tabl as de Ia
Secci n 11-5
y escogi endo aquel l as proposi ci ones que cambi en el conteni do
de un regi stro en parti cul ar. Esto se apl i ca tambi n a l as operaci ones de
Iectura y escri tura en l a uni dad de memori a. Por ej empl o, una operaci n de
i ectura de memori a se si mbol i za con l a mi crooperaci n:
B<_M
La proposi ci n i ndi ca tambi n que el conteni do del regi stro B cambi ar el
val or. Esta proposi ci n se encuentra dos veces en l a l i sta de mi croopera-
ci ones. En l a Tabl a 11-5, se encuentra con l a funci n de control F' 1 y
en l a
Tabl a 11-6 con l a f unci n de cont rol F(qo
+ Qt *es)r.
Como ambas f un-
ci ones d control
producen l a mi sma operaci n, se pueden combi nar con una
OR para dar l a proposi ei n:
R
:
F' t t + F(qo, * q, * q. r)t r: B <- M
El smbol o fi se usa por conveni enci a para desi grrar l a operaci n de l ectura
con una sol a vari abl e de control de Bool e. El smbol o i gual despus de R de-
si gna su i gual dad con l as funci ones de control l i stadas.
Este proceso se repite para la operacin de escritura en memoria
y para
todos los registros del computador. El resultado es como se muestra en la
Tabl a 11-10. A cada funci n de control l i stada en i a tabl a se l e a-.i gna un
nombre de vari abl e de control . Las vari abl es de una sol a l etra no son nece-
sarias, pero ayudan a acortar las expresiones algebraicas del control de en-
trada de los registros. En la mayora de los casos se asigna a la variable de
control una l etra subndi ce i dnti ca a l a l etra mayscul a reservada para
simbolizar el registro correspondiente. Las variables de control comunes al
mismo registro se distinguen
por subndices numricos diferentes.
La Tabl a 11-10 se deri va di rectamente de l as Tabl as 11-5 a 11-9. El re-
gistro al cual
pertenece una microoperacin se reconoce por la presencia de
http://libreria-universitaria.blogspot.com
sEc. 1 1- 6
DI SEO DE LOS REGI STROS OE COVPr ' : : ^ :
un smbol o en el l ado i zqui erdo de l a fl echa. Para reconocer l as rc.c:.-;' ..
raci ones
que pertenecen al regi stro A se repasan l as operaci ones i l staca-'
en l as Tabl as 11-5 hasta 11-9
y se escogen l as que ti enen una A como re$:-
tro de desti no. Las mi crooperaci ones
para l os otros regi stros se obti enen
de manera si mi l ar. Si l a mi crooperaci n ocurre ms de una vez' l as funci o-
nes de control correspondi entes se apl i can a una OR para produci l l a fun-
ci n de control compuesta.
Las operaciones
;para
el flip-flop E deben separarse de las operaciones
para el tegi st.o A, anque el l as se haban l i stado conj untamente en l as ta-
l as antei i ores. La operaci n de despl azami ento ci rcul ar a l a derecha' por
ej empl o, se enunci a en l a Tabl a 11-8 como:
r Bs: I <- shr A, Ar c<- E, E <- A,
Ntese que r es una vari abl e i gual a
Qats Y
rB, se l e asi gna una vari a-
bl e de control or. En l a Tabl a 11-10 baj o el regi stro A se ti ene:
q5 :
rB8:. ,4 <- shr A, A6<- E
el cual es parte de l a operaci n de despl azami ento
que cambi a el conteni do
de A. Debaj o del fl i p-fl op E se ti ene:
a,
-
rBr: E <- Ay
lo cual muestra Ia parte de la operacin de desplazamiento
que cambia el
fl i p-fl op E. As, l a vari abl e au de control del despl azami ento a l a derecha,
despl ai a el conteni do de A a l a derecha
y col oca el val or de E en el bi t de l a
exti ema i zqui erda de A. Trasfi ere tambi n el bi t de l a extrema derecha de
AaE.
La secuenci a del regi stro G no ti ene ni ngunas mi crooperaci ones l i sta-
das en l as tabl as
previ as. Este regi stro se muestra en l a Fi gura 11-6 como
un contador cuyos pulsos de reloj se habilitan por medio del flip-flop s de
comi enzo
y parada. Esto se i ncl uye en l a Tabl a 11-10 con Ia proposi ci n:
S: G<- G+l
Di seo del comput ador
La l i sta de mi crooperaci ones dadas en l a Tabl a 11-10 sumi ni stra l a i nforma-
cin necesaria para disear los registros del computador. Las operaciones
que Se van a real i zar en cada regi stro se demuestran cl aramente en l as
proposi ci ones l i stadas. Por ej empl o, el contador del programa PC ti ene tres
mi crooperaci ones:
c t t PC<- PC+l
czt PC <- B(AD)
bzi PC <- MAR
http://libreria-universitaria.blogspot.com
Tabl a l 1-l O Mi crooperaci ones
paa l os regi stros
Memori a de cont rol
R- F ' t t + F ( q o
I l = F ( q z +
q t *
Reer st r o A
at
:
Fqo! 3:
a 2 : Fq 1 t 3 :
a^
=
r Bp;
ar : r BPi
a,
:
rBgi
au: r B7:
a1
:
186:
as
:
pBl i
Registro B
b : Fq2t 2:
b2
=
Fq3tr:
b3
=
Fqol2:
Registro PC
ct
:
F' t t
* q 1 * q ) t
q+)t{
Be - M
M<- - B
A< - - A
\ B
A< _ A } B
A <- 0
A<- r
I <- shr A, A6<- E
I <- s hl A, A1<- E
A< - A* l
l r - -
{ r -
B+ - A
B< - B+ l
B(AD) <- PC, B(Op) +- 0i 0l
Leer de memoria
Escri bi r en memori a
AND
Sumar
Borrar
Compl ementar
Despl azami ento
a
Despl azami ento
a
Incremento
Trasferencia
Trasferencia
Incremento
Trasferencia
l a derecha
l a i zqui erdr
+(q$, * q)Ft,
+@ya\ a + B4Ar c
+ B3A, + B2E')r
+(BnNe * BrcU)p:
c2: qst 3:
b3
=
Fq4t2:
Registro MAR
dt
:
F'to:
d,
=
Fls:
Registro I
i t
:
F' tz:
Flip-flop E
e1
:
r B r :
e2: r Be:
a2
:
Fq1t3:
a5
:
rBs:
a6: r B7:
Flip-flop F
i :
F' ( qo* qt * qz
+
%
+ q)t3i
f r :
Ft 3:
Flip-flop S
s
:
r-B:
Registro G
.S:
Registro Li
u,
=
PBe:
Registro N
as : pBn:
PC<- PC+I
PC <- B(AD)
PC <-_ MAR
MAR <_ PC
MAR <- B(AD)
r <- B(oP)
E <- 0
E. - E
-E <-- arrastre
E+ - A1
E <- A 6
F < - l
F <- 0
s <-0
G<- - G + I
Ur - a e A1 - s , U9 < - 0
.Ay'e <_ 0
Borrar
Compl ementar
Trasferencia
Despl azami ento
a l a derech
Despl azami ento a l a i zqui e:
Incremento
Trasferencia
Trasferencia
Trasferencia
Trasferencia
Trasferencia
Poner a 1
Borrar
Borrar
Contar
Trasferir
Borrar
http://libreria-universitaria.blogspot.com
F
s Ec . 1 1- 6 DI SEO DE LOS REGI STROS DEL COMPUTADOR 5Ol
Este regi stro debe tener condi ci ones de i ncremento
y trasferenci a. Se puede
configurar
por medio de un contldor con carga en paralelo del tipo mostra-
do en Ia Fi gura 7-19. Como el PC reci be i nformaci n de entrada de dos fuen-
tes, ste requi ere un mul ti pl exor
para sel ecci onar entre dos entradas, como
se expl i ca en asoci o con l a Fi gura 8-3. Los otros regi stros se di sean de
manera si mi l ar.
El di agrama de bl oque
que muestra l os ti pos de regi stros necesari os
para el computador se da en l a Fi gura 17-7.La uni dad de memori a se i ncl u-
ye tambi n para mostrar su conexi n al procesador. La l gi ca de control
presenta todas l as vari abl es de control de l os regi stros. El di seo de l a l -
gi ca de control se di scute en l a si gui ente secci n. Las vari abl es de control
que .e generan en l a uni dad de control son apl i cadas a l os regi stros de l a
manera que se i ndi ca en el di agrama. Adems de l os regi stros, el procesa-
dor usa cuatro multiplexores para seleccionar de dos o ms fuentes. Todos
l os regi stros y mul ti pl exores son funci ones MSI di spohi bl es en ci rcui tos
integrados normales. Los tres flip-flops E y F y S
y su correspondiente l-
gi ca combi naci onal debe di searse con compuertas SSI
y con fl i p-fl ops.
Todos los registros en el computador excepto el registro A requieren
termi nal es de entrada de control de carga, i ncremento o de carga e i ncre-
mento
j untos.
Se puede escoger el uso de un contador MSI con carga en
paral el o para todos l os regi stros. De esta manera se podra tener un i nven-
tari o de un ci rcui to i ntegrado normal para l os regi stros. Un componente
comerci al
posi bl e es el CI ti po 74161. Este ci rcui to MSI conti ene un conta-
dor de 4 bi ts con una carga en paral el o y un termi nal de entrada de borrado
asincrnico. Los terminales de entrada de borrado de los registros pueden
conectarse a un interruptor de puesta a cero maestro en el computador
pa-
ra borrar todos los registros asincrnicos antes de las operaciones con reloj.
Los regi stros de 12 bi ts, el PC y el MAR necesi tan tres CI y el regi stro B de
16 bits-, cuatro CI. Los registros I y G pueden configurarse con un solo CI
cada uno. El contador de 4 bi ts de CI puede converti rse a un contador de
2 bi ts para G por el mtodo enunci ado en l a Secci n 7-5 en asoci o con l a Fi -
gura 7
-20.
El registro A es el ms complicado
porque realiza todas las tareas de
p.o""r"-iunto del computador. Este registro es un registro acumulador del
i i po di seado en Ia Scci n 9-10 y puede usar l a confi guraci n mostrada
etr l " Fi gota 9-22. Puede confi gurarse tambi n con un regi stro de despl aza-
mi ento bi di recci onal con l a carga en paral el o como se muestra en l a Fi gura
?-g, conj untamente con un ALU del ti po di scuti do en l a secci n 9-6. una
mej or frma sera usar un ci rcui to acumul ador MSI tal como el CI ti po
745281. Cuando se confi gura con un ALU o acumul ador de CI, l a uni dad de
control debe
generar las variables de control correspondientes
para selec-
cionar las microoperaciones requeridas en el ALU. Estas sern diferentes
de las funciones e control definidas
por la unidad de control en este di-
seo.
El registro de entrada N y el registro de salida U pueden ser parte de Ia
interconexin normal de una teleimpresora. Los circuitos integrados que
hacen interconexin con una unidad teleimpresora estn disponibles co-
merci al mente
y se l es l l aman a menudo trasmi sores-receptores asi ncrni -
cos uni uersal es
(uni versal asynchronous recei ver-transmi tters abrevi ado
http://libreria-universitaria.blogspot.com
Btse
0 l
s
MUX
Registro 1
B
tt-rc
Sal i das de cont ol
Cargar
u l -
Fi gura l l -7 Di agrama
de bl oque detal l ado der comnutador
UART). Tal ci rcui to i ntegrado i ncl uye
un ci rcui to de entrada y
de sal i da
dentro
de l a uni dad conj untamente
con dos i ndi cadores
necesari os para
si ncroni zar
l a t rasf erenci a.
Tres de l os mul ti pl exores
de l a Fi gur a 11-7 sel ecci onan
entre dos fuen-
tes de entrada. El termi nal
de entrad nmero 1 del MUX se sel ecci ona
cuando el termi nal de entrada de sel ecci n
marcado .or, ,r.r" s es 1. cuando
s: 0 se sel ecci ona el t ermi nal de ent rada nmero 0 del MUi . El mul t i pl exor
asoci ado
con el regi stro B ti ene tres fuentes
de entrada. Las vari abl es de
sel ecci n
sr y so determi nan
l a entrada
sel ecci onada.
cuando ambas l -
neas de sel ecci n son 0, l a entrada sel ecci onada provi ene
ul
pc.
La seal
i ? de l ect ura de memori a hace so: 1 *i ""i . "r' q""-1
,
-r-anece
en 0
502
http://libreria-universitaria.blogspot.com
:l
s Ec . 1 1- 7
DI SEO DEL CONTROT 5O3
(porque b, : 0 cuando R: 1). La ent rada
nmero l del MUX se sel eccrr-' na
,nedilnte la entrada
que proviene de la unidad de memoria, cuando
'
r
''
01. De manera si mi l ar l a vari abl e de control b1 produce l a sel ecci n sr.
:
10 l a cual causa l a sel ecci n del conteni do del regi stro A'
EI computador
entero mostrado en l a Fi gura 11-?
p-uede encapsul arse
dentro de un sol o cI
para formar un mi crocomputador.
un mi crocomputa-
dor de CI tpico l-rorllrlrrr".rte
tiene caractersticas
nuevas en la seccin del
p.o"""udor,
pero i.,c" ,rrru memoria ms
pequeT
!?
maJor
parte de la
memori a de un compui ador
es comnmente
del ti po ROM. El di seo i nterno
" l"
"ap.nla
del *i.ro"o*putador
requiere
que la lgica del computador-se
J"fi.ru
"on
,rn conjunto d funcioneJ de Boole
que espccifican
todas las
"o*p""rtu,
y flip-flops en el sistema. Las funciones de Boole
que configu-
ran cada regi stro eri i si stema
pueden deduci rse
por el mtodo
presentado
en Ia Secci n
g-ro
para el di se-o de l os regi stros en trmi nos de funci ones
de Bool e.
11- 7 DI SEO DEL CONTROL
La uni dad de control del computador
genera l as vari abl es de control
para l os
."gi .tro,
y uni dad d" -"rno.i u . Hay i + vari abl es de control di ferentes
y l a
mayora de el l as se l i stan en l a Tabi a 11-10 como funci ones de control ' En el
captul o to se presentaron tres mtodos
para el di seo de l a l gi ca de con-
trol : el control
""" "o-po"entes
al amb.ro.,
el contr-ol
PLA
y el control del
-i"rop.og.u-".
l,u-rrrriiad de control del computador
puede ser diseada
usandb cual qui era de estos tres mtodos'
Cont r ol con component es al ambr ados
Laor gani zaci ndecont r ol pr esent adaenl aFi gur al l - 16esesenci al ment e
,rrru o".g^nlracin
con componentes
alambrados
por el
^mtodo
del registro
" ,u"rr""rr"i a
y decodi fi cadr.
El regi stro de secuenci a G en este caso es un
"o.r1ua,
y ui d""oificador
de tiempo entrega cuatro estados de control
pi"-.i ,iit"rrru. Un ."gundo
d_ec9{i.fi,cador
se usa
para el cdigo de opera-
ci n al macenado en-el -.-"gi *tto
/. El bl oque de l a red-de l gi ca de control
ge-
nera todas l as funci ones de control
para el computado'
-
La confi guru.i "
a" " red de l gi ca de conti ol en l a Fi gura 11-6 compl e-
ta el di seo del control con componentes
al ambrados.
Esta confi guraci n
consiste de las .o-p,r".t".
combinacionales
que genelan las 24 funciones
de control
l i stadas e' n l a Tabl a 11-10. Las funci ones de Bool e l i stadas como
funci ones de cont.oi especi fi can
l as ecuaci ones de Bool e de l as cual es se
$
deduci r el ci rcui to combi naci onal .
Este ci rcui to
no se di buj ar aqu
i"ro
prr"d, obtenerse de las 24 funciones de Boole
que definen
las variables
e cont r ol R, W, al hast a as, br , br , br , cr , c2, dr , d, ' " e" z'
f t ' f z'
sr
Y
ur .
Cont rol
por PLA
El control
por PLA es si mi l ar al mtodo de regi stro de secuenci a
y decodi fi -
cador, excepto
que todos l os ci rcui tos combi naci onal es
se confi guran dentro
http://libreria-universitaria.blogspot.com
f u4 DI SEo DE coMPUTADoRES
c AP 1 1
del PLA. Los dos decodi fi cadores
se i ncl uyen
dentro de l a confi guraci n
del PLA
-\' a
que el l os son ci rcui tos
combi nci onal es.
-ni rrri ^".o
de sal i das
de control es 24. El nmero total de termi nal es
de entrada
pLA
es tambi n
24. un PLA de 24 entradas
v
24 sal i das
pgequ
"o ".tui ai rponi bl e
en
"pr.,l
de cI comerci al . Por esta i azn,l a
uni dad de conti of -Jbe di stri bui rse
de
tal m_anera que pueda
confi gurarse
con un nmero mni mo
de cI
pLA.
L na forma de reparti r
el control es de acuero u tus l ubl as de funci n
presentadas
en l a secci n 11-5. Las proposi ci ones
de trasferenci a
entre re_
gi st ros
de est a secci n se l i st an en l s Tabl as 11-b haJa 1l -g. El cont rol
PLA reparti do
de acuerdo a estas tabl as se muestra en l a Fi gura 11-g. Es_
ta confi guraci n
rempl aza
el control de l os componentes
al ambrados
de l a
Fi gur a 11- 6.
La Fi gura 11-8 muestra tres
pLA
y
dos regi stros para
l a uni dad de
control . Los dos decodi fi cadores
no son necesari os
aqu y q.r. se confi guran
dentro del PLA. Ntese qu
no hay conexi ones
de s .uta. a" .rurl qui ul
PLA a l as entradas
del regi stro
de secuenci a
G. una conexi n de real i men_
taci n no es necesari a porque
el regi stro
G es un
"orrtuJo.
y
el si gui ente
11ado
se predetermi na
a parti r
dJ l a secuenci a
de cuenta conti nua.
El
.PLA
1 confi gura l as vari abi es
de control l i stadas
""
i u-i u-tu 11-b (ci cl o
Je
bsqueda) y l a Tabl a 11-6 (operaci ones
comunes para
el ci cl o de ej ecuci n).
Estas vari abl es de control dependen
de l as vari al es
" ti l -po G, el cdi go
de operaci n
de ry el control el ci cl o en F. El
pi ,l i ;;"i g".u
l as funci ones
de control l i stadas en l a Tabl a 11-7 (ej ecuci n
de l as i nstrucci ones
de refe_
renci a de memori a) . Estas funci ones
d control ti enen l as mi smas vari abl es
de.entrada que
en el
pLA
l con ra adi ci n
de ra vari te' i rrari a
8.. Re-
curdese que B. es una vari abl e bi nari a i gual a 1 cuanJo el regi stro B con-
t i ene sl o ceros.
El tercer PLA genera
l as funci ones
de control de referenci a
entre re-
gi stros y
de entrada-sal i da
l i stadas
en l as Tabl as rr-
v
i i g. Estas funci o-
nes de control ti enen dos vari abl es
comunes:
r:
eatz
para
l as operaci ones
de referenci a
entre regi stros.
p
:
htz
para
l as operaci ones
de entrada_sal i da.
Estas dos vari abl es comunes son generadas
en el
pLA
1 y se apl i can como
entradas al PLA 3. Las otras entrdas al tercer
pLA
provi enen
del regi stro
B (bi ts
l -t2) y
de otras condi ci ones
del bi t de condi cl n.
-'
La vari abl e de control c1 i ncrementa
el contador " p.ogr"-a.
Esta
vari abl e
de control se genera
en todos l os tres
pLA.
L;; i res sal i das de_
ben combi narse con una compuerta
externa oR para p.oJ,r"i .
una sol a sal i -
da. Esta.sa.l i da.se
apl i ca al trmi nal de entrada de i ncremento
del
pc.
La deri vaci n de l as tabras de programa
para
l os tres
pLA
compl eta el
diseo del control. La tabla de p.ogi"-",
aet L^q r p""a.
obt..r".." a partir
de l as funci ones
de control ri st;da; en l as Tabl as ri -s
v
ri -0. Estas funci o-
nes se repi ten
de nuevo en l a Tabl a 11-11 por
conve.ri enci a.
Al gunas de l as
funci ones
han si do si mpl i fi cadas para
ser gregadas en l a i abl a de progra-
ma. Por ej empl o l a vari abl e de control de l ec' tu
n r"" ri .tJa ori gi nal men_
te como:
http://libreria-universitaria.blogspot.com
-Tt
R: F' t t + F( qo+ qr * qr ) t ,
Las vari abl es de sal i da decodi fi cadrrs go, gr y
ez
son una funci n de
l as vari abl es en el regi stro I y pueden ser si mpl i fi cdas de l a si gui ente ma-
nera:
4o
t
Qt
*
Qt:
I;I;I +
4I;It
+ II2I.:
IIt + I:I;
c.omo el PLA acepta l as vari abl es .I en vez de l as vari abl es q es ms conve_
ni ente usar l a funci n
de dos trmi nos en vez de una de tres trmi nos. La
vari abl e de control
/r
se si mpl i fi ca
de una manera si mi l ar. Las otras vari a_
/.
I
- l
L>
' l
\ )
F
Gr l
4)
d l
cl
R
r
fl
C2
Q 1
fz
p
a3
e l
A4
PLA 3 e2
Tabl as
as
11- 8 a6
11- 9
4.1
s l
L
a.l
I- ^
' t
PLA 2
" '
I t
b l
F
r abl a w
tt-7
G2
b2
Gt
b3
Bz
cl
Bl
t o
Bt z
Ar c
"z
E
u9
, ' 9
Fi gura l 1-8 Cont rol PLA para
comput ador
tu5
http://libreria-universitaria.blogspot.com
Tabl a 1l - 11 Funci ones de cont r ol
par a
el
pLA
t
dt
:
F' t o:
cr
:
F' t i
R: F' t t + F( I I t + I l l i ) t r :
i t
:
F' t z:
" f t :
F' ( I ! + I i I ) t ' :
cz: qst 3i
dz: Ft o:
f t :
F4:
r
:
q6t 3:
P
:
4t t t :
bl es de Bool e necesi tan
una traducci n de l a desi gnaci n f a un estado en
el regi stro de secuenci a G y de l a desi gnaci n q ai cdi go de operaci n co-
rrespondi ente
en el regi stro 1.
La t abl a de pr ogr ama par a el PLA I se da en l a Tabl a 11- 12. El
pLA
t i e-
ne 6 entradas, 12 trmi nos producto y
10 sal i das. Las entradas para G, y
G' , son 00, 01, 10 y 11 y
cor r esponden a l as var i abl es de t i empo t .
,
t , t 2
)'
t respecti vamente.
La entrada para 1,
,
I. e 1, es un nmero bi nari
i gual al val or del suscri to i en q, a no ser que se si mpl i fi que l a funci n. N-
tese que el regi stro 1 ti ene- cuatro bi ts pero 1o no se usa ya que si empre es
0. El procedi mi ento para
obtener una tabl a de program,
pt,R
a parti r
de un
conj unto de funci ones de Bool e se expl i ca en l a Sel ci n b_g.
.
La tabl a de programa para
el PLA 2 puede
deri varse de manera si mi -
l ar . per o no se i ncl uye aqu . El t er cer
pLA
r equi er e 12 t r mi nos AND y una
compuer t a oR de 6 ent r adas ( par a gener ar
l a var i abl e de cont r ol c, ) . Est a
MAR <_ PC
PC<. PC+I
B<_M
r <- B(oP)
/fl<_ I
PC <- B(AD)
MAR <- B(AD)
F<- 0
Registro de referencia
Entrada-sal i da
Tabl a 1l -12 Tabl a de programa para el
pLA
1
Ter mi no
del
P
r odu ct o
Ent radas
Sal i das
1 3 1 2 I t F G2 Gl
dt c t Ri r . f i c 2d2hr p
r - 00
j - 00
30110
400- 10
5- 01
6001
7- 0001
8l 0l - l
9- 10
l o- l l
l l I I 0
_
I
12 l l l - l
0
I
I
I
0
I
I
I
I
0
I
I
I
l -
_ I ; -
l -
l -
l -
- l
- l
- l
- l
F' t o
F' , t t
FIiIll
FIritl
F' t z
F' I t 3
F' r;rt3
. r5, 3
Fto
Ft t
4ott
4ttz
http://libreria-universitaria.blogspot.com
sEc . 11- 7
Dl sEo DEL CONTROL f i 7
parte del control
puede confi gurarse ms econmi camente con compuertas
' SSl
o con un arregl o de compuertas
programabl es a vol untad
(FPGA, fi el d-
programmabl e gate array). El FPGA es si mi l ar al FPLA fi el d-programma-
bl e l ogi c array) en concepto, excepto
que conti ene sol amente compuertas
AND programabl es. Un FPGA tpi co ti ene 9 compuertas AND o
(NAND)
q,re
"o-parten
16 entradas comunes.* Se requi eren dos ci rcui tos i ntegra-
dos FpGA
para rempl azar el PLA B en Ia Fi gura 11-8. En Ia compuerta OR
externa
puede combi narse con otras l neas que generan l a vari abl e c1.
Cont r ol del mi cr opr ogr ama
La organi zaci n de l a uni dad de control
para el computador es ms adecua-
da pai a el control del PLA que para el control del mi croprograma'
pri nci pal -
-".rt"
por l a forma como fueron formul adas ori gi nal mente l as i nstrucci ones
de refei enci a entre regi stros. La confi guraci n del control del mi croprogra-
ma que se va a desari ol l ar aqu, confi gura l as funci ones de control
para el
ci cl o de bsqueda
y l as i nstrucci ones de referenci a de memori a. Las opera-
ci ones de referenci a de regi stro de entrada-sal i da
pueden confi gurarse
ms
efi ci entemente con un coni rol de componentes
i nterconectados o un control
PLA.
El control del mi croprograma
no necesi ta l os regi stros I, G
y F. El cdi -
go de operaci n est en B(OP)
y al fi nal del ci cl o de bsqueda
puede ser
usado
para especi fi car una di recci n de macrooperaci n
para l a memori a
de coni rol si n necesi dad de un regi stro 1. Las vari abl es de ti empo
genel adas
en el regi stro de secuenci a G pueden ser rempl azadas
por una secuenci a de
pul sos d"e rel oj que l een mi croi nstrucci ones
consecuti vas de l a memori a de
control . La trasl erenci a del ci cl o de bsqueda al ci cl o de ej ecuci n
puede
hacerse en l a memori a de control
por medi o de una mi croi nstrucci n
de bi -
furcaci n, l a cual trasfi ere el control al si gui ente ci cl o si n usar el fl i p-fl op
F. La confi guraci n del control de mi croprograma
que se va a desarrol l ar
aqu rempl aa todo el control de componentes
i nterconectados de l a Fi gura
11- 6
( except o por el r egi st r o B) '
Repasand l as Tabl as 11- 5, 11- 6
y 11- 7 se not a que t odas l as mi cr oi ns-
trucci ones
pueden secuenci arse
i ncrementando l a di recci n de l a memori a
de control , excepto cuando se va a ej ecutar una i nstrucci n de referenci a
de memori a
parti cul ar o para regresar al ci cl o de bsqueda. Un ruti na
par-
ti cul ar de i nstrucci n d referenci a de memori a
puede ser accesi bl e con
una di recci n de macrooperaci n externa. Si se comi enza el ci cl o de bsque-
da a parti r de l a di recci n 0, es posi bl e bi furcarl o al ci cl o de envo borrando
el regi stro de di recci n de l a memori a de control CAR. Por tanto, l a parte de
secunci a de di recci n del control del mi croprograma
necesi ta sol amente
tres operaci ones:
1. I ncr ement ar el CAR
par a l eer I a si gui ent e mi cr oi nst r ucci n
en se-
cuenci a.
2. Borrar el CAR
para i ni ci ar el ci cl o de bsqueda'
*El
CI t i po 82S103 de Si gnet i cs.
http://libreria-universitaria.blogspot.com
5O8 Dr sEo DE COMPUTADORES
cAP. 1 1
3. Entregar Ia trasformaci n de bi ts del B(OP) a una di recci n externa
del CAR
(control
memory address regi ster).
Un control de mi croprograma para el computador se muestra en l a Fi -
gura i 1-9. La memori a de control ROM ti ene 32 pal abras de 7 bi ts cada una.
Los pri meros cuatro bi ts se codi fi can para produci r 16 combi naci ones de
bi ts, cada uno para cada funci n de control . Aunque el computador ti ene
24 funci ones de control 16 son sufi ci entes para generar aquel l as funci ones
de control asoci adas con el ci cl o de bsqueda y l as i nstrucci ones de referen-
ci a de memori a. En vez de usar 16 bi ts de ROM para especi fi car 16 sal i das,
se escoge usar sol amente 4 bi ts y decodi fi carl os medi ante un decodi fi cador
de 4 a 16 l neas para produci r hasta 16 vari abl es de sal i da di sti ngui bl es.
Este esquema ahorra bi ts de ROM pero requi ere de un decodi fi cador exter-
no. Este l i mi ta tambi n Ia capaci dad de l as mi croi nstrucci ones porque sol a-
mente se puede especi fi car una funci n de control en cual qui er mi croi ns-
trucci n dada.
La parte de secuenci ami ento de di recci ones de l a uni dad de mi cropro-
grama no requi ere un mul ti pl exor para sel ecci onar l as condi ci ones del bi t
de condi ci n. Hay sol amente un bi t de condi ci n que se debe consi derar y
se mostrar ms adel ante cmo puede i ncl ui rse en un ci rcui to externo. No
hay necesi dad para un campo de di recci n en l a mi croi nstrucci n porque
no se presentan necesi dades de bi furcaci n excepto para el regreso al co-
mi enzo del ci cl o de bsqueda o Ia trasferenci a a un regi stro de di recci n.
Los l ti mos tres bi ts de l a mi croi nstrucci n determi nan l a si gui ente di rec-
ci n. El bi t 7 i ncrementa el control del regi stro de di recci n. El bi t 6 borra
el CAR, l o cual causa un regreso al ci cl o de bsqueda. El bi t 5 carga una
di recci n externa al CAR. La di recci n de entrada debe contener 5 bi ts
porque el ROM ti ene 32:25 pal abras. Tres de estos bi ts vi enen de l a parte
del regi stro B que reti ene el cdi go de operaci n. Los l ti mos dos bi ts son
si empre i gual es a 11. Esta es una trasformaci n de cdi go de l os bi ts del
cdi go de operaci n de l a i nstrucci n a una di recci n externa de l a memori a
Car gar ent r ada
[ ncr ement ar
Fi gura l l -9 Uni dad de control del mi croprogama para el computador
http://libreria-universitaria.blogspot.com
s Ec . 1 1- 7
DI SEO DEL CONTROL
de conl rol . Esta trasformaci n causa
que l a i nstrucci n AND, cuyo cdi go
de operaci n es 000, cambi e a una di recci n del CAR i g.ral a 00011. La i ns-
trucci n ADD se trasforma de 001 a 00111
y as sucesi vamente
hasta una
i nstrucci n de entrada-sal i da cuyo cdi go de operaci n es 111
y cuya tras-
formaci n de di recci n es 11111. El bi t ms si gni fi cati vo en B(OP) no se
usa
porque es siempre 0.
La uni dad de control del mi croprograma,
mostrada en l a Fi gura 11-9,
es muy si mpl e
y requi ere sol amente tres ci rcui tos MSI. Debi do a su si mpl i -
ci dad no ut' *rry fl exi bl e
y como se muestra subsecuentemente
requi ere ci r-
cui tos adi ci onai es
putu uttu confi guraci n compl eta de l a uni dad de control '
Las mi croi nstrucci ones
para el ci cl o de bsqueda
y de ej ecuci n de l as
i nstrucci ones de referenci a de memori a se l i stan en l as Tabl as 11-5, 11-6
y
11-7. Las mi crooperaci ones
para l os regi stros ,I
y F no son necesari as
ya que
esos regi strot .to se usan. Las mi crooperaci ones
restantes
y sus funci ones
de cont"rol codi fi cadas
se l i stan en l a Tabl a 11-13. Los pri meros cuatro bi ts
de una
pal abra ROM en l a memori a de control
producen 16 combi naci ones
y cada combi naci n especi fi ca una mi crooperaci n.
Las combi naci ones de
Io
""to.
y sl o uno. tto i ni ci a una mi crooperaci n.
Las otras 14 combi na-
ci ones se decodi fi can
para entregar vari abl es de control
para l as mi croope-
raci ones l i stadas. La sal i da del decodi fi cador
14 i ni ci a l a operaci n de es-
cri tura en memori a M
*B
y tambi n especi fi ca un control condi ci onal
para
i ncrementar el PC dependi endo
de l a vari abl e Bz.La razn para repeti r es-
tas dos mi crooperaci ones
en una mi croi nstrucci n
se cl ari fi car ms tarde.
Ntese
que l a rtri ".oopur"ci n
de escri tura de memori a se i ni ci a con l a sal i -
da 11 dei decodificadr
y la variable de control
que incrernenta el PC est
di sponi bl e de l a sal i da 2 del decodi fi cador.
Tabl a l 1- 13 codi f i caci n de l osbi t s de RoM par a l as mi cr ooper aci ones
Bi ts de ROM Sal i da del Funci n
| 2 3 4 decodi fi cador
decontrol
Microoperacin
0000
0001
0010
0011
0100
0l 0l
0l l 0
0l l l
1000
l 00l
l 0l 0
l 0l I
I 100
1l 0l
I I l 0
l l l l
0
I
2
4
5
6
7
8
9
l 0
l l
t 2
I J
t 4
l 5
dl
C1
n
C2
d2
r
p
A
A2
b l
W
b2
b3
,:,
Ni nguna
MAR <_ PC
PC<- - PC+I
B<_M
PC <- B(AD)
MAR <- B(AD)
Operacin de referencia entre registros
Operaci n de entrada-sal i da
A<. A
\ B
A <- A + B, E <-- arrastre
B <-.4
M<_B
B<- B+l
B(AD) <-- PC, B(OP) <- 0101, PC <- MAR
M <- B,si (8,
:
l )entonces (PC +- PC + l )
Ni nguna
http://libreria-universitaria.blogspot.com
I
Tabl a 11- 14 Tabl a de ve dad del ROM par a el cont r ol del mi cr opr ogr ama
I ns t r ucci on
BUSQL' EDA
. { \ D
\ L
\ TA
BUN
REGI STRO
Di r ec-
ci n del
ROM
Desi gnaci n si mbl i ca
M ic rooperaciones Si zui ent e di recci n
0n0
00001
00010
0001 l
00100
00101
001l 0
00l l l
01000
01001
01010
0l 0i I
0l 100
0l l 0l
0l l l 0
0l l l t
10000
r000t
r0010
t 00l I
10r00
l 0l 0l
l 0l l 0
MAR <_ PC
B<- M
PC<_PC+I
MAR <- B(AD)
B<- M
A<- A
\ B
Ni nguna
MAR +- B(AD)
B<_M
A <- A + B, E <- arrastre
Ni nguna
MAR <- B(AD)
B<_A
M<- - B
Ni nguna
MAR <- B(AD)
B<_M
BeB+l
M <- B,si (8.
:
l ) enton-
ces (PC <- PC + l)
MAR <- B(AD)
B(AD) <- PC, PC <-MAR
M<_B
PC<- PC+I
PC <- B(AD)
Ni nguna
Ni nguna
Ni nguna
Operaci n de regi stro
Ni nguna
Ni nguna
Ni nguna
Operaci n de
entada-sal i da
CAR
<- CAR + I
CAR <_ CAR + I
CAR <-228(OP) + 3
CAR <_ CAR + I
CAR <_ CAR + I
CAR <-- O
CAR <- O
CAR <_ CAR + I
CAR <- CAR + I
CAR <- O
CAR <_O
CAR <- CAR + I
CAR <- CAR + I
CAR <- O
CAR <_ O
CAR
<- CAR + 1
CAR <_ CAR + I
CAR <_ CAR + 1
CAR <- O
CAR <_ CAR + I
CAR <_ CAR + I
CAR <- CAR + I
CAR <_ O
CAR <_O
CAR <--O
CAR <_O
CAR <_O
CAR <- O
CAR <- O
CAR <--O
CAR +- 0
CAR <_O
i
I
l 10l r
il 100
i l l 0l
nl l 0
Sal i das del ROM
234 56
000 r 001
00r l 001
00 r 0 100
0l 0l 001
001l 001
1000 010
0000 010
0l 0l 001
001l 001
l 00l 010
0000 010
0l 0l 001
l 0l 0 001
l 0r I 010
0000 010
00
00
00
00
00
00
0100 010
0000 010
0000 010
0000 010
010
010
010
010
0000
0000
0000
r/ o
510
http://libreria-universitaria.blogspot.com
SEC. 11- 7
DI SEO DEL CONTROL 51 I
El mi croprograma
para l a memori a de control se da en l a Tabl a 1l -1-1'
Este es tambi n ta tat de verdad
para programar el RoM. Hay 32 pal abras
d" OM; l a di recci n
y conteni do-de
cda
pal abra se esreci fi can
en l a ta-
fu. f,u tabl a se subdi vi de
en nueve ruti nas
que muestran l as mi croi nstruc-
ci ones
que pertenecen al ci cl o de bsqueda
y l as mi croi nstrucci ones
para
;j ;;;;"r= ;"u ,rrru de l as i nstrucci ones
de computador.
La col umna de l a
i eri g.u"i .,
si mbl i l a
presenta el mi croprograma
en forma si mbl i ca
y l a
secuenci a de di recci ones
para el CAR'
El ci cl o de bsqueda comi enza
con l a di recci n 0. Las tres mtcroopera-
ci ones consecuti va*' ."
tu ruti na de bsqueda trasfi eren
el conteni do del
pc
ul MAR, l een
l a i nst r ucci n
al r egi st i o B e i ncr ement an
el PC. En l a
i r"c"i r,
2
(0010) el bi t 5 de Ia mi croi ni trucci n
es i gual a 1. El mi smo
pul -
so de rel oj
que i ncrementa
el PC tambi n ej ecuta l a mi crooperaci n:
CAR <- 228( OP) + 3
B(OP) conti ene
l os tres bi ts del cdi go de operaci n.
Estos bi ts se despl a-
,ur, ot"-ente
a l a i zqui erda
(mul ti pl i cando
por 22
)
y el .bi nari o 3
(11) se
agrega
para formar una di recci n
par el CAR. La di recci n
reci bi da en el
cAR trasfi ere el control a una de l as ruti nas l i stadas en l a tabl a
y el control
.""1i ".1"
ej ecutando
l a i nstrucci n especfi ca.
La confi guraci n
de esta
trasformaci n
de cdi go se i l ustra en l a Fi gura 11-9'
^ - ,
Esta confi guraci n
asi gna cuatro
pal bras de ROM
para cada i nstruc-
ci n, excepto
para i i "J."l .i n
I,/O. Por ej empl o, l a i nstrucci n
ISZ ti ene
.i
"Ai go
de operaci n 011. El comi enzo
de l a ruti na
que ej ecuta esta i nstruc-
. i . , ur i en l di r ecci n
4X 3+ 3: 15 l a cual es el bi nar i o 01111' Las cuat r o
pal abras RoM
para esta ruti na estn en l as di recci ones
15, 16, 17 y 18. No
!"
p,r"" usar l pul ubru de l a di recci n
19 porque esta di recci n
conti ene
fu
ri -"tu
-i .tol ".l .ucci n
para l a ruti na BSB' Como no hay capaci dad
de
bi furcaci n
en esta uni dad
e mi croprograma,
no se puede bi furcar a una
p" r " * deRoMnous ada; por t ant oc adar ut i nadebec ompl et ar s ec on
cuat r o mi cr oi nst r ucci ones
o menos'
La ruti na AND
puede confi gurarse
con tres mi croi nstrucci ones.
La di -
r ecci n de l a i nst r u" " i . r . .
t r asf i er e al MAR, el oper ando
se l ee de l a me-
mor i aaBy s euj " " " t ul ami c r ooper ac i nANDent r el os r egi s t r os Ay B. La
l ti ma mi croi nstrucci n
en l a d^i recci n 5
(00101) ti ene el bi t 6 i gual a 1'
.i o
"u".u
que el CAfi se borre
y el control regrese a l a di recci n 0 para co-
menzar de nuevo el ci cl o de bsqueda.
Las pri meras dos mi croi nstrucci ones
de Ia ruti na AND ti enen el bi t r i guat a 1, l o cual causa
que el cAft se i n-
cremente.
La l ti ma
pal abra de est ruti na en l a di recci n
6 no se usa' Esta
;"1;b;"
no puede dej arse vaca
ya que se debe especi fi car_a-l go
para l a tabl a
" ,r".dua d^el ROM. La mej or ,' ' u.r"ra de ocupar esta
p^al abra es no especi -
"u, *i "roop.ru"i o.,.,
en l o bi ts t hasta 4 y bo.tut el C-AR con el bi t 6' De
esta maneru, ai oarrr.a una fal ta
y l a memori a de control se encuentra en Ia
di recci n
6 no se ej ecutar
ni nguna operaci n
y el control
regresar al ci cl o
de bsqueda.
Las r ut i nas de ADD
y STO necesi t an t r es mi cr oi nst r ucci ones'
La i ns-
trucci n
BSB usa todas Ias cuatro
pal abras di sponi bl es
en l a ruti na. La
i nst r ucci n
BUN necesi t a sol ament e una mi cr oi nst r ucci n.
TJna i nst r ucci n
http://libreria-universitaria.blogspot.com
La ruti na ISZ necesi ta
cuatro
mi crooperaci ones
y
una operaci n
condi -
ci onai dependi ent e
del . val or d9
4, .
Est a i mpone
un probl ema ya que
sol a-
ment e. hav
cuat ro parabras
de Rof uf di sponi bl es p"; "; ; ; ; -; t i na
y l a conf i _
r.rraci n del mi croprograma
no ti ene raci l i dad
"
;";;;Ja,
"t
estado
der
bi t de condi ci n.
Este probrema
puede
resorverse-i .;i ;;;"
dos mi croope_
raci ones y
una mi croi nstrucci n
y
comprobando
el bi f "
"o"i "i n
con una
compuerta
AND externa.
para
co*pe.r.u,
esta confi guraci n
no ortodoxa
se agrega un ci rcui to externo
"o-o
r i l ustra
en l a Fi frrra 11_10. El decodi _
l i cador
RoM ti ene dos termi nal es
de sal i das p.u
l , Jp"L"i "
de escri tura
de memori a
M
*
B: uno en l a sal i da 11 y
el otro en l a sari da 14. Esas dos
sal i das se apl i can
?
y.ra compuerta
oR-externa
;;;";J;;gar una sal i da
comn.
El termi nal
de sal i da 14 der decodi fi cad,
." r,ui ti ta
durante l a
cuart a mi croi nst rucci n
de l a rut i na
I SZ. Est a. "i i ", "-"pi i ca
a una com_
puerta
AND externa
con el bi t de condi ci n
B. p;;;;;;r-.i ,
ru funci n
de
control de i ncremento
del
pc.
La sal i da
2 del dcodi fi .uo,
"rpeci fi ca
tam_
bi n un i ncremento
del
pc.
Al gunas
ae-tas operaci ones
en ras i nstrucci ones
de referenci a
entre regi stro y
d entraa-sal i da
especi fi can
i guarmente
esta
operaci n.
Las tres sal i das
deben apl i carse
a una compueta
oR para
con_
formar
una sol a sal i da para
i ncremu.,t".
.t
pc.
Las
";;1;;i ;;
r y p
del deco_
di fi cador
del ROM se usan conj untamente
con otras condi ci ones
del bi t de
condi ci n para generar
l as vari bl es
de contror restantes para
el computa_
dor' Esas variables
de control pr"d".r
.", generadas
.or, .-, configuracin
externa de componentes
o con un
pLA
taf como se i ;Ji ";;"
el di agrama.
512 OI SEO DE COMPUTADORES
de referenci a
de regi stro
i ni ci a
usaoa conJuntamente
con un
operacl ones
especi fi cadas.
Lo
ent r ada- sal i da.
I nc ement a
el PC
Fi gur a 11- l O Ci r cui t os adi ci onal es par a
11- 8
CONSOLA
DEL COMPUTADOR
cAP. 1 1
una vari abl e
de control r, l a cual puede
ser
bi t en el regi stro B para i ni ci ar
una de l as
mi smo se apl i ca a l a i nstrucci n (I,/O)
de
Cual qui er
computador
ti ene un panel
de
y
l mparas para permi ti r
comuni caci n
control o consol a
manual y vi sual
un contol de mi croprograma
Operaci ones
de
regi stro y
entada-sal i d
con lnt,erruptores
entre el operador
6
Decodi f i cador
,
ROM
Cont r ol de
componentes
rnteconectados
P o
PLA 3 de
l a Fi gur a 11- 8
Operacin
de registro
Operaci n
de entada-sal i
http://libreria-universitaria.blogspot.com
-l
SEC. 11. 8 CONSOLA DEL COMPUTADOR 5/ 3
y el computador. Esta comuni caci n es necesari a para comenzar l a opera-
ci n del computador
(bcntstrappi ng) y para propsi tos de manteni mi ento.
Para compl etar se enumera un conj unto de funci ones de l a consol a ti l es
para el computador aunque no se muestren l os ci rcui tos necesari os para
confi gurar estas funci ones.
Las l mparas i ndi can al operador l a condi ci n de l os regi stros del com-
putador. La sal i da normal de un fl i p-fl op conectado a una l mpara i ndi ca-
dora causar que l a l mpara al umbre cuando el fl i p-fl op se pone a 1 y se
apague cuando el fl i p-fl op se borra. Los regi stros cuyas sal i das van a ser
obser vadas en l a consol a del comput ador son: A, B, PC, MAR, 1, E, F y S.
Cuando se muestra el nmero total del fl i p-fl op se encuentra
que es nece-
sari o 63 l mparas i ndi cadoras.
Un conj unto de i nterruptores
y sus funci ones para l a consol a
pueden
i ncl ui r l o si gui ente:
1. Di eci si s i nterruptores de "pal abra" para establ ecer manual mente
l os bi ts de una pal abra.
2. Un i nterruptor de "comi enzo" para preparar el fl i p-fl op S. La seal
de este i nterruptor borra el fl i p-fl op F, Nn, Us
V
el regi stro G.
3. El i nterruptor de "parada" para borrar el fl i p-fl op S. Para asegurar
que se compl ete esta i nstrucci n l a seal que vi ene del i nterruptor
se apl i ca conj untamente con l a funci n de Bool e (F* qs
I
qa
*
qz
*
qt)tz a una compuerta AND antes de que se apl i que para el borra-
do de Ia compuerta S.
4. Un i nterruptor de "cargar di recci n" para trasferi r una di recci n
al regi stro PC. Cuando se acti va este i nterruptor, el conteni do de
l os 12 i nterruptores de "pal abra" se trasfi eren al PC.
5. Un i nterruptor de "depsi to" para al macenar manual mente
pal abras
en l a memori a. Cuando se acti va este i nterruptor, el conteni do del
PC se trasfi ere al MAR y se i ni ci a el ci cl o de memori a. Despus de
1
rs,
el conteni do de l os 16 i nterruptores de "pal abra" se trafi eren
al regi stro B y se i ncrementa el PC en 1.
6. Un i nterruptor de "exposi ci n" para exami nar el conteni do de l a
pal abra en l a memori a. Cuando se acti va este i nterruptor, el conte-
ni do del PC se trasfi ere al MAR, se i ni ci a un ci cl o de memori a
y se
i ncrementa el PC en 1. El conteni do de l a pal abra de memori a es-
peci fi cado por l a di recci n en el PC, est en el regi stro B y puede
verse en l as correspondi entes l mparas i ndi cadoras.
Para asegurarse que el computador no est funci onando cuando l a ener-
ga se aplica, el flip-flop S debe tener un crculo especial que lo force a una
posi ci n de borrado i nmedi atamente despus de apl i car energa a l a m-
qui na.
REFE RENC I AS
1. Mano, M. M. , Comput er Syst em Archi t ect ure. Engl ewood CI i f f s, N. J. : Prent i ce-
Hal l . I nc. . 1976.
http://libreria-universitaria.blogspot.com
2.
I
514 DI SEO DE COMPUTADORES cAP. 1 1
I
Smal l Computer Handboofr. Maynard, Mass.: Di gi tal Equi pment Corp., 1973.
Boot h, T. H. , Di g t al Net wor ks and Comput er Syst ems. NuevaYor k: John Wi l ey
& Sons. I nc. . 1971.
Hi l l , F. J. y G. R. Pet er son, Di gi t al Sysem. s: Har dwar e Or gani zat i on and Desi gn.
\ueva York: John Wi l ey & Sons, Inc., 1973.
Bel l , C. G., J. Grason y A. Newel l , Desi gni ng Computers and Di gi tal Sysems.
\{aynard, Mass.: Di gi tal Press, 1972.
Kl i ne, R. M., Di gtal Computer Desi gn. Engl ewood Cl i ffs, N.J.: Prenti ce-Hal l , Inc.,
79i 7.
Soucek, 8., Mi ncomputers i n Data Processi ng and Si mul afi on. Nueva York:
John Wi l l ey & Sons, I nc. , 1972.
PROBLEMAS
11-1. Repas. , ^ , . rnj unt o de i nst rucci ones del comput ador di seado en est e cap t ul o
(Tabl as
11-2, 11-3 y 11-4) y haga l a l i st a de l as i nst rucci ones t i l es para:
(a)
t rasf erenci as ent re l a memori a y el acumul ador;
(b)
t rasf erenci as ent re l a ent rada-sal i da v el acumul ador:
(c
)
mani pul aci ones ari t mt i cas;
(d)
operaci ones l gi cas;
(e) operaci ones de despl azami ent o;
(f )
deci si ones de cont rol basadas en condi ci ones de est ado;
(g) subrut i na de bi f urcaci n y regreso.
ll-2. D una lista de instrucciones para que el computador ponga a uno el flip-flop E.
11-3. (a) Haga una l i st a de l a secuenci a de i nst rucci ones para que el comput ador
ej ecut e un despl azami ent o ari t mt i co a l a derecha de un nmero al macenado
en el acumulador. El nmero est en la representacin de signo complemento
de 2. (b)
Repi t a l o ant eri or para un despl azami ent o ari t mt i co a l a i zqui erda.
Indique cmo se detecta una sobrecapacidad.
11-1. Muest re que l a l i st a de i nst rucci ones obt eni das en el Probl ema 11-1(d) cons-
tituye un conjunto suficiente para configurar todas las 16 operaciones lgicas
l i st adas en l a Tabl a 2-6.
11-5.
(a)
Escri ba una secuenci a de t res i nst rucci ones que se al macenen en l os l u-
gares de memori a l , 2 y 3. El l as deben const at ar si hay un caract er en un
di sposi t i vo de ent rada y si es as , t rasf eri rl o al acumul ador.
(b)
Escri ba una
secuenci a de t res i nst rucci ones que se al macenen en l os l ugares de memori a
5, 6 y 7. El l os deben const at ar si el di sposi t i vo de sal i da est desocupado y si
es as , t rasf eri r un caract er del acumul ador.
11-6. El comput ador descri t o en est e cap t ul o no t i ene una i ndi caci n de sobreca-
pacidad despus de sumar dos nmeros con siglo. Asuma que los dos nmeros
agregados con la instruccin ADD estn en la representacin de signo com-
plemento de 2. Describa un algoritmo en forma de flujograma para un progra-
ma de computador que sume dos nmeros y detecte una sobrecapacidad.
ll-7. El programa siguiente es una lista de instrucciones en cdigo hexadecimal.
El comput ador ej ecut a l as i nst rucci ones comenzando por I a posi ci n hexade-
ci mal 100.
http://libreria-universitaria.blogspot.com
516 DI SEO DE COMPUTADORES
Cdi go
Si mbol o hexadeci mal Descri pci n
cAP. t 1
Funci n
ORA
XRA
S\['P
SUB
BSA
BPA
BN,d
BZA
8m
9m
Am
Bm
Cm
Dm
Em
Fm
OR con A
OR-excl usi va con A
I nt ercambi arA con
l a memori a
Rest arA de l a memori a
Bifurcar y conservar la
di recci n en A
Bi f urcar con A posi t i vo
Bi f urcar con A negat i vo
Bifurcar si A es cero
A<_A\ / M
A<_A6M
A<_M, M<_A
A<- . M
_
A
A<- PC, PC<- m
si (l
si (r
si (r
> 0)entonces (PC <-- m)
(
0)entonces (PC <- m)
:
0)entonces(PC <-- m)
11-13. El computad,.,r di seado en este captul o usa un fl i p-fl op F para di sti ngui r
entre los cicl{)s de bsqueda y
ejecucin. Este flip-flop no se necesita si ef re-
gistro de secuencia G es un contador de 3 bits y su decodificador entrega ocho
seales de tiempo, o hasta 7. El registro G puede borrarse ran pronlo
como se complete la ejecucin de la instruccin. (Esta
es la forma como fue
di seado el control en el computador senci l l o de l a Secci n
g-12.)
(a)
Revi se l as Tabl as 11-5, 11-6 y 11-z para
estar de acuerdo con este nuevo
esquema de control .
(b)
Determi ne el ti empo de ej ecuci n de cada i nstrucci n i ncl uvendo el ti em-
po de bsqueda de la instruccin.
11-14. Haga una lista de las proposiciones
de trasferencia entre registros para Ia eje-
cuci n de l as i nstrucci ones que se l i stan a conti nuaci n. Asuma que el com-
putador no tiene un flip-flop F, pero que el registro de secuencia G tiene 16
vari abl es de ti emn fo hasta r". El regi stro G debe borrarse cuando se
compl ete l a ej ecuci n de l a i nstrucci n. El ci cl o de bsqueda para el compu-
tador es ahora:
PC <_ MAR
B<_M, PC<_PC+1
r <- B(oP)
cada una de l as si gui entes i nstrucci ones comi enzan el ci cl o de ej ecuci n a
parti r
de l a vari abl e de ti empo t3. La l ti ma proposi ci n i ncl uye l a mi cro-
operaci n G.- 0.
toi
t t i
t zi
Cdi go
Smbolo hexadecimal Descripcin Funci n
SBA
ADM
BEA
8 m SubstraerdeA
9 m Agregar a la memoria
A m Bifurcar si A es igual
A<_A
_
M
M <- A + M
(A
no cambia)
Si
( A:
M) ent onces
( PC *m)
(A
no cambia)
http://libreria-universitaria.blogspot.com
PROBLEMAS 51 7
11-15. Compare las proposiciones de trasferencia entre registros del registro A lista-
das en Ia Tabl a 11-10 con el acumul ador di seado en l a Secci n 9-10. Di see
una etapa tpica del registro A para el computador usando el procedimiento
esbozado en l a Secci n 9-10. Incl uya el ci rcui to para l a vari abl e A, de detec-
ci n de cero.
11-16. Di buj e l as compuertas l gi cas que generan l as funci ones de control ot has-
ta a, para el regi stro A (Tabl a 11-10).
11-17. Comenzando por Ia proposi ci n de trasferenci a entre regi stros dada en l a Ta-
bl a 11-10 para el fl i p-fl op E deri ve l as funci ones de entrada de Bool e para E.
Use un flip-flop JK.
11-18. Una manera de si mpl i fi car un ci rcui to cuando se usa el mtodo de trasferen-
ci a entre regi stros es usar l os cami nos comunes mi entras se desarrol l a l a
l i sta de proposi ci ones. Para i l ustrar con un ej empl o parti cul ar consi dere el
mul ti pl exor para l a entrada del PC en l a Fi gura 11-7. Este mul ti pl exor no
sera necesari o si se puede rempl azar l a proposi ci n:
por la proposicin:
PC <- MAR
Fqat2; PC <- B(AD)
en l a i nstrucci n BSB de l a Tabl a 11-7. Expl i que por qu puede hacerse esto
y cmo resul ta en l a el i mi naci n del mul ti pl exor del di agrama de bl oque del
computador.
11-19. Un contado de 4 bi ts con carga en paral el o se encapsul a en un ci rcui to i nte-
grado.
Cuntos
CI se necesi tan para construi r l os si gui entes regi stros de
computador: PC, MAR, Iy G?
11-20. Di see el regi stro G del computador usando un contador de 4 bi ts con carga
en paral el o del ti po mostrado en l a Fi gura 7-19.
11-21. D una l i sta de l a tabl a del programa del PLA 2 de l a Fi gura 11-8.
11-22. Cambi e l a i nstrucci n AND del computador a una i nstrucci n OR
y modi fi -
que el mi croprograma de l a Tabl a 11-14 para que est acorde. Asi gne l a mi cro-
operaci n OR a l a sal i da 15 del decodi fi cador en Ia Tabl a 11-13.
11-23. Cambi e l a i nstrucci n BSB del computador a l a i nstrucci n BSA defi ni da en
el Probl ema 11-12. Modi fi que el mi croprograma de l a Tabl a 11-14 para estar
acorde con ese cambi o. La codi fi caci n de l os bi ts de ROM en l a Tabl a 11-13
pueden necesi tar un cambi o tambi n.
11-24. Di see una uni dad de control de mi croprograma para un computador
que con-
figure el ciclo de bsqueda y ejecucin de las instrucciones de referencia de
memori a l i stadas en Ia Tabl a 11-2 y el Probl ema 11-12. Incl uya dos sal i das
para la referencia de registros y las operaciones de entrada-salida,
http://libreria-universitaria.blogspot.com
Di seo del si stema
del
mi crocom
"'ffi
T**-
putador
12- 1 TNTRODUCCTON
Un si stema di gi tal se defi ne por l os regi stros que conti ene y l as operaci o-
nes que hace con l a i nformaci n bi nari a al macenada en el l os. Una vez que
se ha especi fi cado un si stema di gi tal , el papel del di seador es desarrol l ar
l os materi al es que confi guran l a secuenci a requeri da de operaci ones. El n-
mero de mi crooperaci ones di ferentes de un si stema dado es fi ni to. La com-
pl ej i dad para el di seo es una secuenci a de operaci ones para l ograr Ia tarea
necesari a de procesami ento
de datos. Esta abarca l a formul aci n de l as
funci ones de control o el desarrol l o del mi croprograma. Una tercera al ter-
nati va es usar un mi crocomputador para confi gurar el si stema di gi tal . Con
un mi crocomputador, l a secuenci a de operaci ones puede formul arse con un
conj unto de i nstrucci ones que consti tuyen un programa.
Un si stema di gi tal puede ser construi do por medi o de l os ci rcui tos MSI
tal es como regi stros, decodi fi cadores, ALU, memori a y mul ti pl exores. Tal
si stema hecho a Ia medi da ti ene l a ventaj a que se aj usta a l as necesi dades
de una apl i caci n parti cul ar.
Si n embargo, un si stema di gi tal construi do
con ci rcui tos MSI podra requeri r un gran nmero de ci rcui tos i ntegrados.
Si n embargo, cual qui er modi fi caci n que pueda necesi tarse, una vez haya
si do construi do el si stema, se debe l ograr por medi o de cambi os de al am-
brado entre l os componentes-
Al gunos si stemas di gi tal es son adecuados para
el di seo del LSI con
componentes tal es como l a uni dad de proceso, el secuenci ador del mi cro-
programa y l a uni dad de memori a. Estos si stemas pueden ser mi croprogra-
mados para adecuarse a especi fi caci ones requeri das. El mtodo del mi cro-
programa opera a ni vel de trasferenci a entre regi stros y debe especi fi car
cada mi crooperaci n en el si stema. La organzaci n del LSI mi croprogra-
mado usa menos CI que l a confi guraci n con MSI.
El nmero de CI puede reduci rse an ms si el si stema di gi tal es ade-
cuado para ser construi do con componentes LSI del mi crocomputador. Es-
tos componentes pueden ser cl asi fi cados por funci ones de l a si gui ente ma-
nera:
1. Un mi croprocesador, el cual es una uni dad cent ral de proceso (CPU)
encapsul ado en una past i l l a LSI .
518
l
http://libreria-universitaria.blogspot.com
s Ec . 12- 1
TNTROOUCCTON 5/ 9
2. La memori a de acceso al eqtori o
(RAM) y l a rnemori a de sl o l ect:t.c
(ROM) o ci rcui tos i ntegrados
que pueden combi narse
para formar
cual qui er tamao de memori a necesari a para una apl i caci n.
l l . Las uni dades
programabl es de i nterconexi n cuya funci n es i nter-
conectar entre el CPU o l a memori a una ampl i a vari edad de di sposi -
ti vos de entrada
y sal i da.
El usuari o
puede i nterconectar esos componentes LSI para formar un si s-
tema de mi crocomputador
que se aj uste a l as necesi dades del di seo
y que
reduzca drsti camente el nmero de CI.
Un mi croprocesador combi nado con l os mdul os de memori a y de i nter-
conexi n se l l ama mi crocomputador. La pal abra mi cro se usa
para i ndi car
el pequeo tamao fisico de los componentes integrantes. La segunda parte
de l a pal abra en mi croprocesador
y mi crocomputador es l o que real mente l os
di ferenci a. Procesador se usa para i ndi car aquel l a secci n del si stema, l a
cual ej ecuta l as funci ones bsi cas para real i zar i nstrucci ones
y procesar da-
tos de l a manera especi fi cada
por el programa. Esta parte se l l ama usual men-
te el CPU. El trmi no mi crocomputador se usa para i ndi car un si stema de
computador de pequeo tamao consi stente de tres uni dades bsi cas: CPU,
memori a e i nterconexi n de entrada-sal i da. El mi croprocesador se encap-
sul a comnmente en un CI
y se l l ama pasti l l a de mi croprocesador. Un mi cro-
computador se refi ere, en l a mayora de l os casos, a una i nterconexi n con
componentes LSI. Por otra parte, al gunas
pasti l l as mi croprocesadoras
i n-
cl uyen dentro de l a cpsul a no sol amente el CPU si no una parte de l a me-
mori a. Tal componente LSI se l l ama al gunas veces mi crocomputador de una
cpsul a.
Un microcomputador
puede ser usado como un computador
para prop-
si tos
general es de baj o costo,
para proporci onar capaci dades si mi l ares a
aquel l as de cual qui er otro si stema de computador. Aunque sta es una apl i -
caci n i mportante, no es l a que se qui ere enfati zar. En muchas apl i caci ones,
el mi crocomputador se usa como un si stema general para propsi tos espe-
ciales
para proporcionar las operaciones de trasferencia entre registros del
si stema. Este ti ene l a ventaj a
que pocas cpsul as LSI rempl azan una gran
canti dad de ci rcui tos MSI
que seran necesari as para generar estas opera-
ci ones. Otra ventaj a es
que l as operaci ones de trasferenci a entre regi stros
para el si stema pueden especi fi carse con un programa. El programa de una
apl i caci n
para propsi tos especi al es es i nal terabl e
y por esta razn puede
ser al macenado en una memori a de sl o l ectura. Una vez que un programa
fi j o resi de en una ROM no hay di ferenci a de comportami ento entre un si s-
tema di gi tal
y el di seo con base en l os materi al es
para un cl i ente.
La caractersti ca ms i mportante del mi crocomputador es que un si ste-
ma di gi tal
para propsi to especi al , de apl i caci n ni ca,
puede ser di seado
para escri bi r un
programa para un computador di gi tal para propsi tos gene-
ral es. La ej ecuci n de l os programas fi j os e i nal terabl es causa
que el mi cro-
computador se comporte de una manera
preestabl eci da,
j ustamente
como
se comportara un si stema di gi tal correspondi ente basado en MSI. Este
mtodo de di seo di gi tal no era econmi camente facti bl e de confi gurar, an-
tes del desarrol l o de l os componentes de mi crocomputador
pequeos
1'
de
baj o costo.
http://libreria-universitaria.blogspot.com
52O DI SEO DEL SI STEMA DEL MI CROCOMPUTADOR c AP. 1 2
EI programa al macenado en l a parte de l a ROM de un si stema de mi -
crocomputador es un programa de computador que no necesi ta al teraci o-
nes. Como l a RAM es una memori a vol ti l , al cortar el sumi ni stro de poten-
ci a
'
acti varl o de nuevo, se destruye l a i nfomaci n bi nari a al macenada en
el l a. La ROM es una memori a no vol ti l y el programa al macenado en el l a
est di sponi bl e cada vez que se l e sumi ni stre potenci a. Por esta razn, l a
parte de ROM de un si stema de mi crocomputador se l l ama tambi n l a me-
mori a de programa.
En este punto se debe di sti ngui r entre un mi croprograma y un mi cro-
computador. Aunque ambos usen l a pal abra mi cro, el pri mero se deri va del
concepto de l as mi crooperaci ones mi entras que el segundo se refi ere al ta-
mao pequeo
de l os componentes. Ambos usan una ROM para al macenar
un programa que especi fi ca l as operaci ones en el si stema. Un mi croprogra-
ma al macenado en l a memori a de control confi gura l a uni dad de control en
el CPU. Las i nstrucci ones al macenadas en un mi crocomputador pueden ser
consi deradas como macrooperaci ones para el CPU en vez de mi croi nstruc-
ci ones para l os regi stros de proceso. Adems, el mi croprograma con pal abras
se refi ere a l a forma como se ha confi gurado l a uni dad de control . Un mi cro-
computador es un computador de tamao pequeo cuyo CPLI puede o no te-
ner una uni dad de control de mi croprograma.
El mi crocomputador de baj o costo y pequeo tamao ha cambi ado l a
di ecci n del di seo l gi co di gi tal . En vez de real i zar un grupo de operaci o-
nes de trasferenci a entre regi stros por funci ones de control o un mi cro-
programa, se real i zan funci ones l gi cas especi fi cando un conj unto de i ns-
trucci ones, l as cual es se al macenan en una ROM y se ej ecutan en el CPU
mi croprocesador. Este mtodo de di seo puede ser cl asi fi cado como un m-
todo Lgi co programabl e ya que l as operaci ones secuenci al es son especi fi ca-
das con un
programa al macenado en l a memori a.
El mi croprocesador es un componente central en un si stema de mi cro-
computador. La canti dad y ti po de memori a en el si stema, Io mi smo que l a
natural eza de l as uni dades de i nterfase de I/O que se usan son una fun-
ci n de Ia apl i caci n parti cul ar. El programa fi j o que resi de en l a ROM de
un si stema de mi crocomputador parti cul ar
es tambi n dependi ente de una
apl i cac i n espec f i ca.
El di seo de un si stema de mi crocomputador puede ser di vi di do en dos
partes: di seo de materi al es y
di seo de programaci n. El di seo de l os ma-
teri al es consi ste en l a conexi n de l os componentes fi si cos para produci r
un si stema di gi tal compl eto. El di seo de l a programaci n trata del desa-
rrol l o de l os programas para una apl i caci n parti cul ar. Escri bi r programas
para un mi crocomputador es esenci al mente i gual que hacerl o para cual qui er
otro computador. La ni ca di ferenci a es que ei programado de mi crocom-
putador debe estar fami l i ari zado con l a confi guraci n de l os materi al es y
debe tener en cuenta l os probl emas asoci ados con l a apl i caci n parti cul ar.
Escri bi r programas para un computador establ eci do para propsi tos gene-
ral es i ncl uye por l o general procedi mi entos
de cmputo que requi eren muy
pocos
conoci mi entos de l a construcci n de l os materi al es del computador
en s, en caso de que l os haya.
Este captul o abarca l os aspectos dg l os materi al es de l os mi crocompu-
tadores si n tener en consi deraci n l os probl emas de programaci n. Escri bi r
http://libreria-universitaria.blogspot.com
a
sEc. 12- 2
ORGANI ZACI ON DEL MI CROCOMPUTADOR
programas para un mi crocomputador es si mi l ar a escri bi r un mi croprogra-
ma para l a memori a de control , excepto
que se debe usar el conj unto de i ns-
trucci ones
para el mi croprocesador comerci al sel ecci onado. El estudi o del
di seo de l os materi al es es un tema
que por s sol o podra l l enar todo un
vol umen.
En este captul o se defi nen
pri mero vari os componentes de un si stema
de mi crocomputador
y l a forma como se comuni can entre s. La organi za-
ci n del mi croprocesador tpi co se presenta entonces
y sus operaci ones
i nternas
y externas se expl i can. Al gunas caractersti cas i mportantes co-
munes a todos l os mi croprocesadores son di scuti das. Se muestra entonces
l a organi zaci n de Ia secci n de memori a
y se expl i can vari os ti pos de uni -
dades de i nterconexi n usados comnmente en el di seo de si stemas de
mi crocomputadores.
12- 2 ORGANI ZACI ON
DEL MI CROCOMPUTADOR
Un si stema tpi co de mi crocomputador consi ste de un mi croprocesador ms
memori a
y una i nterconexi n I/O. Los di ferentes componentes
que forman
el si stema estn enl azados
por medi o de buses que trasfi eren i nstrucci ones,
datos, di recci ones e i nformaci n de control entre l os componentes de CI' La
Fi gura 12-1 muestra el di agrama de bl oque de un si stema de mi crocompu-
taor. Tpi camente el mi crocomputador ti ene un sol o mi croprocesador. Si
Mi croprocesador
Bus de Lneas
di r ecci ones de cont r ol
Fi gura 12-1 Di agrama de bl oque
Bus Bus
l i O de datos
del si stema de mi crocomputador
http://libreria-universitaria.blogspot.com
522 DI SEO OEL SI STEMA DEL MI CROCOMPUTADOR c AP. 12
se i ncl uyen vari os procesadores, se ti ene entonces un si stema mul ti proce-
sador. el cual es una posi bi l i dad vl i da. Un nmero de cpsul as RAM
y
ROM son combi nadas para formar un tamao dado de memori a. Las uni da-
des de i nterconexi n se comuni can con di sposi ti vos externos a travs del
bus I,' O. En un ti empo dado el mi croprocesador sel ecci ona una de l as uni -
dades por medi o del bus de di recci ones. Los datos se trasfi eren de l a uni dad
sel ecci onada al mi croprocesador va el bus de datos. La i nformaci n de con-
trol se trasfi ere usual mente por medi o de l neas i ndi vi dual es, cada una
especi fi cando una funci n de control parti cul ar.
El propsi to del mi croprocesador es sumi ni strar un cPU
que i nterprete
cdi gos de i nstrucci n reci bi dos de l a memori a
y ej ecutar operaci ones ari t-
mticas, lgicas
y de control basadas en datos almacenados en registros
i nternos, pal abras de memori a o uni dades de i nterconexi n. EI mi cropro-
cesador conti ene un nmero de regi stros, una uni dad l gi ca ari tmti ca,
una uni dad de ti empo y una l gi ca de control . Externamente, ste entrega
un si stema de buses para trasferi r i nstrucci ones, datos e i nformaci n de
control haci a l os mdul os conectados con 1. Las operaci ones i nternas de
un microprocesador tpico
y las funciones de las lneas de control se des-
cri ben en l a Secci n 12-3.
La memori a de acceso al eatori o es una memori a del ti po de l ectura-es-
cri tura y consi ste de vari os CI conectados entre s. La RAM se usa para
al macenar datos, parmetros vari abl es
y resul tados i ntermedi os
que nece-
si tan renovaci n
y que estn suj etos a cambi o. La ROM consi ste de un
nmero de CI y se usa
para al macenar programas y tabl as constantes
que
no estn suj etas a cambi o una vez que se haya termi nado l a producci n
del si stema del mi crocomputador. El mtodo de conectar
pasti l l as de me-
mori a al mi croprocesador se descri be en l a Secci n 12-6'
Las uni dades de i nterconexi n presentan l os cami nos necesari os
para
trasferir informacin entre el microprocesador
y los dispositivos externos
de entrada
y sal i da conectados al bus l /O. El mi croprocesador reci be i n-
formacin de condiciones
y datos de los dispositivos externos
por medio de
l a i nterconexi n. Este responde envi ando i nformaci n de control
y datos
para l os di sposi ti vos externos por medi o de l a i nterconexi n. Esta comuni -
caci n se especi fi ca
por medi o de i nstrucci ones programadas que di ri gen
Ios datos por medio del bus en el sistema del microcomputador. Los dife-
rentes mdulos de interconexin disponibles en los microcomputadores
y
su operaci n se presentan en l a Secci n 12-7.
La comuni caci n entre l as compuertas LSI en el mi croprocesador se
lleva a cabo va el bus de direcciones
y el de datos. El bus de direcciones es
uni di recci onal desde el mi croprocesador a otras uni dades. La i nformaci n
binaria que el microprocesador coloca en el bus de direcciones especifica
una palabra de memoria particular en la RAM o ROM. El bus de direcciones
"e
uia para seleccionar una de las diferentes unidades interconectadas al
si stema o a un regi stro parti cul ar de una uni dad de i nterconexi n. Una pa-
labra de memoria
y un registro de interconexin pueden distinguirse asig-
nando una di recci n di ferente a cada uno. De manera al terna, una seal de
control
puede usarse
para especificar si la direccin en el bus es para una
palabra e -emoti o para un registro de interconexin. El nmero de lneas
disponible en el bus de direcciones determina el tamao mximo de memo-
http://libreria-universitaria.blogspot.com
-l
sc. 12-2
ORGANI ZACI ONDELMI CROCOMPUTAOOR
523
ri a que puede ser acomodado en el si stema. Para n l neas, el bus de drrec-
ci one
puede especi fi car hasta 2" pal abras de memori a. La capaci dad
trp:-
ca de n bus de di recci ones de un mi croprocesador es 16, para tener una
capaci dad mxi ma de memori a de 216
:65.536 pal abras. La canti dad de me-
mria empleada en un sistema de microcomputador depende de la aplicacin
particulai y a menudo es menor
que la mxima disponible en el bus de di-
recci ones.
El bus de datos trasfiere los datos del microprocesa$or a la memoria
o i nterconexi n
y vi ceversa, l a cual es sel ecci onada
por el bus <1e_di recci o-
nes. El bus de atos es bi di recci onal ,
l o cual si gni fi ca
que l a i nformaci n
bi nari a
puede fl ui r en cual qui er di recci n. Un bus de datos bi di recci onal
se usa
para ahorrar
pati l l as en un ci rcui to i ntegrado. Si l a uni dad no usa-
ra un 6us bi di recci onal
sera necesari o col ocarl e termi nal es de entrada
y
sal i da, separados en l a cpsul a del ci rcui to i ntegrado. El nmero de l neas
'
en el bus de datos del miroprocesador
vara entre 4 y 16 siendo 8 lneas el
ms comn.
u,
grupo separado de buses de datos
y de dir-ecciones es la va de tras-
ferencia .o-r, encontrada
en los microprocesadores.
La ventaja de este
esquema es
que el microprocesador
p,t"d"
.seleccionar
una
palabra en la
memoria v trsferir la palbra de datos al mismo tiempo. Algrnos micropro-
I
\
""*udor"r-usan
un bus tomn el cual es mul ti pl exado
en ti empo,
para tras-
ferir direcciones
o datos. Por ejemplo, una baria de 16 lneas comunes
puede
usarse
para trasferi r una di recci n de 16 bi ts segui da de una
pal abra de
datos de 16 bi ts que va a ser escri ta en l a memori a. La ventaj a de este es-
quema es
que se necesitan menos
patillas y an- as los datos
pueden ser
de 16 bits e longitud. La desventaja estriba en el tiempo
perdido en el uso
secuenci al del bus comn
y l a necesi dad de un retenedor externo
para re-
tener la direccin de la memoria. Algunos microprocesadores
_c-omparten
sol amente
pu.t" d"t bus del si stema etre datos
y di recci ones. un bus de
16 lneas
puede usar 8 lneas bidireccionales
para trasferencia de datos
y
16 l neas
i u."
tt".f.renci a
de di recci ones.
Esto requi ere comparti r el bus
de datos
iu
q"" la direccin se divide entre las 8 lneas del bus de datos
I y l as 8 l neas restantes di sponi bl es.
En vez de usar l a cpsul a mi croprocesadora
como l a que se muestra en
l a Fi gura 12-1, en al gunas apl i caci ones se rempl aza este bl oque con una cp-
sul a mi crocoputadora.
Tpi camente una cpsul a mi crocomputadora
con-
ti ene un CPU con 64 pal abi as de RAM
y 1.024 pal abras de ROM, todo en-
capsul ado en el ci rcui i o i ntegrado. Ti ene adems al gunas caractersti cas
de
i nterconexi n. Si el si stema di gi tal
que se va a di sear no requi ere ms
memori a o caractersti cas
de i nterconexi n
adi ci onal es, entonces el si ste-
ma mi crocomputador
puede construi rse con una sol a cpsul a,componente
mi crocomputadora.
De esta manera, esta cpsul a
puede usarse como un
.ol npo.r"ni " de baj o costo
y escaso tamao
para una apl i caci n
i ndepen-
di ente. La mayor de l as cpsul as mi crocomputadoras
pueden ser. expan-
di das con RO-M externa, RM
y caractersti cas
de i nterconexi n
para
. Droduci r
una apl i caci n de control ms poderosa. En l as di scusi ones
sub-
f
;lg,riente., la memoria
y la interconexin estarn separados del C-PU,
pero
debe tenerse en cuenta
que al go de memori a e i nterconexi n
puede ser i n-
cl ui do dentro de l a cpsul a de CI que conti ene el CPU'
http://libreria-universitaria.blogspot.com
524
Dr sEo DEL st sr EMA DEL Mt cRocoMpur ADoR c AP. 12
Para facilitar el desarrollo de los sistemas digitales para propsitos
espcciales por medio de un microcomputador, muchs fuenies ofiecn una
uni dad mi croprocesadora compl eta en un sol o tabl ero i mpreso. El mi cro-
pmcesador, un grupo de CI ROM, RAM y de i nterconexi n conj untamente
ccn otras cpsul as MSI y SSI, necesari as para l a construcci n de l a uni dad
de mi cocomputador, se montan en un sol o tabl ero i mpreso. Los termi nal es
de l os CI se conectan por medi o de al ambres i mpresos para formar una uni -
dad mi crocomputadora compl eta. Al usuari o se l e da acceso a l a i nter-
conexi n de l os di sposi ti vos l /O por medi o de l os contactos del conec-
tor del tablero. El conector tiene otros contactos para
acomodar todos los
buses de i nformaci n y permi ti r expansi n externa de memori a y de i nter-
conexi n al tabl ero. La expansi n de memori a e i nterconexi n se encuentran
disponibles en tableros impresos ya fabricados.
Separ ador del bus
El sistema de buses de un microprocesador se configura comnmente por
medio de separadores del bus
(bus
buffer) construidos con compuertas de
\ tres estados. Una compuerta de tres estados es un ci rcui to di gi tal que ti ene
tres condi ci ones a l a sal i da. Dos de l as entradas son seal es equi val entes
al bi nari o 1 0, como en l as compuertas convenci onal es. El tercer estado
se l l ama el estado de al ta i mpedanci a. Este l ti mo se comporta como si l a
sal i da estuvi era i nhabi l i tada o "fl otara", l o cual si gni fi ca que no puede
afectar ni ser afectado por al guna seal externa en el termi nal . El ci rcui to
el ectrni co de una compuerta de tres estados se expl i ca en l a Secci n 13-5
conj untamente con l a Fi gura 13-16.
El smbolo grfico de una compuerta separadora de tres estados se
muestra en l a Fi gura I2-2. Esta ti ene una entrada normal y una entrada de
control que determi na el estado de l a sal i da. Cuando l a entrada de,control
es igual al binario 1, la compuerta se comporta como un separador conven-
ci onal con l a sal i da i gual a l a entrada normal . Cuando el termi nal de con-
trol es 0 se i nhabi l i ta l a sal i da y l a compuerta pasa al estado de al ta i mpe-
danci a, si n tener en cuenta el val or de l a entrada normal . El estado de al ta
impedancia le imprime a la compuerta de tres estados una caracterstica
no di sponi bl e en otras compuertas. Debi do a esta caractersti ca se puede
conectar un gran nmero de compuertas de tres estados a alambres para
formar un bus comn de lneas sin causar efectos de carga. Sin embargo, en
un tiempo dado no puede
estar ms de una compuerta en estado activo.
Las compuertas conectadas deben ser controladas de manera que sola-
Entrada nomal A
Entrada de contol C
Y: As i C: 1
Y se habilita cuando C
:
0
Figura l2-2 Smbolo grfico para una compuerta separadora de tes estados
http://libreria-universitaria.blogspot.com
sEc. 12-2
ORGANI ZACI ON
DEL MI CROCOMPUTADOA
525
Control
de bus
si
.r0
Control de entrada
Bus habilitado
(alta imPedancia)
!'
mente una compuerta
de tres estados tenga acces-o al bus de lneas mien-
tras
que las otrs compuertas
estn en el estado de alta impedancia.
., bus bidireccional
puede construirse
con separadores
de bus
para
controlar
la direccil "f nir:" de informacin' Una lnea del bus bidireccio-
nal se muestra
""
i; ng"i fZ-g. El bus de control tiene
dos lneas de
**-;,
p"ru t"-ti"r?t""cia
de entrada
y so
para la trasferencia
de
salida. Esas lneas e seleccin controlan
los dos separadores
de tres esta-
dos. Cuando
s: 1; ; ; : 0
el separador
i nf eri or se habi l i t a
y el supe-ri or
se
inhabilita al pasar a un estado dL alta impedancia.
Esto forma una va
para
ild;;",
de Lntrada-prwerriettes
del bus
para pasar por el separador
su-
perior y pasar trrago
"t-.i.tema.
Cuando
s,
:
1 y s,
-
0' el separador
superior
"-fr"iflt"
y el inferior
pasa a un estado de alta impedancia-
Esto forma
,""
"i"
pur lo. datos d salida
provenientes del sistema
y que pasan por
la compuerta
superlo*. u"1"
"f
bus de lneas. La lnea de bus
puede inha-
bi l i tarse
haci endo
t,-t .t, i gual a cero ambos,
l o cual col oca a ambos sepa-
radores en estado d"""it"
impedancia
para prevenir cualquier
trasferencia
de informacin
de
""lidu
o entrada a tiavs del bus de lneas' Esta condi-
cin debe existir cuando una fuente externa
est usando el bus comn
para
comunicarse
con algn otro componente.
Las dos lneas de seleccin
pueden
ser usadas
p"r^ irrrr-a,
u lo" *dnlo. externos
conectados
al bus del es-
;;d" ;; ef cuaf est el bus bi di recci onal
en un momento dado de ti empo'
En la mayora de los casos la capacidad
de carga de un bus de micro-
p-"..ao, e."li*iti
""
""ir,
p.r"d" soportar un nmero reducido de
i"rg".-".t"rnas.
Cuando eI bus se conecta a un
gran nmero de unidades
"*iEirru.,
Ia capacia
d"
""rg"
del microprocesador
debe ser reforzada
con
separadores
"*t"..ro.
-d"l
bus los cuales se pueden encontrar
en la forma
de cI. Adems, cualquier
componente
qu" iiette terminales
de entrada
y
."fi J" ."pu."ao.,
deb estar conectado
al si stema de bus del mi crocompu-
;;il;p.i-edio
de separadores
de bus externos
para poder.aislar el compo-
nente cuando no se L*i .o*,r.ticando
con el bus. As, un sistema de micro-
;;;p";""r
.rece*ita-rnu'
u -u""o separadores
de bus externos entre el
microprocesador
y ;;;";p.nentes
t St
y entre ciertos componentes
LSI
y el si stema de bus comn.
Cont rol de sal i da
Lnea del bus
bidireccional
Fi gura l 2-3 Separador
de bus bi di ecci onal
http://libreria-universitaria.blogspot.com
12- 3 ORGANI ZACI ON DEL MI CROPROCESADOR
Para garanti zar
un amp.l i o. rango de aceptabi l i dad,
un mi croprocesador
de-
be tener una organi zaci n i nterna adecuada pu."
.rn" gu-u
ampl i a de apl i -
caci ones. Las organi zaci ones
de l os mi croprcesadores-comerci l es
di fi eren
entre s, pero
el l as ti enen l a propi edad
comn de una uni dad procesadora
central . como tal , el l as son capaces de i nterpretar
cdi gos d.e l nstrucci n
reci bi dos de l a memori a y de real i zar
tareas de procesam"i ento
de datos es_
peci fi cados por un programa.
El l as responden
l os comandos de control
externos y generan
seal es de control para
ser usadas por
mdul os ex-
t ernos.
Conj unt o t pi co de seal es de cont rol
La operaci n adecuada de un mi croprocesador
requi ere que se presenten
ci ertas seai es de contol y ti empo para
l ograr funci ones specfi cas y que
otras seal es de E:ontrol
sean medi das para
determi na el estado del mi cro_
procesador.
un conj unto tpi co de l neas de control di sponi bl es en l a mayo-
ra-de l os mi croprocesadores
se muestra en l a Fi gurui z-q.
para
compl ei ar
el di agrama se muestra tambi n el bus de datos,l l bus de di recci ones y
el
termi nal de entrada de l a fuente de poder
a l a uni dad. Las necesi dadei de
potenci a
de un mi croprocesador parti cul ar
se especi fi can por
el ni vel de
v'ltaje y consumo de poder que
debe suministrar.L puru op"i", el cI.
El terminal de entrada del reloj es usado pot
"i
microcomputador para
generar pul sos
de rel oj de mul ti fase y produci i secuenci as
de ti empo y
l o.r-
trol para
las funciones
-internas.
Aigunos microprocesadores
requieren
un
generador
externo de pulsos
de reloj para producir
los pulsos.
En este caso
Fuente de poder
Entada del rei oj
Poner a ceo
Peti ci n
de i nterrupci n
Pet i ci n
de bus
Salida del reloj
Reconocimiento
de interrupcin
Bus garantizado
Lectura
Escritua
Bus de direccin
Figura 12-4 Seales de control en un microprocesador
Bus de datos
Microprocesador
526
http://libreria-universitaria.blogspot.com
sEc. 12- 3
ORGANI ZACI ONDEL MI CROPROCESADOF
5 2 7
ei rel oj de sal i da l o produce el generador de rel oj en vez del mi croprocesad"r
en s. Al gunas uni dades
generan el pul so de rel oj dentro de s, pero requi e-
ren un .i i .tul externo o ci rcui to
para control ar l a frecuenci a del rel oj ' Lc' '
pul sos de rel oj son usados
por l os mdul os externos
para si ncroni zar sus
peraci ones con l as operaci ones del mi croprocesador.
El termi nal de puesta a cero o reset se usa para reposl cronar o rnl crar
el mi croprocesador espus de haber acti vado l a potenci a o en cual qui er
moment en que el usuari o
qui era comenzar el proceso desde el pri nci pi o.
El efecto de l a seal de puesta a cero es i ni ci ar el mi croprocesador, forzando
una di recci n dada al contador del programa. El programa comi enza l a ej e-
cuci n con l a pri mera i nstrucci n en esa di recci n. La manera ms si mpl e
de i ni ci ar una puesta a cero es borrar el contador del programa y comenzar
el programa dei de l a di recci n cero. Al gnos mi croprocesadores
responden
a l a seal de puesta a cero trasfi ri endo el conteni do de un l ugar de memori a
especfi co al ontador del
programa. El di seador debe al macenar Ia di rec-
ci n de comi enzo del
programa en el l ugar de memori a adoptado'
La requi si ci n de i nterrrupci n
(i nterrupt) al mi croprocesador, vi erl
tpi camente de un mdul o de i nterconexi n
para i nformar al mi croprocesa-
dr que est l i sto para trasferi r l a i nformaci n. Cuando el rni croprocesador
reci b-e una requi si ci n de i nterrupci n, suspende l a ej ecuci n del programa
corri ente
y se bi furca a un programa que si rve de mdul o de i nterconexi n.
Al compl etar l a ruti na de servi ci o, el computador regresa al programa pre-
vi o. La faci l i dad de i nterrupci n se i ncl uye para produci r un cambi o en l a
secuenci a del
programa como resul tado de l as condi ci ones externas. El con-
cepto de i nterrupci n
y el mtodo de responder a una requi si ci n de i nte-
rrupci n se di scute en l a Secci n 12-5.
El termi nal de entrada de bus-request
(requi si ci n del bus) es una re-
qui si ci n al mi croprocesador
para suspender su operaci n
y l l evar todos l os
.,.", u su estado de mayor i mpedanci a. Una vez reconoci da l a requi si ci n,
el mi croprocesador responde habi l i tando l a l nea de sal i da de control de
garanta de bus
(bus-granted). As, cuando un di sposi ti vo externo desea
Irasferi r l a i nformaci n di rectamente a l a memori a, ste sol i ci ta
que el mi -
croprocesador abandone el control del bus comn' Una vez que el bus sea
i ntrabi ti tado
por el mi croprocesador
el di sposi ti vo
que ori gi n l a requi si ci n
toma control sobre el bui de direcciones
y datos
para conducir las trasfe-
renci as de memori a si n Ia i ntervenci n del procesador. Esta caractersti ca
se l l ama acceso di recto de mernori a
y se di scute en l a Secci n 12-8.
Lectura
y escri tura son l neas de control
que i nforman el componente
sel ecci onado
por el bus de di recci ones de l a di recci n de l a trasferenci a es-
perada en el bus de datos. La l nea de l ectura i nforma a l a uni dad sel ecci o-
nada que el bus de datos est en el modo de entrada
y que el procesador
aceptai datos del bus de datos. La l nea de escri tura i ndi ca
que el
proce-
sad-or est en el modo de salida
y que los datos vlidos estn disponibles
en el bus de datos. Cuando l os buses estn i nhabi l i tados, l as dos l neas de
control estarn en el estado de al ta i mpedanci a; as, l a uni dad externa
que
control a l os buses puede especi fi car l as operaci ones de l ectura
y escri tura.
Exi sten otras posi bi l i dades para el control de l os buses. El bus de di -
recci ones
puede sei control ado con una l nea adi ci onal
para i ndi car si l a
di recci n * pa.u una pal abra de memori a o para una uni dad de i ntercone-
http://libreria-universitaria.blogspot.com
528 DI SEO DEL SI STEMA
DEL MI CROCOMPUTADOR
CAP. 12
xi n. otra posi bi l i dad
es combi nar
l as l neas
de control de rectura y
escri _
tura en una l nea que
se denomi na
R/w. cuando
"*1ul .r"u
"*
f i ;i ;u
l ectura y
cuando
es 0 i ndi ca
escri tura.
una segunda
l nea de control es
necesari a para
i ndi car
cundo
una di recci n
vri a est en el bus de di ec-
ci ones de manea que
l os componentes.externos
respondan
a ra l nea
R,/w
sol amente
cuando se sol i ci ta
"o.,
trrru di recci n
vl i a,
Las seal es de control enumeradas.
en l a Fi su;
12-4 consti tuyen
un
conj unto
mni mo de funci ones
de control pa.a
;;;;rrocerador.
La ma_
yora
de l os mi croprocesadores
ti enen
caractersti cas
de control adi ci ona-
l es para
funci ones
especi al es.
uni aades
di ferentes pueden
usar nombres
numri cos
di ferentes para
funci ones
de control i dnti cos y
no necesari a_
mente l os nombres
usados aqu.
Ej empl o
de CpU
Para apreciar
las taeas realizadas.por
un microprocesador,
puede
ser ins-
tructivo
investigar
la organizacin
interna
de una
""iaJ
tlpi ca. La Figura
12-5 muestra
el di agrama de bl oque
de una uni dad procesadora
central en-
cerrada
dentro de u.na.cpsul a
mi croprocesadora:'
E;;;;;u-ente
cuenta
con un bus de datos bi di recci onar,
un bus e i recci ones-]-rrr,
,r.i -uro
de r_
neas,de
control .
Aqu se muestran
soramente
l as l neas d control asoci adas
con l a tasferenci a
en el bus. El bus d*.aato,,";;;;g""-i l
medi o del sm_
bol o DBUS y
consi ste de ocho t"ear.i a
i nformaci n
conl eni da
en l as ocho
l neas se. l l ama byte u octeto, el cual consti tuye
un nombre para
denotar
una palabra
de 8 bits. El bus de direcciones
desi-gnado poi-J-*i*bolo
ABUS,
consi ste
de 16 l neas para
especi fi car
2t6
.:64K' (i :
i .2;i
di re"ci o.,e.
frl
sibles.
As, el micropr-ocesador
es
"upu,
d"
"orou.ri.u.."-*
,rrru unidad de
memoria
de G4K bytes.
Internamente,
el microprocesador
tiene seis registros procesadores
de-
marcados
B hasta G, un rgistro
acumulador
!q"!c"q
fi,
la letra A y
un registro
temporal
.7- Estos
registros
son de
g
bit"s " ro'"gitra y pueden
acumul ar
un byte. El ALU opu.u-"o.r
l os datos
"r-u""""aos
en y
T y
el
resultado
de_ la operacin
se trasfiere
a A o a travs de un bus interno
a
cualquiera
de los seis re,gistros procesador"..
nil";;.;;
le conicin
re_
ti ene el bi t de condi ci n
de una operaci n
tal como
"l ""rr"rt*
ri nal del ALU,
el val or del bi t de si gno y l a i ndi caci n
de resurtado
"..l
nr cdi go de
operaci n
de una i nstrucci n
se trasfi ere
al regi stro
"-i r,.trrr".i n (.IR),
donde se decodifica para
determinar
la secuencia
de microoperaciones
ne-
cesaria para
ejecutar la instruccin.
El_tempori
zador y contror supervisa
todas las operaciones
internas
en el CPU y las lneas de contol externas
en el mi croprocesador.
"r;.}iffi%'j:t
al microprocesado
8080,/85 excepto que los registros
F y
G se llaman
*El
regi stro de condi ci n
fue di scuti do en l a Secci n 9_2.
http://libreria-universitaria.blogspot.com
I
,
t
Bus de datos
bi di recci onal
(DBUS)
+
I
8i l
Separadores
de datos
Bus i nt erno de 8 bi t s
Mul t i pl exor
Reg
de cor
rstro
Ldi ci n
Registro
de instruccin
(/R
)
B C
i(eg

e
t empor al
( 7' )
D E
c
Contado de
programa (PC
)
Decodi fi cador
de i nstrucci n
A U
Indi cador
de pi l a ( SP)
Registro
acumulado
(A)
Regi stro de
di ecci n
( 4f i
)
Temporizador
J
control
+H+
1 6 9
L---->
8
Separadores
de
di r ecci ones
Otras WR RD
I neas
( escr i - ( l ec-
de contol tura) tura)
(ABUS)
Bus de direccin
Fi gura 12-5 Di agrama de bl oque del mi croprocesador
Los separadores de di recci ones
reci ben l a i nformaci n de tres fuentes:
el contador del
programa (PC), el i ndi cador de pi l a o stack
poi nter
(SP)-y
el regi stro de di reci "i ones
(AR). El PC manti ene l a di recci n de memori a de
l a i strucci n corri ente del
programa y se i ncrementa despus de c-ada
bsqueda de i nstrucci n. El R .u usa
para al macenami ento
temporal de
l as i recci ones
que se l een de l a memori a. Las funci ones de estos dos regi s-
tros sern cl ari fi cadas cuando se descri ban l as secuenci as de operaci ones
del cPU. SP se usa conj untamente
con una
pi l a de memofi a,y su funci n se
"-pfi *
en l a Secci n ri -5. El bus de di recci ones
puede reci bi r i nformaci n
de di recci onami ento
de un par de l egi stros
procesadores. Se
pueden formar
tres pares para conformar una di recci n de 16 bi ts. Estos se demarcan con
l os si mbol s de regi stros combi nados
BC, DE y FG. Cada regi stro
proce-
sador conti ene 8 bi ts
y cuando se combi na con el adyacente, conforma un
par de regi stros de 16 bi ts. Es conveni ente al gunas veces di vi di r l os tres
529
http://libreria-universitaria.blogspot.com
53O DI SEO DEL SI STEMA DEL MI CROCOMPUTADOR
regi stros de 16 bi ts PC, SP y Aft en dos partes.
bi ts de mayor orden y
el smbol o ,L l os
g
bi t. d"
refi ere a l os bi ts 1a 8 del PC y
pC
(l l )
se refi ere
c AP. 12
El smbol o 11 desi gna l os 8
menor orden. As PC (,L)
se
a l os bi t s 9 a 16.
Ci cl o de memor i a
La uni dad de memori a consi st e de RAM y
RoM. Est a se conect a al mi cro-
procesador por medi o de l os buses de di recci ones y datos y
el control de
l ectura y escri tura. Esta se muestra esquemti camente en l a Fi gura 12-6.
un ci cl o de memori a se defi ne como el ti empo que
se requi ere para
tener
acceso a l a memori a con el obj eto de l eer o escri bi r un byte.
Mi cr o-
procesador
de di recci n
ABI I S\
Memo i a
( RAM
o ROM)
Bus de datos
(DBUS
)
Lectura (.RD
)
Escritura (
WB
)
(para
l a RAM sol amente
Fi gur a 12- 6 Comuni caci n ent e el mi cr opr ocesador y l a memor l a
En el ci cl o de l ectura, el mi croprocesador
col oca una di recci n en ABUS
y
habi l i ta l a l nea de control RD. La memori a responde l eyendo el byte y
col ocndol o en el DBUS. El mi croprocesador acept el byte y l o trasfi ere
un regi stro externo. Para expresar el ci cl o de l ectura si mbl i camente
se
asume que l a di recci n vi ene del AR y el byte de datos se trasfi ere al re-
gi st ro
A:
ABUS <- AR, RD <- I di recci n en el bus para l ectura
DBUS <-- MIABUS]
l a memor i a l ee el byt e
A <- DBUS, RD <- 0 el byte se trasfiere a A
Pri mero, el mi croprocesador
col oca l a di recci n de memori a en el ABUS e
i nforma a l a memori a que hay una di recci n vl i da di sponi bl e para l ectura.
La memori a respond_e
7l
RD l eyendo el byte en l a di recci n daa por ABus
y col ocndol a en DBUS. El mi croprocesador
trasfi ere entonces ei byte del
DBUS a A. Al mi smo ti empo l a seal de control .RD se i nhabi l i ta i ndi cando
el fi nal de l a trasferenci a de memori a.
-
Las tres operaci ones l i stadas anteri ormente pueden
combi narse en una
sol a proposi ci n:
A <- MI ARI
Esta es l a operaci n de l ectura que trasfi ere el byte de memori a di recci ona-
d<r pcrr el AR al regi stro A.
http://libreria-universitaria.blogspot.com
\
sEc. 12- 3
OHGANI ZACI ON DEL MI CROPROCESADOR 3 J t
En el ci cl o de escrtura, el mi croprocesador col oca una di recci n en
ABUS
y un byte de datos en DBUS. Al mi smo ti empo se trabi l i ta Ia l nea
WR. L; memri a responde a WR escri bi endo el byte del DBtl S en un l ugar
de memori a especi fi cdo
por l a di recci n en ABUS. Este
proceso puede ser
expl i cado si mbl i camente:
ABUS <_ AR, DBUS <- A, WR <- I
MI ABUS] +- - DBUS, WR<- 0
regi stro A se trasfi era al bYte
nuevo es posi bl e escri bi r esta
Este proceso establ ece
que el conteni do-
del
de mmori a en l a di recci n dada
por AR' De
operaci n con una
proposi ci n compuesta:
MI AR)
<- A
Las trasferenci as
de memori a al mi croprocesador
deben estar acordes
con ci ertas rel aci ones de ti empo
que deben exi sti r entre l as seal es dd
control
y l a i nformaci n de Ios buses. Estas rel aci ones de ti empo son espe-
ci fi cadas
por formas de onda de ti empo
que
-se
i ncl uyen en l as uni dades
.-po"""i "s
con l as especi fi caci ones
del
producto. El i nterval o de ti empo
de un ci cl o de memori a es una funci n de l a frecuenci a de rel oj i nterna del
-i "-pto""rador
y el ti empo de acceso de l a memori a. Una vez
que el mi crr-
prt."-rfi "r enva l a di recci n, ste espera una respuesta dentro de un i n-
ie.valo dado de tiempo. una memoria capaz de responder dentro del inter-
uuto . ti empo del procesador
puede ser control ada di rectamente
por el ci cl o
de memori a del mi croProcesador.
Si el mi cropro".do.
se comuni ca con una memori a l enta,
poda to-
mar ms ti empo el acceso de l a memori a
que el i nterval o de ti empo
Rerm-i -
.i tu-
putu
pod., ,rru. memori as l entas el mi croprocesador debe ser capaz de
demorar l a trasferenci a
hasta
que se compl ete el acceso de l a memori a. Una
iot*u es expandir el perodo del reloj del microprocesador
es reduciendo
l a frecuenci del rel oj p".u q.t" se aj uste al ti empo de acceso de l a memori a.
l g.rno. mi croprocesdor"r
ui en"tt con un termi nal de control especi al , l l a-
mdo ,"ady,
(listo) para permitir que la memoria coloque su propio tiempo
de ci cl o d memori a-. Si l mi croprocesador
no reci be l a seal ready
(l i sto)
e l a memori a, despus de envi ai Ia di recci n, entrar en un estado de es-
iiri tiart,
durant el tiempo en que Ia lnea de ready
(listo) est en el es-
i "do O. Cuando se compl ete-el
accso de l a memori a, l a l nea de ready pasa
ai estado 1 para i ndi car
que l a memori a est l i sta
para una trasferenci a
especfi ca.
Secuenci ami ent o
del mi cr opr ocesador
La secuenci a
y control en el mi croprocesador determi na l a secuenci a de
trasferenci as a travs de l os buses i nternos y externos, el ALU
y l os regi s-
tros procesadores. Durante el ci cl o de bsqueda, el corttrol l ee un cdi go de
operci n de l a memori a
y Io deposi ta en un regi stro de i nstrucci n. La i ns-
trucci n se cl ei :odi fi ca
y se trasl ada a acti vi dades de procesami ento espe-
http://libreria-universitaria.blogspot.com
cficas. Las referencias posteriores
a la memoria dependen del cdigo de
operaci n decodi fi cado.
Asmase que
todos l os cdi gos de operaci n con-
si sten de ocho bi ts y se al macenan en un byte de metori a. Las operadores
son tambi n de un byte de l ongi tud porque
el bus de datos es de bcho bi ts
de l ongi tud. una di recci n se especi fi ca
cbn dos bytes o 16 bi ts. consi drese
ahora tres i nstrucci ones
de suma con rongi tudes
di ferentes de formato.
r. sumar B o A. Esta es una i nstrucci n para
sumar el conteni do del
regi stro B al conteni do presente
del acumul ador. Toda l a i nforma-
ci n necesari a para
especi fi car l a i nstrucci n
est conteni da dentro
de un cdi go de operaci n
de un byte.
2. sumar eI operando i nmedi ato o A. Esta es una i nstrucci n que
su-
ma un operando al conteni do presente
del acumul ador. El byte aet
operando se col oca en i a memori a si gui endo el byte del cdi go d" op"-
raci n. Esta i nstrucci n
ocupa dos bytes de memori a.
3. sumar eL operando especi fi cado por una di recci n o A. Esta es una
i nstrucci n que suma un byte al macenado
en al guna parte
de l a
memori a al corteni do presente
del acumul ador. La di recci n del
operando se col oca en l a memori a si gui endo el byte del cdi go de
operaci n. Esta i nstrucci n ocupa tres bytes de memori a, yu qrrl "
1",
di recci ones en s mi smas ocupan dos bytes.
_
El formato y l a funci t' rn de l as tres i nstrucci ones se sumari zan en l a
Tabl a 12-1. cada i nstrucc;i n
ti ene al menos un byte para el cdi go de ope-
raci n. La uni dad de control se di sea para reconoce. el nmero d bytes^en
una i nstrucci n parti cul ar
del cdi go de operaci n decodi fi cado del pri mer
byte.
La representaci n
de memori a de l as tres i nstrucci ones
se i l ustra en
l a Fi gura l 2-7. La pri mera i nstrucci n
se asume ubi cada en el l ugar
g1
con
un cdi go de operaci n de 8 bi ts asi gnados
arbi trari amente. Las otras dos
i nstrucci ones
ocupan dos o tres bytes respecti vamente.
La di recci n de l a
pri mera
i nstrucci n
es 260 y
se determi na del nmero bi nari o de 16 bi ts en
l os l ugares 85 y 86:
(00000001
00000100)2
:
(260)s
EI operando para
esta i nstrucci n
se muestra l ocal i zado en l a memori a en
el l ugar 260. En una apl i caci n
tpi ca, l as tres i nstrucci ones resi di rn nor-
532 DI SEO DEL SI STEMA DEL MI CROCOMPUTADOR
cAP. 12
Tabl a l 2- l Tr es i nst r ucci ones
t pi cas de un mi cr opr ocesador
Istruccin
Byte I Byte 2 Byte 3 Funci n
Sumar B y A
Sumar el operando
en turno y A
Sumar el operando
especificado por
una
di recci n y A
Cdigo de
operacin
Cdigo de
operaci n
Cdi go de
operacirr
Operando
Mi t ad de
mayor
orden de
a di recci n
Mi t ad de
menor
orden de
a di recci n
A<_A* B
A?A
+byt e2
A<- A +M
[di recci n
http://libreria-universitaria.blogspot.com
' t
Direccin decimal
--1
lnstruccin de 1 byte
8 I
f az
Instruccin de 2 bytes
{
183
l aq
I
I nst rucci n de 3 bVt es
I
85
I
186
8',l
Cont eni do
bi nar i o de
l a memor i a
10000000
I 10001 l0
00000 I 00
01010101
Op-code
para agregar B a A
Op-code
para agregar el operando en turno
y A
Operando
Op-code
para sumar el byte de memori a
y A
Mi tad de mayor orden de l a di recci n
Mi tad de menor orden de Ia di recci n
Si gui ent e op- code
Operando
l eer el cdi go de oPeraci n
trasferir B a T
sumar T a A
260l oooor r r o
Fi g u r a l 2 - ? Re p r e s e n t a c i n d e l a me mo r i a d e t r e s i n s t r u c c r o n e s
mal mente en l a ROM, mi entras
que el operando en el l ugar 260 estar en l a
RAM. Este operando debe resi di r en Ia RAM
porque debe asumi rse
que su
val or est suj eto a cambi o durante el cl cul o. De otra forma si el val or del
operando no
"cambi a,
no habr necesi dad de asoci arl o con una di recci n.
Refi ri ndose a l a Fi gura 12-5
para l os nombres de l os regi stros
y buses,
se puede hacer una l i sta de l a secuenci a de operaci ones necesari as
para
procesar cada i nstrucci n. se asume
que el contador del
programa conti ene
i ni ci al ment e 81.
Sumar B o A:
IR <-- MlPCf, PC <- PC + 1
T<_B
A<_A* T
La pri mera l nea representa el ci cl o de bsqueda
para-l eer e1 cdi go de ope-
*"i " al regi stro de sustracci n.
La operaci n decodi fi cada especi fi ca un
regist.o
prosador; de manera
que el cbntenido de B se trasfiere a T y la
ofrraci n de suma se real i za en el ALU. Ntese
que el PC se ha i ncremen-
tado
y conti ene ahora el nmero 82.
i a i nstrucci n de un byte se ej ecuta con un ci cl o de memori a
porque
todos los operandos residen en los registros del procesador.- Si un operando
resi de en l memori a, es necesari o accl sar l a memori a
para l eer el operando.
533
http://libreria-universitaria.blogspot.com
534 DI SEO DEL SI STEMA DEL MI CROCOMPUTADOR
Sumar el operando i nmedi ato a A:
IR <- M[PC], PC <- PC + 1
T <- M[PC]. PC <- PC + |
A<- A * T
c AP. 12
l eer el cdi go de operacrn
l eer el operando
sumar el operando a A
Ieer el cdi go de operaci n
l eer el pri mer
byte de l a di recci n
l eer el segundo byte de l a di recci n
l eer el operando
sumar el operando a A
La pri mera l nea representa el ci cl o de bsqueda una vez ms. El PC se i n.
crementa para que contenga l a di recci n 83. En esta di recci n se l ee el ope
rando de l a memori a y se col oca en ? para ej ecutar l a suma en el ALtl .
si l a i nstrucci n conti ene l a di recci n del operando, el mi croprocesador
debe pasar por
cuatro ci cl os de memori a para ej ecutar Ia i nstrucci n.
Sumar a A el operando especi fi cado por una di recci n:
IR <- M[PC], PC <-- PC + |
AR\H) <- MIPC\, PC <- PC + |
AR(L) <- Ml PCl , PC <- PC + |
T <- MI AR]
A<_A* T
La parte de l a di recci n de l a i nstrucci n se al macena temporal mente en el
regi stro de di recci n
(AR).
La di recci n de 16 bi ts formada en el AB se usa
entonces para l eer el operando.
No se requi ere un gran nmero de ci cl os de memori a en l os mi croproce-
sadores porque consumen gran
cantidad de tiempo de proceso. Este es uno
de los factores limitantes de la velocidad de los microprocesadores de 8 bits
con di recci ones de 16 bi ts. El nmero de accesos a l a memori a puede ser
reduci do si se usa el bus de datos de 16 bi ts. Los mi croprocesadores de 16
bi ts requi eren menos referenci as a l a memori a comparados con l os mi cro-
procesadores
de 8 bi ts. Aunque se ha escogi do descri bi r l a operaci n de un
mi croprocesador de 8 bi ts, l a operaci n con un bus de datos de 16 bi ts po-
dra ser si mi l ar, tomando en consi deraci n l as di ferenci as en l ongi tudes de
l as pal abras usadas por l os regi stros del procesador y l as pal abras de me-
mor i a.
12- 4 I NSTRUCCI ONES Y MODOS
DE DI RECCI ONAMI ENTO
La estructura l gi ca de l os mi croprocesadores se descri be en l os manual es
de referenci a sumi ni strados por el fabri cante. un manual para un mi cropro-
cesador parti cul ar
descri be l a organi zaci n i nterna en el CPU, l a funci n
de todos l os termi nal es de entrada y sal i da y l os regi stros procesadores
di s-
poni bl es
desde el punto
de vi sta del usuari o. El manual descri be todas l as
i nstrucci ones di sponi bl es en el computador y expl i ca sus funci ones. Demues-
http://libreria-universitaria.blogspot.com
I
sEc.
12- 4 I NSTRUCCI ONES Y MODOS DE DI RECCI ONAMI E\ - :
tra tambi n cmo l os bi ts de condi ci n son afectados por cada i nstrucc. :.
El cdi go i nterno para cada i nstrucci n se l i sta en bi nari o, octal
' heac-
ci mal . En l a mayora de l os casos se adopta un cdi go equi val ente octai -
hexadecimal
porque estos cdigos necesitan menos dgitos que la represen-
taci n bi nari . Cuando se escri be un programa para un computador se asi g-
na a cada i nstrucci n un nombre si mbl i co
para i denti fi carl o.
Los nombres si mbl i cos
y cdi gos asi gnados a l as i nstrucci ones usa-
das en un microprocesador son diferentes de los nombres
y cdigos usados
en un mi croprocsador di ferente aun para i nstrucci ones si mi l ares. Por esta
razn, el usuario debe estudiar
y recordar el conjunto de instrucciones
y
sus nombres si mbl i cos cada vez que se usa un mi croprocesador di ferente.
Aunque el grupo de instrucciones de diferentes microprocesadores difieren
de uno a o[.o, hay ci ertas i nstrucci ones
que real i zan operaci ones bsi cas
y que son incluidas en todos los microprocesadores.
Conj unt o bsi co de nst rucci ones de un mi croprocesador
Las i nstrucci ones del mi croprocesador
pueden cl asi fi carse en tres ti pos
di ferentes.
1. Instrucci ones de trasferenci a
que mueven datos entre regi stros,
pa-
l abras de memori a
y regi stros de i nterconexi n si n cambi ar el con-
teni do de l a i nformaci n bi nari a.
2. Instrucci ones de operaci n
que real i zan operaci ones con l os datos
al macenados en l os regi stros o pal abras de memori a.
3. Instrucci ones de control usados
para probar el estado de l as codi fi -
caci ones en l os regi stros y causar un cambi o en l a secuenci a del pro-
grama dependiendo de los resultados.
El conj unto de i nstrucci ones de un mi croprocesador
parti cul ar especi fi ca
las operaciones de trasferencia entre registros
y decisiones de control
que
estn presentes en el si stema del mi crocomputador. Un programa espe-
cfi co
para un mi crocomputador es equi val ente a especi fi car l a secuenci a
de operaciones
para un sistema digital
particular que sea configrado
por
el mi crocomputador.
Las i nstrucci ones de ti po trasferenci a en l os mi croprocesadores son
i ndi cadas
por di ferentes nombres. Una i nstrucci n de moui mi eno
(move)
causa una trasferenci a de datos desde l a fuente hasta su desti no. La fuen-
te o el desti no
puede ser un regi stro procesador o un l ugar de memori a' Las
i nstrucci ones de carga
(l oad) y al macenar
(store) son si mi l ares en l a i ns-
trucci n de movi mi ento excepto
que se refi eren normal mente a trasferen-
ci as a l a memori a
y al acumul ador
y vi ceversa. La i nstrucci n de i ntercam-
bi o
(exchange) cambi a l a i nformaci n entre dos regi stros o entre un regi stro
y una
pal abra de memori a. Las i nstrucci ones de i nsertar
(push) y sacar
(pop) trasfieren datos entre los registros procesadores y la pila de memo-
ri a.-Las i nstrucci ones de entrada
y sal i da trasfi eren datos entre l os regi s-
tros
procesadores y los registros de interconexin.
http://libreria-universitaria.blogspot.com
536
Dt sEo DEL st sr EMA oEL Mt cRocoMpur ADoR c AP. 12
Las i nstrucci ones
de ri po operati vo ej ecutan operaci ones ari tmti cas
y.
dc despl azami ento
entre l os regi stros prcesadores
o pal abras
de memo-
ri a- El l as ponen
a coro, a uno o compl ementan l os bi ts de condi ci n o bi ts
i ndi cadores.
Las i nst rucci ones
de opei aci n t pi cas son sumar, rest ar, AND,
oR, compl ementar y poner
a uno .l bi t de arrastre. La mayora de l as i ns-
t rucci ones de t i po operat i vo cambi an t ambi n l os bi t s de condi ci n en el
regi stro de condi ci n del procesador.
Las i nstrucci ones
-de
ti po control ri enen caractesti cas para
tomar
deci si ones y cambi ar el cami no tomado por el programa
cuand se ej ec"i a
en el comput ador. Las i nst rucci ones
se al macen"n. r, l ugares de memori a
consecuti vos y
se ej ecutan una detrs de otra en secuenci . el programador
agrega una i nstrucci n de control cada vez que
el control debe-sei trasferi -
do a una i nstrucci n que est fuera de Ia secuenci a normal . Las i nstrucci o-
nes de control pueden
ser condi ci onal es o i ncondi ci onal es.
Una i nstrucci n
de control condi ci onal causa una bi furcaci n de l a secuenci a de programa
normal sol amente cuando se detecta una condi ci n de estado ..p.n.*.
Una i nstucci n de control i ncondi ci onal
causa una bi furcaci n i ncndi ci o-
nal . La bi furcaci n de l a secuenci a del programa
normal se l ogra cambi ando
el contador del programa
de manera que
?rte .ontenga l a di recci n de l a
i nstrucci n que
est prxi ma
a ej ecutarse.
.
Hay tres ti pos de i nstrucci ones
de contror
'
cada ti po puede
ser condi -
ci onal o i ncondi ci onal :
1. Instrucci ones de sal to o bi furcaci n.
2. Instrucci ones
de l l amado y regreso a Ia subruti na.
3. Instrucci ones
de omi si n.
T,as pal abras
sal tar o bi furcar se usan i gual mente para i mpl i car l o mi smo y
al gunas veces se usan para
denotar modos di ferentes de i recci onami ento.
Estas i nstrucci ones
estn asoci adas con una di recci n que especi fi ca dn-
de se debe hacer el sal to o l a bi furcaci n. Las i nstrucci ones de subruti na
de l l amado y de regreso se expl i carn en l a si gui ente secci n conj untamente
con l a pi l a
de memori a. una i nstrucci n
de sl to ,r omi si n (ski p)
es l a que
evi ta l a si gui ente i nstrucci n
en secuenci a. Al col ocar una i nsl rucci n de
bi furcaci n i ncondi ci onal
ensegui da de una i nstrucci n
de omi si n o sal to,
es posi bl e
hacer una bi furcaci n a uno de dos l ugares posi bl es,
dependi en-
do del -val or especi fi cado de l a condi ci n del bi t d status.
l nst r ucci ones par a
el mi cr opr ocesador
El nmero de i nstrucci ones
di ferentes en un mi croprocesador parti cul ar
puede
vari ar entre 50 y 250. Estas i nstrucci ones
deLen ser estudi adas v
memori zadas por
el usuari o qui en
escri be l os programas para
el mi crocom-
putador.
Una l i sta parci al
de i nstrucci ones
foi mul adas para
el mi croproce-
sador de l a Fi gura 12-5 se presenta
en l a Tabl a 12-2. Esl as i nstrucci ones se
http://libreria-universitaria.blogspot.com
Tabl a l 2-2 Li st a parci al de i nst rucci ones para el mi croprocesador
Cdi gc Smbol o
hexa- de l a
deci mal i nstrucci n Descri pci n
uncr on
78
3E
7E
1 1
3A
?' )
0l
MOV A, B
MVI A, D8
MOV A, FG
MOV FG, A
LDA ADI6
STA AD16
LXI FG, DI6
Mover B haci a A
Mover el operando i nmedi ato a A
Mover haci a A con regi stro i ndi recto
MoverA con regi stro i ndi recto
Cargar A directamente
Al macenar A di rectamente
Cargar el par de regi stros
i nmedi atamente
Sumar B a A
Sumar el operando i nmedi ato a A
Sumar a A con regi stro i ndi recto
Sustraer I de A
AND B yA
ORBv A
Incrementar B
Decrementa B
Incrementar el par de regi stros BC
Decrementar el par de regi stros BC
Compl ementarA
Rotar A a l a i zqui erda con arrastre
RotarA a l a derecha con arrastre
Poner a i el bi t de arrastre
Sal tar i ncondi ci onal mente
Sal tar si exi ste arrastre
Sal tar si exi ste val or di ferente a cero
Ll amar subruti na
Regreso de l a subruti na
Detener el
procesador
A<_B
A<_DE
A <- MIFGI
MIFGI<- A
A <- Ml ADl l
M\ AD16l <- A
FG + D16
A<- A 1B
A<_A+D8
A<- A+Ml FGl
A+- A
-
B
AeA
\ B
A<- A\ / B
B<- B+l
B<- B- l
BC<_BC+I
BC<_BC_I
A<- r
A<- c l c A
A<- c r c A
c<- I
PC <_ ADI 6
Si
( C:
1) ent onces
(PC <- ADr6)
Si ( Z: 0) ent onces
(PC + ADt6)
PiIa <- PC,
PC <_ ADI 6
pC
<-
pila
80 ADD
C6 ADI
86 ADD
90 SUB
AO ANA
BO ORA
04 INR
05 DCR
03 INX
OB DCX
2F CMA
07 RLC
OF RRC
37 STC
B
D8
FG
B
B
B
B
B
BC
BC
c3
DA
C2
CD
JMP ADI
JC ADI6
JNZ ADI6
CALL ADI6
RET
HLT
c9
76
' A
:
regi st ro acumul ador; B: regi st ro B; FG
: par
de regi st ros F y G; f i f
: par
de re-
gi st ros B y C; D8: operando de dat os de 8 bi t s (1
byt e); D16- operando de dat os dc 16
bi t s ( 2
byt es) ; 4D16: di r ecci n de 16 bi t s ( 2 byt es) .
http://libreria-universitaria.blogspot.com
538 DI SEO DEL SI STEMA OEL MI CROCOMPUTADOR CAP, 12
di vi den en tres secci ones para dar ej empl os de i nstrucci ones del ti po de
trasferenci a, operaci n y control .
El cdigo hexadecimal listado en la tabla es un nmero de 2 dgitos
equi val ente al cdi go de operaci n de 8 bi ts asi gnado a l a i nstrucci n. (La
representaci n de 4 bi ts equi val ente para l os 16 dgi tos hexadeci mal es est
dada en l a Tabl a 1-1.) El nombre si mbl i co de cada i nstrucci n es una de-
si gnaci n de 2 a 4 l etras segui das de uno o dos smbol os de un regi stro, un
operando o una di recci n de memori a. La col umna de descri pci n expl i ca l a
i nstrucci n en pal abras y l a col umna de funci n defi ne l a i nstrucci n pre-
ci samente con una proposi ci n
de trasferenci a entre regi stros. Ntese que
las instrucciones de computador especifican macrooperaciones para l mis-
mo y pueden ser simbolizados con proposiciones
apropiadas por el mtodo
de trasferencia entre registros. Sin embargo, por razones prcticas, las ins-
trucciones de computador se escriben con smbolos especficos como en la
segunda columna de la tabla. Estos smbolos especiales son asignados por
el fabri cante del computador y
ti enden a ser di ferentes en l os di ferentes
computadores.
Las pri meras cuatro i nstrucci ones de l a Tabl a 12-2 son i nstrucci ones
de movi mi ento y trasferenci a de i nformaci n de una fuente a un desti no
dado. Las si gui entes son i nstrucci ones de carga y al macenaj e que l ogran
un obj eti vo si mi l ar. Un nmero representati vo de i nstrucci ones del ti po ope-
rati vo se l i stan en l a segunda parte de l a tabl a. En l a l ti ma secci n se da
l a l i sta de vari as i nstrucci ones de control .
La i nstrucci n de mover con regi stro i ndi recto:
MOV A, FG
si mbol i za l a operaci n de trasferenci a entre regi stros A
*Ml FGl .
Es-
ta trasfi ere al regi stro A el byte de memori a, cuya di recci n est en el par
de regi stros FG. Esta es l l amada i nstrucci n i ndi recta de regi stro ya que
el par de regi stros FG especi fi can l a di recci n del operando en vez del ope-
rando en s.
La i nstrucci n de carga i nmedi ata:
LXI FG, DI6
si mbol i za l a operaci n de trasferenci a entre regi stros FG
*D
16 donde D16
es un nmero de 2 bytes que puede representar una di recci n. Esta i nstruc-
ci n puede ser usada para trasferi r una di recci n al par de regi stros FG.
Cuando se usa de esta manera el par de regi stros FG consti tuyen un con-
tador de datos o un i ndi cador que seal a una di recci n de memori a donde
se al macena el operando. FG puede ser i ncrementado con l a i nstrucci n de
i ncremento del par de regi stros:
INX FG
l a cual si mbol i za l a operaci n de t rasf erenci a ent re regi st ros FG*FG*7.
De esta manera, el contador de datos o indicador puede ser incrementado
para i ndi car l as di recci ones consecuti vas en l a memori a donde el progra-
mador al macena una tabl a de bytes de datos consecuti vos.
http://libreria-universitaria.blogspot.com
t
sEc. 12- 4
I NSTRUCCI ONES Y MODOS DE DI RECCI ONAMI ENTO 539
Las i nstrucci ones de operaci n conti enen
l as operaci ones comunes
ari tmti cas,
l gi cas y de despl azami ento.
Ntese
que hay ms i nstrucci o-
nes del mismo tipo
que puede.t ser formuladas si se especifica uno de los
cinco registro.
p.o""dores C, D, E, F o G en vez del registro especfic-o
B. Simil'armente,
una instruccin
que especifica un
par de registros
P|9de
.ei .rplicada usndo uno cualquieia de los tres
pares de registros
posibles
BE, DE o FG.
Las l ti mas sei s i nstrucci ones
en Ia tabl a son i nstrucci ones de control '
Las i nstrucci ones de sal to
y l l amado necesi tan una di recci n de 16 bi ts
si mbol i zada
AD76. Las di retci ones
de regreso o paro son i nstrucci ones de
un byte. Aquel l as
que i ncl uyen el smbol o AD76 o D16 son i nstrucci ones
de trls bytes
y uqrr-ella.
qrr" rr.un el smbolo D8 son instrucciones de dos
bytes. Todas ias dems son instrucciones de un byte especifiquen o no un
regi stro.
La mejor manera de apreciar un conjunto de instrucciones de un com-
prrt"t es escribir
programas que realicen tareas de
procesamiento de
datos significativos.
Lot ptogtamas escritos
para el sistema de microcom-
putadori equi eren el mi smo razonami ento l gi co al escri bi r_ mi croprogramas
puru ,r.r sisiema digital como se vio en el ejemplo del Captulo 10.
Modos de di r ecci onami ent o
El cdi go de operaci n de una i nstrucci n especi fi ca l a operaci n
que va a
,e, ee"itada despus de haberse ledo de la memoria
y colocado en la uni-
dad e control at CpU. La uni dad de control debe saber dnde encontrar
al operando con el cual se va a ejecutar la operacin. Los operandos
pueden
estar l ocal i zados en l os regi stros de proceso en
pal abras de memori a o en
los registros de interconexin. La forma como son determinados los operan-
dos drante la ejecucin del programa se determina a partir del modo de
di recci onami ento de l a i nstruci n. En computadores
grandes' el modo de
di recci onami ento de una i nstrucci n se especi fi ca con un cdi go bi nari o
de l a mi sma forma como se especi fi ca el cdi go de operaci n. En l os mi cro-
prccesadores de 8 bi ts, el pri mer byte de una i nstrucci n es un cdi go bi -
nario combinado
que especifica la operacin
y el modo de la instruccin'
Una vez col ocado ste byte en el regi stro de i nstrucci n, durante el ci cl o de
bsqueda es interpretado
por el control
para determinar no solamente la
opeci n
que deb ser ej ecutada si no tambi n l a forma como se van a l oca-
lizar los operandos.
En l a Tabl a 12-1 se puede encontrar un ej empl o de tres modos de di rec-
ci onami ento
para l a mi sma operaci n.
La tabl a defi ne tres ti pos de modos
de di recci onami ento
para l a i nstrucci n sumar a A. La operaci n
puede
referirse a un registro,
"
u.r operando inmediato o a un operando especfico
para una di recci n de' memori a,
si sta se especi fi ca de-modo di ferente. un
iot"p"tuao.
puede usar una
gran variedad de modos de direccionamiento
pur" l u mi sma operaci n
para empl ear di ferentes maneras de l ocal i zar ope-
randos. Para el usuari o si n experi enci a,
l a vari edad de modos de di recci o-
nami ento en al gunos computadores
puede parecer excesi vamente compl i ca-
da. Si n embarg, l a di sponi bi l i dad
de di ferentes esquemas de
programaci n
http://libreria-universitaria.blogspot.com
54O DI SEO DEL SI STEMA DEL MI CROCOMPUTADOR cAP. 12
dan al programador con experiencia la flexibilidad de escribir programas
que son ms eficientes con respecto al nmero de instrucciones y tiempo de
ej ecuci n.
Se han di scuti do al gunos modos de di recci onami ento en l os ej empl os
anteri ores y se sumari zan aqu como referenci a.
Modo i mpl ci to: En este modo se especi fi ca el operando i mpl ci ta-
mente en l a defi ni ci n de l a i nstrucci n. Las i nstrucci ones de este ti po son
i nstrucci ones de 1 byte. Por ej empl o, l a i nstrucci n "compl ementar el acu-
mul ador" es una i nstrucci n en modo i mpl i cado porque el operando en el
regi stro acumul ador est i mpl ci to en l a defi ni ci n de l a i nstrucci n.
Modo de regi stro: En este modo l os operandos estn en l os regi stros
que resi den dentro del CPU. Las i nstrucci ones del modo de regi stro son
i nstrucci ones de 1 byte y puede ser ej ecutadas dentro del cPU si n nece-
si dad de hacer referenci a a l as memori as para l os operandos.
Modo i ndi recto de regi stro: En este modo l a i nstrucci n especi fi ca
un regi stro o un par de regi stros en el procesador
cuyo conteni do da l a di -
recci n del operando en l a memori a. Este modo usa i nstrucci ones de 1 byte
aunque el operando est en l a memori a. Antes de usar una i nstrucci n de
modo i ndi recto de regi stro, el programador
debe asegurarse que l a di recci n
del operando se col oque en el regi stro procesador
con una i nstrucci n pre-
vi a de ti po trasferenci a. una referenci a al regi stro es equi val ente a epe-
ci fi car una di recci n de memori a.
Modo i nmedi ato: En este modo el operando se especi fi ca en l a di rec-
ci n en s. En un mi croprocesador de 8 bi ts se col oca el operando en l a me-
mori a i nmedi atamente despus del byte del cdi go de operaci n. Una
i nstrucci n de modo i nmedi ato que
ti ene un operando de 8 bi ts es una i ns-
trucci n de 2 bytes. una con un operando de 1G bi ts es una i nstrucci n de
3 byt es.
Modo de di recci onami ento
di recto: En este modo el operando resi -
de en Ia memori a y su di recci n est dada di rectamente en Ia parte
de di -
recci n de l a i nstrucci n. Una i nstrucci n di recta consi ste de tres bytes en
un mi croprocesador de 8 bi ts con di recci ones de 16 bi ts. En computadores
con pal abras
de memori a mayores, l a parte
de di recci n se combi na con l a
operaci i r y l os bi ts del cdi go de modo para
uni r toda l a i nstrucci n en una
pal abra
de memori a. La mayora de l as i nstrucci ones de modo di recto asu-
men que l os otros operandos resi den en l os regi stros procesadores.
Si hay
ms de un operando que resi da en l a memori a, l a i nstrucci n debe i ncl ui r
di recci ones adi ci onal es para especi fi car sus posi ci ones.
Al gunos mi croprocesadores de 8 bi ts con di recci ones de 16 bi ts ti enen
modos de di recci onami ento di recto que requi eren sol amente un byte para
especi fi car una di recci n. Tal es mi croprocesadores di vi den l os 216 bytes
de mernori a en bl oques l l amados pgi nas.
A cada pgi na se l e asi gna usuai -
http://libreria-universitaria.blogspot.com
!
SEC. 12. 4
I NSTRUCCI ONES Y MODOS DE DI RECCI ONAMI ENTC Y'
mente 256 bytes de espaci o de memori a consecuti va. Una pgi na en i a i e-
mori a se especi fi ca co; Ios ocho bi ts de mayor orden de una di recci n. Los
8 bits de mno orden dan el byte dentro de la pgina. As, una memoria cie
64K puede dividirse en 256
pginas de 256 bytes cada una. La primera pa-
girru r" llama
pgina 0
y la riltima
pgina 255. Por medio del esquem"
4"
p-l-
fi rru.
u. posi bi etesarrol l ar al gunas vari aci ones en el modo di recto de di -
recci onami ento.
Di recci onami ento
de l a
pgi na cero: Este es si mi l ar al modo de di -
recci onami ento
di recto excepto
que Ia parte de l a di recci n de l a i nstruc-
ci n conti ene sol amente
1 byte. Esta es una i nstucci n de 2 bytes con un
.ugo"Jo byte especificando
los ocho bits de menor orden de la memoria de
di i ecci onei . LoJ ocho bi ts de mayor orden de l a di recci n se asumen como
ceros. Esto restri nge el rango de l as di recci ones a l os 256 bytes menores de
memori a
(0-255) l os cual es defi nen Ia pgi na 0.
Di recci onami ento
de
pgi na presente: Este modo asume
que el
operando resi de en l a memori a dentro de l a mi sma
pgi na de memori a
que
l i nstrucci n
que l a usa. Como el contador del programa reti ene si empre
l a di recci n de i a si gui ente i nstrucci n, sus ocho bi ts de mayor orden con-
ti enen tambi n el nmero de
pgi na presente. Este modo de di recci onami en-
to usa i nstrucci ones de 2 byl eJ con una
parte de di recci n de 8 bi ts. La
di recci n del operando t" obti "tte del nmero de pgi na encadenado con l a
putl l " di recci n de l a i nstrucci n. La di recci n de 16 bi ts del operando
se cal cul a a parti r de:
PC(N + AD\
donde PC
(H) denota l os ocho bi ts de mayor orden del PC y ADS l os 8 bi ts
de di recci n de l a i nstrucci n. El resul tado es una di recci n de 16 bi ts con
el PC
(Il )
dando l os pri meros 8 bi ts
y ADS l os 8 bi ts restantes'
Di recci onami ento
rel ati vo: Este es si mi l ar al modo de di recci ona-
mi ento de
pgi na preseni e excepto de
que no es sensi bl e a l os l mi tes de l as
pgi nas. Una i nsti ucci n de modo rel ati vo es una i nstrucci n de 2 bytes
co" el segundo byte
que especi fi ca un nmero con si gno en el rango entre
-
128
y
+
tZl . E.sto se l ogr representando el nmero en l a forma de si gno
compi emento de 2. La di recci n de 16 bi ts del operando se cal cul a agl egan-
do el conteni do de 16 bi ts di sponi bl es al presente en el contador del
progl a-
ma a l a di recci n de 8 bi ts con si gno en l a i nstrucci n. Si esta l ti ma se
denota como AD8 el cmputo de l a di recci n
puede si mbol i zarse como:
PC + AD8
Esto requi ere
que el operando
(o el l ugar donde Ia i nstrucci n rel ati va de
bi furcaci n trai fi ere el control ) est eni re I27
y
-
128 bytes separado de.l a
di recci n de l a si gui ente i nstrucci n. Los l mi tes de l a pgi na no ti enen ni n-
gonu
"o.rr"".r".r.iu
en el modo relativo
porque todos los 16 bits del contador
del
programa se usan en el cl cul o.
http://libreria-universitaria.blogspot.com
g2
DI SEO DEI - SI STEMA DEL MI CROCOMPUTADOR
c AP. 12
La parte de l a di recci n de una i nstrucci n
sq.usa por l a uni dad de
control en el cPU para obtener el operando a parti r
e l a memori a. Al gunas
veces esta di recci n es l a del operando, pero
otras es una di recci n
"de
l a
cual se cal cul a l a del operando. Los computadores
usan otros modos de di -
recci onami ento para
cal cul ar l a di recci n
de un operando.
para
di sti ngui r
entre l as di f' erentes di recci ones que i ntervi ".r"n
".r
el cl cul o, se debe i s-
ti ngui r entre l as di recci ones dadas en l a i nstrucci n y l a di recci n actual
usada por el control cuando se ej ecuta l a i nstrucci n. La di recci n del ope_
rando o l a di ecci n donde se bi furque el control en respuesta a un sal to,
una bi furcaci n o una i nstrucci n
d l l amado, se denomi n a di recci n efec_
f' o. En l a i nstrucci n
de modo di recto, l a di recci n efecti va es i gual l a
parte
de di recci n de l a i nstrucci n.
En el modo rel ati vo l a di recc"i n efec-
ti va se ca.l cul a a parti r
del val or en el
pc
ms l a parte
de l a di recci n de l a
i nst rucci n.
El cl cul o de l a di recci n efecti va para l os l ti mos cuatro modos de di -
recci onami ento
di scuti dos anteri ormente
se l i stan en l a Tabl a 12-3. En l a
tab.l a se da l a l i sta de otros ci nco modos de di recci onami ento
encontrados
comnmente en los microprocesadores (y
en computadores de gran
tama-
o). El smbol o AD16 denota una di recci n de 2 Lytes y ADg enota una
di recc_i n de 1byte. PC es el contador del programi v
xR es un regi stro n-
dice. Xx es un registro del cPU usado
"n
'''n"ho. computadores paia alma-
cenar di recci ones. La di recci n al macenada en Xft pede ser referenci ada
con una i nstrucci n
de modo i ndi cado.
Una i nstuci .r .e col oca i ni ci al -
mente en el Xrt por medi o de una i nstrucci n
del ti po trasferenci a. El cl cu-
l o de l a di recci n efecti va en cada modo se especi fi ca en l a tabl a con una
expresi n de cmputo del regi stro. La di recci n efecti va de cmputo se usa
para
accesar l a memori a a fi n de l eer un operando o converti rse n l a di rec-
ci n de bi furcaci n en una i nstrucci n
dei ti po de control . Los otros modos
de di recci onami ento
l i stados en l a tabl a se expl i can a conti nuaci n.
Tabl a 12-3 Cl cul o de l a di recci n efecti va para vari os modos de di ecci onami ento
Modo de
di recci onami ent o
Di recci n
efectiva
Coment ari os
Di recto
Pgina cero
Pgina presente
Relativo
Indexado
Registro base
Indirecto
Indirecto-indexado
Indexado-indirecto
AD 16
AD8
PC(H) + AD\
PC + AD8
XR + ADI 6
XR + AD8
M\ AD16l
MIXR + ADS\
MADS) + XR
Parte de l a di recci n de 16 bi ts de l a i nstrucci n
Parte de l a di recci n de 8 bi ts de l a i nstrucci n
Los 8 bits de mayor orden del PC encadenados
enADS
Conteni do del PC ms AD8 con si gno
Contenido del Xr? ms AD 16
Contenido de Xr? ms AD 8
Di recci n al macenada en el l ugar dado por AD 16
Di recci n al macenada
en el l ugar (XR
+
ADg)
Di recci n al macenada
en el l ugarADg ms el
contenido de X/i
http://libreria-universitaria.blogspot.com
s Ec . 12- 5
PI LA. SUBRUTI NAS E I NTERRUPCI ON
gs
Di recci onami ento i ndexado: Las i nstrucci ones en este modo con-
tienen 3 bytes con los ltimos dos conformando una direccin de 16 bits.
La parte d-e Ia direccin de la instruccin se agrega al valor presente alma-
""t
do en el registro ndice
para obtener la direccin efectiva. El registro
ndi ce se i ncrementa a menudo o se decrementa
para faci l i tar l a ej ecuci n
de los bucles del programa y tener acceso a tablas de datos almacenados
en l a memori a.
Di recci onami ento de regi stro base: Este es si mi l ar al modo de di -
recci onami ento i ndexado, excepto
que l a parte de di recci n de l a i nstruc-
cin consiste de un nmero de bits que es menor
que el nmero de bits
requeri dos,
para una di recci n compl eta. La di recci n efecti va se cal cul a
agregando ei contenido de un registro ndice a la direccin
parcial en Ia
i strucci n. El regi stro usado en el modo se l l ama a menudo regi stro base
en vez de regi strJndi ce. El regi stro base reti ene una di recci n base y l a
di recci n truncada en l a i nstrucci n especi fi ca un despl azami ento con res-
pecto a l a di recci n base.
Di recci onami ento
i ndi recto: En este modo l a parte de l a di recci n
de l a i nstrucci n especi fi ca l a di recci n donde se al macena l a di recci n
efecti va. El control ee l a parte de l a di recci n de l a i nstrucci n
y l a usa
para di recci onar l a memori con el fi n de l eer l a di recci n efecti va. La me-
moria debe ser accesada de nuevo
para leer el operando si la instruccin es
>\ \\n sp:*.,ss . R s \r is=t.rsqoii:o &c tis ca<ttcl --ta- {rlqeoin efeotirr a eq
fu iti".i"
de bifurcacin
la cual es trasferida
al PC '
Di recci onami ento
i ndi recto
i ndexado:
Este es un modo de di recci o-
namiento
indirectol e;;"pt"
que la parte de direccin de la instruccin
se
;;; ;i .o"t""iJ
"i i"gittlt" ndice
para dterminar
la direccin donde
sJ ai macena
l a di recci n efecti va en l a memori a'
-- --
i"-procesadores
especficos
emplean varios modos de direcciona-
miento,
pero muy ,ur"-urrt. una unidd tiene todos los modos de direccio-
namiento enumerados
aqu. Para poder escribir
programas para un micro-
computador
es necesari
"ono""t-
el tipo de instrucciones disponibles
y
ertur total*ente
familiarizado con los. modos de direccionamiento
usados
en el microprocesador.
12. 5 PI LA, SUBRUTI NAS E I NTERRUPCI ON
Una caracterstica til incluida en la mayora de los computadores
es una
pi fu " memori a l l amada tambi n l i sta de l ti mo en entrar
pri mero en sal i r
i i .i fOl .
Una
pi l a es un di sposi ti vo de al macenami ento
que acumul a i nfor-
maci n de tal manera
que ei tem al macenado de l ti mo sea el pri mer tem
recuperado. La operacin de la pila se compara a menudo con una
pila de
bandej as. La l ti ma bandej a en l a pi l a es l a pri mera que se qui ta.
una
pi l a es muy ti l para una seri e de apl i caci ones
y su organi zaci n
conl l eva caractersti cas
especi al es
que faci l i tan muchas tareas de
proce-
sami ento de datos. Por ej mpl o, una
pi l a se usa en al gunas cal cul adoras
http://libreria-universitaria.blogspot.com
i l 4 DI SEO DEL SI STEMA DEL MI CROCOMPUTADOR
Inserta:
.tP
.tp
+ I
I t l SPl
+
t rggg
Sacar: DBUS
*
MSP\
Sp- Sp-
I
c AP. 12
el ectrni cas y
computadores para faci l i tar
l a eval uaci n
de l as expresi ones
ari tmti cas.
su uso.en
el mi roprocesador
est i ri ;i i l ;i -.u
,o"yora para
el manej o de subruti nas
e i nterrupci ones.
nn.rtu,.?.i ;.,
expl i ca l a ope_
aci n de una pi l a y
se
-restri nge
i a di scusi n
" "qr"i ,
bri caci ones
encon_
tradas en mi croprocesadores.
Pi l a de memor i a
una pi l a
de memori a
es esenci al mente
una parte
de l a uni dad de memori a
accesada por
una d.i recci n que
si empre
se i ncrementa
o decrementa
des-
pus
del acceso de l a memoti "
pJ t"gi .tro que
al macena
l a di recci ; pa;
l a pi l a
se l tama i ndi cador
de..!a pi ta (,?)
dei ao; q;;;;";tor
i ndi ca
si em-
pre
al tem superi or de l a pi l a.
Las os operaci on*
d"-1"' l ".on
Ia i nser_
cin y
desecho de los tems. La operacin
de inserci"-.i
ltu,o ;;;;;;,
fryl
v
se pu.ede.pensar
como el rsulrado
d; r;J;;,rn"i,rruo
rem sobre
l a parte
superi or de i a ni l a. r.a operaci n
de deseci o se l l aa sacor (pop) y
puede pensarse.u--1:l
resurtad
de qui tar
o sacar
""
i l .- . -u".i "u
i !
ni l a
sal ga. si n embargo nada.se empuj a
o ." ru"" ar
""u' pi ru
de memori a.
Estas operaci ones
se si muran i ncrementando
o uar"*"rrndo
er regi stro
del i ndi cador
de l a pi l a.
se debe tene en cuenta que
una pi l a
debe ser col ocada
dento del mi -
croprocesador
si n necesi dad
de referi rse
a l a memorl u. e, l caso se cons-
tl yve.l a pi l a
con regi srros y
se re l l ama pi ra
de ,i i i ti ol .
ni -tamao
de una
pi l a
de regi stros
se l i mi ta por
el nmero de ,"si .t-, q"l i te conti ene.
una
pi l a
de memori a pue.d:
ctecet y
o"rput too ei ..p".i
J"-i ru-o.i "
si es ne-
cesari o.
se expl i car
l a organi zacn de l a pi l a
."*i """ que
sta resi de
en la memoria. La misma rganizacin
se aplica a la pila
de registros,
ex-
cepto que
las operaciones
de invencin y erech
..
-.-*utu"
dentro del
mi croprocesado
si n hacer referenci a
a l a memori a.
La F' i gura 12-8 muestra una porci n
de una uni dad de memori a
organi _
zada como.una pi l a.
El regi stro i ndi cado
a" fu pi ful spl -ui _"""rr"
un n_
rner. bi nari o cuyo val or es i gual a l a di recci n
"r i i "i " q"e*Lsta
al presente
en l a parte
superi or
de l a pi ra.
Tres tems son armacerrdo.
ar presente
en
r-
Direccin
Y
m* 4
m* 3
m* 2
m* l
m
Memori a
Indicador
do
pi l a (SP)
Figura
l2-8 Operaciones
de la pila
de memoria
http://libreria-universitaria.blogspot.com
sEc. 12- 5 PI LA" SUBRUTI NAS E I NTERRUPCI ON
i l S
l a pi l a: -A, B y
C en di recci ones consecut i vas m, rn+l y m+2 respect i va-
mente. El tem C en l a di recci n rn
+2
est en l a parte
superi or de l pi l a
de
manera que
SP contenga ahora m
+
2. Para qui tar
el tem superi or se saca
parte de la pila leyendo el item de la direcci6n m
*
2 y
decrementando sp.
El tem B pasar
ahora a l a ci ma de l a pi l a debi do a que el sp conti ene l a
di recci n m
+
l . Para i nsertar un nuevo tem se empuj a l a pi l a aumentando
el sP y
escri bi endo un nuevo tem en l a parte superi or d l a pi l a. Ntese
que
el tem c ha sido ledo pero
no ha sido fisicamente removido. Esto
no importa en lo que respecta a la operacin de la pila porque
cuando se em-
puj a l a pi l a se escri be un nuevo tem en l a ci ma de l pi i a i ndependi ente-
mente de l o que estaba anteri ormente.
La posi ci n
del i ndi cador de pi l a en un mi croprocesador puede encon-
trarse en el di agrama de bl oque de l a Fi gura l 2-5. El sP puede especi fi car
una di recci n para Ia memori a por medi o del bus de di recci onami ento-. ABUS.
Los datos trasferidos a la pila
de memoria y al microprocesador pasan a
travs del bus de datos DBUS. Para escribir proposiciones
de traslerencia
entre registros significativos para las operaciones de la pila, se asume que
los datos se trasfieren de y al registro A.
La operaci n de i nsertar A se defi ne por l as proposi ci ones:
^lP +-- SP * I
MlsPl +- A
el sP se i ncrementa para que se i ndi que al si gui ente l ugar vaco de l a pi l a.
El conteni do del regi stro A se col tca en DBUS, el conteni do de sp se
"ol oca
en ABLl s y se i ni ci a l a operaci n de wR
(escri tura).
Esto i nserta el conte-
ni do de A en l a cumbre de l a pi l a y el SP i ndi ca ese l ugar.
La operacin de sacor de A se define por medio de las proposiciones:
A <- MlsP)
.SP<_ SP
_
I
El conteni do del SP se col oca en ABUS y se i ni ci a una operaci n de 8D
(l ec-
tura). La memori a l ee l a pal abra es una di recci n dada y l a col oca en DBUS.
El microprocesador acepta la palabra del DBUS y la trasfiere al registro A.
El SP se decrementa para que i ndi que el byte de una di recci n i nferi or, el
cual estar en l a ci ma de l a pi l a.
Las dos operaci ones de i nsertar y sacar de l a pi l a son
(1)
un acceso a
l a memori a por medi o del SP y (2) l a actual i zaci n del SP. Dependi endo de
la organizacin de la pila
se determina cul de las dos operaciones se hace
pri mero y si el SP se actual i za por
medi o del i ncremento o del decremento.
En l a Fi gura 12-8 l a pi l a crece pr aum.ento de l a di recci n de memori a. La
pi l a puede hacerse crecer di smi nuyendo l as di recci ones de memori a como
se muestra en l a Fi gura 12-9. En tal caso el SP se decrementa para l a opera-
ci n de i nsertar datos a l a pi l a y se i ncrementa para sacar datos. Una pi l a
puede ser organizada de manera que el SP indique el siguiente lugar uaco
por enci ma de l a pi l a. En este caso l a secuenci a de operaci ones de actual i -
zacin del SP
y acceso de memoria deben ser intercambiadas. Esta ltima
configuracin fue demostrada en la Figura 10-20 para la pila de registros de-
fi ni da en l a Fi gura 10-19.
http://libreria-universitaria.blogspot.com
546 DI SEo DEL SI STEMA DEL MI CROCOMPUTADOR
cAP. 12
El i ndi cador de Ia pi l a se carga con un val or i ni ci al por medi o de una
i nstrucci n det ti po trasferenci a. Este val or i ni ci al debe ser l a di recci n de
l a base de una
pi l a asi grrada en l a memori a. De aqu en adel ante, el SP se
i ncrementa o decrementa automti camente en cada operaci n de i nsertar
o sacar datos de Ia pi l a. La ventaj a de una
pi l a de memori a es que el proce-
sador puede referi rse a el l a si n tener que especi fi car una di recci n
ya que
l a di recci n est si empre di sponi bl e
y actual i zada automti camente en el
i ndi cador de l a pi l a. As, un procesador puede hacer referenci a a una
pi l a
de memori a si n especi fi car una di recci n. Por esta razn, l as i nstrucci ones
que i ncl uyen operaci ones de pi l a se l l aman de di recci n cero o i nstrucci ones
i mpl ci tas.
Subr ut i nas
Una subruti na es una secuenci a
que conti ene en s i nstrucci ones
para ej e-
cutaq una tarea dada. Durante la ejecucin normal del programa, puede ser
llam'6{a la subrut.ina para ejecutar su funcin muchas veces en varios pun-
tos del programa pri nci pal . Cada vez que se l l ame una subruti na, se ej ecuta
una bi furcaci n o sal to al comi enzo de l a subruti na
para comenzar a ej ecu-
tar un conj unto de i nstrucci ones. Una vez se haya ej ecutadu l a subruti na
se hace una bi furcaci n o sal to de regreso al programa pri nci pal . Debi do a
que la bifurcacin de una subrutina y el regreso al programa principal es
una operacin comn, todos los procesadores contienen instrucciones eS-
peci al es para faci l i tar l a entrada a l a subruti na
y el regreso.
La i nstrucci n
que trasfi ere el control a l a subruti na es conoci da con
di ferentes nombres. Los nombres ms comunes usados son subrui na de
ttrOmado, subruti na de sal to y subrutna de bi furcaci n. Una i nstrucci n de
subruti na de l l amado consi ste de un cdi go de operaci n conj untamente
con l a di recci n
que especi fi ca el comi enzo de l a subruti na. La i nstrucci n
se ej ecuta medi ante el l ogro de dos tareas:
(1) El control se trasfi ere al
comi enzo de l a subruti na.
(2)
La di recci n de l a si gui ente i nstrucci n en el
programa de l l amado se al macena en un l ugar temporal de manera
que l a
subruti na conozca a dnde regresar. La l ti ma i nstrucci n de cada subru-
tina, comnmente llarnada regreso de la subrutina tras{tere el control a Ia
i nstrucci n en el programa de l l amado cuya di recci n fue al macenada ori -
gi nal mente en un l ugar temporal .
Los mi croprocesadores usan Ia pi l a para al macenar l a di recci n de re-
greso cuando se mani pul an l as subruti nas. Esto se l ogra i nsertando l a di -
recci n de regreso a l a pi l a cada vez que se l l ama una subruti na. La i nstruc-
ci n de regreso de l a subruti na se l ogra al sacar de l a pi l a l a di recci n de
regreso que se leer y se trasferir al control del programa en esta direc-
ci n.
La Fi gura 12-9 demuestra, por ej empl o, el proceso de l as l l amadas de
subruti na y regreso en un mi croprocesador de 8 bi ts. Se muestran tres par-
tes separadas de la memoria: el programa principal, un programa de subru-
ti na y una pi l a de memori a. El computador ej ecuta ahora el programa pri n-
ci pal con el PC i ndi cando l a i nstrucci n en el l ugar 3500. El programa de
subruti na comi enza en el l ugar 2673 y l a parte superi or de l a pi l a se especi -
http://libreria-universitaria.blogspot.com
--q
sEc. 12- 5 PI LA, SUBRUTI NAS E I NTERRUPCI ON
g7
fi ca por el SP en l a di recci n 7803. Esto se muestra en l a Fi gura 12-9(a)
con todas l as di recci ones conformadas con val ores hexadeci mal es. La i ns-
trucci n de l l amado de subruti na, ti ene asoci ada con el l a, una di recci n
de dos bytes
y cada byte ocupa un l ugar de memori a. La l ti ma i nstrucci n
de l a subruti na en el l ugar 2686 ti ene un cdi go de operaci n de l a i nstruc-
ci n de regreso de l a subruti na. La ci ma de l a pi l a conti ene ahora un byte
(desi gnado por el hexadeci mal 46), pero esto no es tan i mportante para l a
di scusi n presente.
La ej ecuci n de l a i nstrucci n de l a subruti na de l l amado en el progra-
ma pri nci pal se l l eva a cabo de l a si gui ente manera:
(1) La di recci n aso-
ci ada con l a i nstrucci n
(2673)
se trasfi ere al PC.
(2)
La di recci n de regre-
so al programa pri ncrpal (3503) se i nserta a l a pi l a. El resul tado de estas
dos operaci ones se muestran en l a Fi gura 12-9(b). El PC i ndi ca el l ugar
2673, el cual es Ia di recdi n de l a pri mera i nstrucci n en l a subruti na. La
di recci n de regreso 3503 se i nserta a l a pi l a y ocupa dos bytes de memori a.
El computador conti na ahora l a ej ecuci n de l as i nstrucci ones en el pro-
grama de subruti na
ya que el PC i ndi ca l a pri mera i nstrucci n de l a sub-
ruti na.
Cuando l a l ti ma i nstrucci n de l a subruti na es al canzada en l a di rec-
ci n 2686, el computador ej ecuta una i nstrucci n de subruti na de regreso
sacando l os dos bytes superi ores de l a pi l a y col ocndol os en el PC. La si -
tuaci n se i l ustra ahora en l a Fi gura 12-9(c). El PC ti ene ahora l a di recci n
3503
y conti na l a ej ecuci n del programa pri nci pal y el SP regresa a una
posi ci n i ni ci al .
El mi croprocesador mostrado en l a Fi gura l 2-5 ej ecuta l a i nstrucci n
de l l amado de subruti na pasando por ci nco ci cl os de memori a y sei s opera-
ci ones i nternas:
IR <- MIPCf
,
PC <- PC + | l eer cdi go de operaci n
AR(H) <- Ml PCl , PC <- PC + | l eer el pri mer byte de l a di recci n
AR(L)<- Ml PCl , PC <- PC + | l eer el segundo byte de Ia di recci n
sP<-sP
-
l, M[sP]<-PC(H)
sP<-sP
-
l, M
[sP]<-
PC(L)
PC +- AR
IR <- MlPCl, PC <- PC + |
PC(L) +- MlSPl, SP <- SP + I
PC(H) <- MISPI, SP <- SP * r
i nsertar el pri mer byte de Ia di recci n
de regreso
i nsertar el segundo byte de l a di recci n
de regreso
bi furcar a l a di recci n de l a subruti na
l eer el cdi go de operacrn
sacar el segundo byte de l a di recci n
sacar el pri mer byte de l a di recci n
La i nstrucci n de regreso de l a subruti na se ej ecuta con tres ci cl os de me-
mori a y l a actual i zaci n del PC y el SP:
http://libreria-universitaria.blogspot.com
O N o
a o
r r r r
v o
A E
fl a
Q a
a c !
L
X ;
q
oE
r . 9
c d t s
:
' 9 t
E a
' a
c

:
; b3
d tl b
o o
O L
o
N o
l 6
D

9
o
r
al
I
v)

c l
r
a
O
a
r
.J2
L
a
l,
C ct -/
o 6 h r,
m m -,
h
q vt 6
o o 6 m

r
I
o

a l
I
U)
I
L
g8
http://libreria-universitaria.blogspot.com----r
s Ec . 12- 5
PI LA, SUBRUTI NAS
E I NTERRUPCI ON gg
La ventaj a de usar
.una
pi l a para-al macenar
l a di recci n de regreso
es
que
al l l amar l a subruti na,
l a di recci n
de regreso ." i ".urtu haci a l a pi l a
automti camente
y
el programador
no ti ene qe
trat. reco.dar
l a di ec-
ci n donde se al macena
Ia di recci n
de regreso.
si se l l ama otra subruti na
por
medi o de una subruti na
corri ente, se i nserta l a nueva di recci n
de e_
greso,a
l a pi l a y
as sucesi vamente.
La i nstrucci n
de."gt".o
de l a subru-
ti na hace sacar automti camente
de l a pi l a p;r;
"bt";;
ra di ecci n
de
regreso del ltimo programa
que
ra ilam. fui, la .;r;;i"" que
existe es
si empre l a l ti ma subruti na que
fue l l amada.
I nt e rru pci n
El concepto de i nterrupci n
de programa
se usa para
mani pul ar
una vari e-
dad de probl emas
que
surgen a-rai de l a secueri .i "
J"i p."grama
normal .
La i nterrupci n
del programa
se refi ee a l a trasferenci a
de control de un
programa que
est trabaj ando
corri entemente
a otro programa
de servi ci o
como resul tado de una seal de control generada
externaente.
una de ras
entradas de control en er_mi crop.o""ruJo,
de l a Fi gura-i i -
," denomi na
i nterrupci n (i nterrupt).
cada mduro de i nter"o.r"*r.r
u.
"up""
de i nte-
rrumpir la operacin normal
de los microprocesadores
.u^i.ri.tru.rdo
una
seal en su termi nal
de entrada de control . La i nterrup"i o' pu"a.
ser una
requi si ci n
de servi ci o
o un reconoci mi ento
del servi ci real i zado
anteri or-
mente por
l a i nterconexi n.
-consi drese
por
ej empl o, el caso del - m_i crocomputador
que
est proce-
sando un gran
vol umen de datos, parte
de l os cual s sern envi ados
a una
i mpresora.
El mi croprocesador p*r-udu
envi ar un byte "-uto, dentro de
varios intervalos
de pulso.
de reloi, pero ello le podia
to-". al impresor
el
equi val ente
de muchos pj ]so1de
i er del proced;;,;;;;-pri mi r
actual _
mente el caracter especificado por
"i
bytl de datos.
-nt
p.o""r"dor
podra
entonces permanecer
.l atentg
en espera de qg9
el i mpresor pueda
u"eptu,
el si gui ente byte de datos.
si hay .,u
""pui dad
de i nterrupci n
di sponi _
bl e,.el mi croprocesador puede
"tr.ri u, ""
yte Je J"t"r"l l ""go
conti nuar
real i zando
otras tareas de procesami ento
de datos. cuando"el
t;;;;
est di spuesto a acepf' ar
el si gui ente
byte e aato. J" p""au
hacer una
peticir
de
-interrupcin
por
medio de ia entra" Jui .oniror de interiuy
ci n. cuando el mi croprocesador
reconozca
l a i nterrupci J",
rt" suspende
el programa
que
est trabaj ando-al .presente
y
se bi furca o sal ta a un pro_
grama
de. servi ci o que
ervi ar el si gui ente
yte ae datos. una vez q";
."
haya envi ado el byte
,al
i mpresor,
l p.o"".do.
."g.".u ul p.ogruma que
fue i nterrumpi do
mi entras que
se est i mpri mi endo
e"r caracter.
El procedi mi ento
de i nterrupci n
es en pri nci pi o
muy si mi l ar
a un l l a_
mado de subruti na,
excepto qu
l " bi furcai n
"r
i ni rJu por
una seal
externa en vez de una i nstrucci n
en el progr"*".
Cor"o l n ta subruti na
de l l amado,
una i nterrupci '
al macena
l ai ."."".i "
au' r"ug."uo
en l a pi l a.
una i nstrucci n
de Il amado
de subruti na
conti ene l a di recci n
de bi furca_
ci n de l a subuti nu.
gr el ,procedi mi ento
de i "t"r..rp"i oi rl "tu
ai r"""i n
de
bi furcaci n para
l a ruti na
d servi ci o
l bu ,u. r;;--i ;;;;a
p.r,
l os mate-
ri al es (ci rcui tos).
La forma
como un ni .ropro"-".";;;."
l a di recci n
I
I

,
I

t
,
http://libreria-universitaria.blogspot.com
-
55o DI SEo DEL SI STEMA DEL MI CROCOMPUTAOOR CAP. 12
de bi furcaci n en respuesta a una peti ci n de i nterrupci n vara de una
uni dad a otra. En pri nci pi o hay dos mtodos de l ograr esto. El uno es l l ama-
do i nterrupci n uectoral y el otro i nterrupci n no uectori al . En una i nte-
rrupci n no vectori al , l a di recci n de bi furcaci n es un l ugar fi j o en l a me-
mori a o se al macena en un l ugar fi j o en l a memori a. El ci cl o de i nterrupci n
al macena l a di recci n de regreso del PC a l a pi l a y l uego prepara al PC al a
di recci n de bi furcaci n predetermi nada. En una i nterrupci n vectori al ,
Ia fuente de i nterrupci n en s mi sma sumi ni stra l a i nformaci n de bi fur-
caci n al mi croprocesador. Esta i nformaci n, trasferi da por medi o de l a
barra de datos se denomi nar uector de i nterrupci n- El ci cl o de i nterrup-
ci n al macena pri mero l a di recci n de regreso, conteni da en el PC, dentro
de l a pi l a. Si el vector de i nterrupci n es una di recci n, el mi croprocesador
l o acepta de l a barra de datos y l o trasfi ere ai PC. En al gunos mi croproce-
sadores se asume que el vector de i nterrupci n es una i nstrucci n de l l a-
mado de subruti na. El mi croprocesador acepta Ia i nstrucci n proveni ente
del bus de datos y l a col oca en el regi stro de i nstrucci n para proceder a
ej ecutarl a.
El regreso de l a ruti na de servi ci o al programa i nterrumpi do ori gi nal
es si mi l ar a un regreso de subruti na. La pi l a se hace sacar l a di recci n de
regreso al macenada previ amente al l para trasferi rl a al PC.
Un mi croprocesador puede tener l neas de entrada de i nterrupci n sen-
ci l l as o ml ti pl es. Si hay ms fuentes de i nterrupci n que termi nal es de
entrada de i nterrupci n en el mi croprocesador se procede a conectar a una
compuerta OR dos o ms fuentes para formar una l nea comn para el mi -
croprocesador. Una seal de i nterrupci n al mi croprocesador puede ori gi -
narse en cual qui er momento durante l a ej ecuci n del programa. Para asegu-
rarse que no hay perdi da de i nformaci n, el mi croprocesador reconoce l a
i nterrupci n sol amente despus de que l a ej ecuci n de l a i nstrucci n co-
rriente se haya completado
y si el estado del procesador la garantiza. La
Fi gura 12-10 muestra una confi guraci n de i nterrupci n vectori al posi bi e.
El di agrama muestra cuatro fuentes conectadas a una OR
para conformar
una entrada si mpl e de peti ci n de i nterrupci n. El mi croprocesador ti ene
dentro de s un fl i p-fl op de habi l i taci n de i nterrupci n
(IEN) que puede
ser puesto a uno o cero con i nstrucci ones del programa. Cuando IEl / se po-
ne a cero o se borra se desecha l a peti ci n de i nterrupci n. Si IEN se pone
a uno y el mi croprocesador est al fi nal de l a ej ecuci n de una i nstrucci n,
el mi croprocesador reconoce l a i nterrupci n habi l i tando IN?ACK. La f' uen-
te de i nterrupci n responde a INTACK col ocando un vector de i nterrup-
ci n en DBUS. El fl i p-fl op IEN control ado por programa permi te al progra-
mador deci di r si puede usar l a faci l i dad de i nterrupci n o no. Si hay una
i nstrucci n para borrar el fl i p-fl op /EN dentro del programa, si gni fi ca que
el programador no qui ere que el programa se i nterrumpa.
(IEl / se borra con
l a seal de puesta a cero). Una i nstrucci n para poner a uno l EN i ndi ca que
l a faci l i dad de i nterrupci n ser usada mi entras que el programa est en
marcha. Al gunos mi croprocesadores usan un bi t de i nterrupci n enmasca-
rado en el registro de condicin en vez de un flip-flop .IEly' separado.
Asmase que el vector de i nterrupci n sumi ni strado al bus de datos
es una di recci n de 8 bi ts. El mi croprocesador responde a una requi si ci n
de i nterrupci n haci endo l as si gui entes operaci ones:
http://libreria-universitaria.blogspot.com
Fuente de interrupcin
Vector de
i nt errupci n
Mi croprocesador
Fi nal de
i nst ucci n
de ej ecuci n
errupcl o
Habi l i t aci n
de i nterrupci n
Reconoci mi ento
de i nterrupci n
I . \ TACK
(I \ TACA' )
Fi gura l 2-1O Confi guraci n de l a i nterrupci n vectori al
sP<-- sP + l , MIP]<-
pc(H)
empuj ar el pri mer
byte de l a di recci n
de regreso
.sP <- sP + l, M[.sP] <- PC(L) empujar el seg'ndo byte de la direccin
de regreso
INTACK <- 1
habi l i tar el reconoci mi ento
de i nterrupci n
PC(H)<-0, PC(L)<- DBUS
trasferi r l a di recci n vector al
pC
IEN <_O
i nhabi l i tar i nterrupci ones posteri ores
De esta manera l a fuente de i nterrupci n puede
especi fi car cual qui er di rec-
ci n vector entre 0 y
255 para servi r como di recci n de bi furcaci n a una
ruti na de servi ci o. IEN se borra para i nhabi l i tar i nterrupci ones posteri o-
res. EI programador puede poner a uno lEN en el programa
de onde es
adecuado habi l i tar i nterrupci ones posteri ores.
El regreso de una i nterrupci n es si mi l ar al regreso de un subruti na.
se sacan val ores de l a pi l a y l a di recci n de regreso se trasfi ere al
pc.
I nt er r u pci n pr i or i t ar i a
En l a anteri or di scusi n, se ha tratado un mtodo para generar
una di rec-
ci n vector de una ruti na de servi ci o para i nterrup;i n.
si t
"y
sol amente
una fuente capaz de sol i ci tar servi ci o se conoce l a fuente de l a i nterrupci n
y el programa
de sevi ci o puede
empezar i nmedi atamente
l a ruti na de ser-
vi ci o. A menudo, se l es permi te
a muchos di sposi ti vos ori gi nar peti ci ones
551
http://libreria-universitaria.blogspot.com
552 DI SEo DEL SI STEMA DEL MI CROCOMPUTADOR
cAP. 12
de i nterrupci n
y l a pri mera tarea de una ruti na de i nterrupci n es i den-
ti fi car l a fuente de l a i nterrupci n. Hay tambi n l a posi bi l i dad de que va-
ri as fuentes sol i ci ten el servi ci o de peti ci n si mul tneamente. En este caso,
el programa de servi ci o debe deci di r cul fuente va a servi r pri mero.
El mtodo ms comn de mani pul ar ml ti pl es i nterrupci ones es comen-
zar l a ruti na de servi ci o haci endo un sondeo de l as i nterconexi ones a fi n de
i denti fi car aquel l a que ha generado l a requi si ci n. La ruti na de servi ci o
prueba cada fuente en secuenci a para buscar si Ia seal de i nterrupci n
est acti vada. Una vez se haya i denti fi cado una i nterrupci n se descartan
l as dems i nterrupci ones hasta que se haya compl etado una ruti na de ser-
vi ci o para una fuente parti cul ar.
l Jna i nterrupcn
pri ori tari a es un si stema de i nterrupci n
que esta-
bl ece una pri ori dad sobre vari as fuentes para determi nar cul condi ci n
se va a setui r
pri mero, cuando l l egan dos o ms requi si ci ones si mul tnea-
mente. El establ ecer l a pri ori dad de l as i nterrupci ones si mul tneas se
puede l ograr medi ante l a programaci n o por conformaci n de l os materi a-
i es. Por el mtodo de l a programaci n hay sol amente una di recci n vector
para todas l as i nterrupci ones. El programa de servi ci o comi enza en l a di -
recci n vector
y sondea l as fuentes de i nterrupci n en secuenci a. El orden
en el cual se prueban l as fuentes determi na Ia pri ori dad de cada peti ci n
de i nterrupci n. La fuente de mayor pri ori dad se prueba pri mero y si su
seal de i terrupci n est acti vada el control se bi furca a otra ruti na de
servi ci o
para esta fuente. De l o contrari o, se prueba l a si gui ente fuente en
pri ori dad y as sucesi vamente. As, l a ruti na de servi ci o i ni ci al para todas
l as i nterrupci ones consi ste de un programa que prueba l as fuentes de i n-
terrupci n en secuenci a
y que se bi furca a una de l as muchas ruti nas de
servi i o. La ruti na de servi ci o
parti cul ar al canzada
pertenece a l a fuente
de mayor
prioridad dentro de todas las fuentes
que pueden interrumpir el
procesador.
Las tcni cas de programaci n pueden, en teora, mani pul ar cual qui er
nmero de fuentes de i nterrupci n o cual qui er ni vel de pri ori dad sofi sti ca-
da. En l a prcti ca, si hay muchas fuentes de requi si ci n de i nterrupci n,
el ti empo rl queri do
para sondearl as
puede exceder al ti empo di sponi bl e
pa-
ta setui . el dl sposi ti vol /O, con el fi n de buscar l a i nterrupci n apropi ada.
En esta si tuaci n, una uni dad externa de i nterrupci n
pri ori tari a confor-
mada con materi al es
puede usarse
para al i gerar el proceso.
Una uni dad de i nterrupci n
pri ori tari a conformada con materi al es fun-
ci ona como una encargada de todo en un conj unto con si stema de i nterrup-
ci n. Esta acepta peti ci ones de i nterrupci n de muchas fuentes, determi na
cul de l as requi si ci ones entrantes es l a de mayor
pri ori dad y enva una
i nterrupci n al procesador basada en esta determi naci n. Para mej orar l a
vel oci dad de l a operaci n, cada fuente de i nterrupci n ti ene una di recci n
vector propi a para accesar di rectamente a su propi a ruti na de servi ci o' De
esta manera, no se necesita sondeo debido a que todas las decisiones se
establ ecen en l a uni dad de i nterrupci n pri ori tari a conformada con mate-
ri al es.
El ci rcui to
que confi gura l a funci n de
pri ori dad conformada con mate-
ri al es es un codfcador de pri ori dad. La l gi ca de este codi fi cador es tal
que si l l egan dos o ms ni vel es de entrada al mi smo ti empo, entonces Ia
http://libreria-universitaria.blogspot.com
sEc. 12- 5 pt LA,
SUBRUT| NAS E | NTERRUPCI ON
55:
entrada que tenga l a mayor pri ori dad
ser l a pri mera.
La sal i da de un co-
di fi cador de pri ori dad genera
una di recci n parci al para que el vector de
i nterrupci n sumi ni stre l a di recci n de bi furcaci n. La tabl a de verdad de
un codi fi cador de pri ori dad de cuatro entradas se da en l a Tabl a l 2-4. Las
{
"n.
l a tabl a desi gnan l as condi ci ones de no i mporta. La entrada .Ie ti ene
l a pri ori dad
mayor; de manera que
dependi endo der val or de l as oti as en-
tradas, cuando esta entrada es 1 l a sal i da genera l a di recci rr ry:00. rr
ti ene el si gui ente ni vel de pri ori dad. La sal i da es 01 si It
:
I y sl se ti ene
1o
:0,
i ndependi entemente
de l os val ores de l as otras dos entradas de me-
nor pri ori dad.
La di recci n parci al para 12 se genera sol amente si l as en-
tradas de mayor pri ori dad
son 0 y as sucesi vamente de manera decreci en-
te en l a gama
de pri ori dades.
Los ni vel es de pri ori dad
di cen si l as entradas
de menor ni vel generan
sus propi as
di recci ones parci al es
sol amente si to-
das l as entradas de mayor orden no estn sol i ci tando servi ci o. Una peti ci n
de i nterrupci n R es generada por el mi croprocesador sol amente cuando una
o ms entradas sol i ci tan una i nterrupci n.
Si todas l as entradas son cero,
l a sal i da B se convi erte en 0 y l a di recci n parci al no ser si gni fi cati va por-
que no ser usada por el mi croprocesador. Comnmente un mi croprocesdo.
no ti ene ms de cuatro fuentes de i nterrupci n. Un codi fi cador d pri ori dad
con ocho entradas, por
ej empl o, generar
una di recci n parci al
de i res bi ts.
-.
L?.di recci n parci al que sal e del codi fi cador se nr p".u
conformar l a
di recci n vector para
cada fuente de i nterrupci n.
po
ej empl o, l a di recci n
vector entregada al bus de datos despus de un econocimiento
de interrup-
ci n puede
ser de l a si gui ente forma:
000xy000
donde r y y son l os bi ts de entrada del codi fi cador de pri ori dad.
Los bi ts
parti cul ares
ry trasferi dos pertenecern
a Ia fuente de i nterrupci n
de ma-
yor pri ori dad.
Medi ante este procedi mi ento
el codi fi cador de pri ori dad
puede.especi fi car
una de cuatro di recci ones de bi furcaci n posi bl es.
Cada
di recci n vector especi fi ca l a di recci n de comi enzo de una ruti na de ser-
vi ci o de 8 bytes en l os 32 bytes i nferi ores de Ia memori a.
Tabla l2-4 Tabla de verdad del codificador de prioridad
Entrada
(Fuente
de
i nterrupci n)
Sal i das
:
I
i
I3 I2 Io I l
(Di recci n
parci al )
x y
(Peti ci n
de
i nterrupci n)
R
PI LA, SUBRUTI NAS E I NTERRUPCTON
I
I
I
I
0
XXX
I XX
0l x
001
000
I
0
0
0
0
00
0t
l 0
l l
XX
http://libreria-universitaria.blogspot.com
t-
12- 6 ORGANI ZACI ON DE LA MEMORI A
Un mi croprocesador debe comuni carse con l as memori as RAM
y ROM para
Ieer
1'
escri bi r i nformaci n bi nari a tal como i nstrucci ones, datos y di recci o-
nes. El tamao de l a memori a adj unta al mi croprocesador depende del
nmero de i nstucci ones y bytes de datos, necesari os para una apl i caci n
parti cul ar. Un mi croprocesador puede tener un bus de di recci ones con 16
l neas para acomodar 64K bytes de memori a. En muchas apl i caci ones, l a
canti dad de memori a necesari a puede ser menor que 64K bytes. Las pas-
ti l l as RAM
y ROM vi enen en una
gran vari edad de tamaos y l as pasti l l as
i ndi vi dual es deben i nterconectarse para formar el tamao deseado de me-
mori a.
Past i l l as RAM y ROM
Una pasti l l a RAM es ms adecuada para comuni carse con el mi croprocesa-
dor si ti ene una o ms entradas de control para sel ecci onar
y habi l i tar l a
uni dad baj o pedi do. Una caractersti ca conveni ente es un bus de datos bi -
di recci onal
para evi tar el agregar separadores del bus externos entre l a
RAM y el bus de datos. El di agrama de bl oque de una pasti l l a RAM adecua-
da para l as apl i caci ones de mi crocomputador se muestra en l a Fi gur{12-11.
La capaci dad de l a memori a es 128 pal abras de 8 bi ts cada una. Esta requi e-
re una di recci n de 7 bi ts y un bus de datos bi di recci onal de 8 bi ts. Las
entradas de l ectura y escri tura especi fi can l a operaci n de memori a
y l os
dos termi nal es de entrada de control para l a sel ecci n de pasti l l as (CS)
Selector 1 de pastilla
Selecto 2 de pastilla
Lectua
Escritua
Di ecci n de 7 bi t s
Bus de datos de 8 bits
CSI CS2 RD WR
Di agrama de bl oque
Funci n de memori a
( a)
0 0xx
I XX
000
001
0l x
I XX
Estado del bus de datos
Alta impedancia
Alta impedancia
Alta impedancia
Introducir datos al RAM
Saca datos de la RAM
Alta impedancia
ft) Tabla de funcin
Fi gura l 2-11 Past i l l a RAM t pi ca
csl
ast
Dn
l l 8 x 8
RAM
WR
AD7
Inhibir
Inhibir
lnhibir
Escibi
Lee
Inhibir
5g
http://libreria-universitaria.blogspot.com
sEc. 12- 6
son para habi l i t ar
l a
past i l i a
: . 911T": t "
cuando
st a es sel eccci onada rcr
e'
mi croprocesador'
La i tp""i i fi aad
de- ms de una entrada
de control
para
sel ecci onar
l a pasti l l a
^f"a' ci i l ;;
l ;"""di fi caci n
de l as l neas
de di recci ones'
cuando
se usan
t"t;;.;;;i rr"t
""
el mi crocomputador'
Las entradas
de
l ectura
y escri tura
.. .oi l ul .,un
al gunas_
veces en una sol a l nea denomi na-
da R/W.
Cuando
.. ' ;i ;;;i ;;;
"pasti l l a'
l os dos estados
bi nari os
de esta
i ;;;' ";;.i fi can
l as dos operaci ones
de l ectura v
escri tura'
La tabra de tunJi "o' n"i ri uu
en Ia Fi gura
r2-1r(b)
especi fi ca
l a opera-
ci n de l a pasti l l a nl i l .-
L^ uni dad
"rt
,n operaci n
sol amente
cuando
cs1: 1y
csz: u. i " ^uu. r r . ol ocada
enci ma
de l a segunda
var i abl e de se-
Iecci n
i ndi ca o,r.
,-tu-
""i .""
est
habi l i tada
cuando
sta es 0. si l as
entradas
de sei eccr"
a" i "-
p"sti l l a no se habi l i tan
o si stas son habi l i -
tadas
pero l as entradas
de l ctura
y escri tura'
l a memori a
se i nhi be
y su
bus de dat os est aru . n un est ado
de al t a i mpedanci a'
Cuando
CS1: 1
y
e-SZ:0
se puede .oi o.u.
l a memori a
en un modo de l ectura
o escri tura'
cuando
wR est h";;l i r"d".-l a
memori a
al macena
un byte del bus de datos
en el l ugar especi trcado
por l as l neas "
""ttuau
de l a di recci n.
cuandp
se habi ri ta
tu.r,,r"j J:d.l
.ont.rri ao
Jettyte
sel ecci onado
se col oca
en el
bus de datos. I_". ..i ui ". a. nb
y wR
"o.rt.tu"
l a operaci n
de l a memori a
de l a mi sma
forma
;;;1".-*p"i adores
.t "t asoci ados
con el bus de da-
t os bi di recci onal .
Una
past i l l a RO\ f se Lrgani za
ext ernament e
de una manera
si mi l ar'
Si n embargo
comc,
""""' nfj
!. pu.a. tl et totatttente,
el bus de datos
puede
sol amente
ser un ;;t' ' Jt
=ui tdu
Et Ji ugtu,Ina.de
bl oqu^e-de
una
pasti l l a
ROM
se muestra
;;; i ;.::;-i l
r:.. Para" el mi smo
tamao
de
pasti l l a es
posi bl e tener mas b;;.;; l i l r
que de RAM
porque l as cel das
bi nari as
ex-
l "-us en Ia RO\l ocupan Eenos
t' put-q"
i " nu'
Por esta razn el
di agrama
especi hca
-t"
nOff
e ;i U byi es'
mi entras
que l a RAM
ti ene
sol amente
128 b' te=
Las nueve l i neas de ci ' recc: on
en I a past i l l a ROM especi f i can
uno cual -
qui era de l os srz ut i e=-". -", t "udo^t
"t '
! l l u'
l gdot
t ermi nal es
de ent rada
de sel ecci n
de
pa*i i l i a i eoe: ' ser CS 1: 1 y eg' : 0 Raa
queopere l a uni -
dad. De l o
"o.,t.".i o.
el bus ci e ci atos estar en un estado de al ta i mpedan-
ci a. No huy ,,".".i J"J;;;
.j .
.o,-,rtot
de l ectura o escri tura
debi do
a
que
l a uni dad
puede Ieer s..,i a..e:' re'
A..r. cuando
se habi l i ta
l a pasti l l a medi an-
t el asdosent r adasdes e' ecc : o: l ' P8r c eenel bus dedat os el by t es el ec-
ci onado
por l as l neas de ci : : ecci on'
Sel ect or
1 de
Pa. st i l l a
Sel ect or 2 de
Past i l i a
Di r ecci n
de 9 bi t s
Fi gur a l 2- 12
Past r l l a RO\ 1 t i Pt ca
de datos de 8 bits
http://libreria-universitaria.blogspot.com
Mapa de di r ecci ones de memor i a
El di seador
de un si stema de mi crocomputador
debe cal cul ar
l a canti dad
cl e memori a
necesari a para una apl i caci n
parti cul ar
y asi gnarl a a l a RAM
o a l a ROM. La i nternexi n entre l a memori a
y el mi croprocesador
se es-
tabl ece entonces de acuerdo al tamao de l a memori a necesari a
y el ti po
r p"rti i r". RAM
y RoM di sponi bl es.
El di recci onami ento
de l a memori a
;;.;
ser establecido
po, medio
9-"
"lu
tabla
que especifique
la direccin
. -"*o.i u asi gnada a cada
pasti l l a. La tabl a l l amada mapa de di recci ones
de memori a es una ,"pr"tenta"i n
i l ustrati va del espaci o
de di recci ones
asi gnado
para cada
pasti l l a en el si s-tema.
para
demostrari o con un ej empl o, asmase
que el si stema mi crocompu-
tador necesi tabl 2bytes
de RAM
t
512 bytes de ROM. Las pasti l l as RA!!
y ROM
que se van a usar se esplcifican en las Figuras 12-71
y 12-12. El
Lup . di recci n de memori a
para esta confi g' raci n
se muestra en l a
f"t" 12-5. La col umna de componente especi fi ca si se usa una
pasti l l a
nU o ROM. La col umna de dl recci n hxadeci mal asi gna un rango de
i ]"."i o"". equi val entes
hexadeci mal es
para cada
pasti l l a. Las l neas del
bus de di recci ones se l i stan en l a tercer col umna. Aunque haya 16 l neas
en el bus de di recci ones, l a tabl a muestra sol amente 10 l neas
porque l as
otras 6 no Se usan en este ej empl o
y se asumen como cero' Las pequeas r
""-f"" l neas del bus de i reci ones desi gnan aquel l as.l neas
que deben
sei conectadas
a l as entradas de di recci ones de cada
pasti l l a. Las pasti l l as
RAM ti ".r.n 128 bytes
y necesi tan 7 l neas de di recci n.
La pasti l l a ROM
ti ene b12 bytes
y tr"."rl tu 9 l neas de di recci n. La r se asi gna si empre a
l as l neas ael us de menor orden: l neas t hasta ? para l a RAM
y l neas 1
h; o para l a RoM. Es necesari o di sti ngui r ahora_entre
cuatro
pasti l l as
RAM asi gnando a cada una di recci ones di ferentes.
Para este ej empl o
par-
ti cul ar sJ e.coge l as l neas del bus 8 y 9 para representar
cuatro condi -
ci ones bi nari as di ferentes. Ntese
que se puede escoger cual qui er otro
par
de l neas de bus si n usar, para este propsi to. La tabl a muestra cl aramente
q.re la. 9 lneas del bus " -rr,or orden constituyen un espacio de memoria
"n
tu R{IV i gual a n
:|L2bytes.
La di sti nci n entre Ia di recci n de l a RAM
y la ROM . hu"" con otra inea de bus. Para este
propsito se escoge la li-
nea 10. cuando l a l nea 10 es 0, el mi croprocesador
sel ecci ona una RAM
y
cuando l a l nea es 1 ste sel ecci ona l a ROM.
Tabla l2-5 Mapa de direccin de memoria para el microcomputador
Bus de direccin
Componente
Di r ecci n
hexadeci mal
10987654321
RAM I
RAM 2
RAM 3
RAM 4
ROM
0000-007F
0080-00FF
0100-017F
0180-0l FF
0200-03FF
00 x x x x
0l x x x x
l 0x x x x
l l x x x x
x x x x x x
0
0
0
0
I
x x
x x
x x
x x
x x
x
x
x
x
556
http://libreria-universitaria.blogspot.com
sEc. 12- 6
oRGAN| ZAC| ON DE LA MEMORTA
557
La di recci n hexadeci mal equi val ente para
cada pasti l l a
se obti ene
medi ante l a i nformaci n
conteni da en Ia asi gnaci n del
^bus
de di recci ones,
Las l neas del bus de di recci ones se subdi vi den en dqs grupos
de cuatro
bits cada uno, de manera que cada grupo puedu
.". ."p.:"sentado
con un
dgito hexadecimal.
El primer
dgito h-exdecimal repiesenta las lneas
1.s-t0
v
es si empre cero. El si gui ente dgi to hexadecnal ,eprrserrta l as
l neas 9-12 pero
l as l neas 17 y 12 son si empre 0. El rango de di recci ones
hexadeci mal es para
cada componente se determi na p".u l u. r asoci adas
con ste. Estas r representan un nmero bi nari o qu-e puede
vari ar entre
todos ceros y
todos unos.
Conexi n de l a memor i a al mi cr opr ocesador
Las pasti l l as
RAM y
RoM son conectadas al mi croprocesador a travs de
buses y de di recci ones. Las l neas de menor orden en el bus de di recci ones
sel ecci onan el byte dentro de l as pasti l l as y otras l neas dentro del bus de
di recci ones sel ecci onan una pasti l l a parti cul ar por
medi o de l as entradas
de sel ecci n de l as mi smas. La conexi n de l as pasti l l as
de memori a al mi -
croprocesador se muestra en l a Fi gura 12-13. Esta confi guraci n da una
capaci dad de memori a de 512 bytes de RAM y 512 bytes de RoM. Esta con-
fi gura el mapa de memori a de l a Tabl a 12-5. cada RAM reci be l os T bi ts de
menor orden del bus de di recci ones para sel ecci onar uno de l os 12g bytes
pasabl es.
La pasti l l a
RAM especfi camente sel ecci onada se determi na a
parti r de l as l neas 8 y 9 del bus de di recci ones. Esto se hace por medi o de
un decodi fi cador de 2x4 cuyas sal i das van a l as entradar sl en cada
pasti l l a
de RAM. As, cuando l as l neas de di recci n 8 y
9 son i gual es a 00,
se sel ecci ona l a pri mera pasti l l a
RAM. Cuando 01, se sel ecci ona l a segun-
da pasti l l a y as sucesi vamente. Las sal i das RD y wR del mi cropro"".do.
son apl i cadas a l as entradas de cada pasti l l a
RAM.
La sel ecci n entre l a RAM y l a RoM se l ogra por
medi o de l a l nea de
bus 10. Las RAM son sel ecci onadas cuando el
"i t
en esta l nea
".
o
v
ru,
RoM cuando este bi t es 1. La otra entrada de sel ecci n de pasti l l a
n l a
RoM se conecta a l a l nea de control RD paru que
se habi l i i e l a pasti l l a
RoM sol amente durante l a operaci n de l ectura.^Las l neas del bus 1-g se
apl i can a l as di recci ones de entrada de l a RoM si n pasar por
el decodi fi -
gqdgs.
Fl ste
asi gna l as di ecci ones 0-511 a l a RAM y stz
"
1023 a l a RoM.
El bus de datos de l a RoM ti ene sol amente capaci dad de sal i da mi entras
que
el bus de datos conectados a la RAM p.re"
trasferir informacin en
ambas di recci ones.
_
El ej empl o mostrado es una i ndi caci n
de l a compl ej i dad que puede
exi sti r entre l as pasti l l as
de memori a y el mi crop.o."."do..
Entre' mrs pas-
tillas se. conecten se requieren ms decodificadoies
externos para
seleccio-
na l as pasti l l as.
El di seador debe establ ecer un mapa de memori a que
asigae direcciones a las diferentes pastillas
de las cuales se determinun i".
conexi ones necesari as. Como l os mi croprocesadores
se comuni can tambi n
con uni dades de i nterconexi n
es necesari o
de l a mi sma manera asi gnar
di recci ones a cada i nterconexi n.
La comuni caci n entre el mi croproc"esa-
dor y l a i nterconexi n
se di scute en l a si gui ente secci n.
http://libreria-universitaria.blogspot.com
F
Busdedi r ecci ones
Mi cr opr ocesador
l - l I l 0 9 8 7_t RD WR
Decodi fi cador
3210
cil
csi
l r R " R ^
Ku
R-t i
uaros
h,R
AD7
csl
cs:
l t ! " n -
tu
IAM ;
Datos
WR
ADl
csl
CTJ
RD
l,f; !
o"t*
hJR
AD7
csl
cS2
RD
128x8
uo.
RAM 4
WR
AD7
cst
CN
1
5 1 2 x 8
I nou
I
eos
)
558
Figura 12-13 Conexin de la memoria al microprocesador
http://libreria-universitaria.blogspot.com
I NTERCONEXI ON 12- 7 DE ENTRADA- SALI DA
Una pasti l l a de i nterconexi n es un componente LSI que provee el enl ace
de i nterconexi n entre un mi croprocesador
y un di sposi ti vo I,/O. Cuando
est en el modo de sal i da de datos, l a i nterconexi n reci be i nformaci n bi -
naria del bus de datos al ritmo y modo de trasferencia del microprocesador
y l a trasmi te a un di sposi ti vo externo al ri tmo y modo de trasferenci a del
di sposi ti vo. La i nterconexi n se comporta de manera si mi l ar en el modo de
entrada de datos, excepto que l a di recci n de trasferenci a est en l a di rec-
ci n opuesta. Una i nterconexi n consi ste de un nmero de regi stros, l gi ca
de sel ecci n y ci rcui tos de control que confi guran l as trasferenci as reque-
ri das. La l gi ca de i nterconexi n se i ncl uye a menudo dentro de una pasti -
l l a RAM o ROM para proporci onar un componente LSI que i ncl uya condi ci o-
nes de memori a e i nterconexi n dentro de una pasti l l a de CI.
La mayora de los componentes LSI pueden ser programados para aco-
modar una vari edad de combi naci ones de modos de operaci n. El mi cropro-
cesador, por medio de instrucciones de programa, trasfiere un byte a un
regi stro de control dentro de l a uni dad de i nterconexi n. Esta i nformaci n
de control coloca la interconexin en uno de los modos posibles disponibles
para un di sposi ti vo parti cul ar, al cual est uni da. Cambi ando el byte de
control es posi bl e cambi ar l as caractersti cas de l a i nterconexi n. Por esta
razn l as uni dades de i nterconexi n LSI se l l aman a menudo programabl es.
Las i nstrucci ones que trasfi eren l a i nformaci n de control a una i nterco-
nexin programable son incluidas en un programa de microcomputador
y
pueden i ni ci ar l a i nterconexi n para un moti o parti cul ar de operaci n.
Los fabricantes de microprocesadores complementan sus productos con
un conj unto de pasti l l as de i nterconexi n adecuadas para l a comuni caci n
entre el microprocesador y una variedad de dispositivos de entrada y sa-
l i da normal i zados. Los componentes de i nterconexi n se di sean usual men-
te para operar con un bus del si stema mi croprocesador
parti cul ar si n ni ngu-
na l gi ca adi ci onal di ferente de l a decodi fi caci n de di recci ones. Hay una
vari edad de componentes de i nterconexi n de uso comerci al
y cada uno
puede ser clasificado en una de las cuatro categoras:
Una interconexin perifrica en paralelo trasfiere datos entre el
microprocesador y
el dispositivo
perifrico.
Una i nterconexi n de comuni caci n en sere convi erte l os datos en
paralelo del microprocesador a datos en serie para la trasmisin
y
convierte los datos en serie entrantes a datos en paralelo para ser
recibidos por el microprocesador.
Una i nterconexi n dedi cada especi al es construi da para comuni -
carse con un dispositivo particular de entrada y salida o puede ser
programada para operar con un dispositivo particular.
Una i nterconexi n de acceso di recto de memori a
(DMA)
se usa para
trasferir datos directamente entre un dispositivo externo y la me-
moria. Los separadores del bus en el microprocesador son inhabili-
1.
2.
.
4.
559
http://libreria-universitaria.blogspot.com
560
Dt sEo DEL STSTEMA DL MTCROCOMPUTADOR
cAP. 12
lados
y pasan
al estado de alta impedancia
durante la trasferencia
DMA.
Las uni dades de i nterconexi n
comerci al es pueden tener nombres di -
ferentes que l os que aqu se l i stan. Ms an, l as caractersti cas i nternas y
externas varan consi derabl emente de una uni dad comerci al a otra" En est
secci n, se di scuten l as caractersti cas comunes de l os componentes de
i nterconexi n y se expl i can en trmi nos geDeral es l os di ferentes modos de
trasferenci a que ti enen. La trasferenci a de acceso a l a memori a se di scute
en l a si gui ente secci n.
Comuni caci n con el mi cr opr ocesador
Los grandes
computadores usan muy a menudo buses separados en el cpu
para
comuni carse con l a memori a y l a i nterconexi n l /O.l Jn bus I,/O de
l os grandes
computadores consi ste de un bus de datos y uno de di recci o-
nes si mi l ar al bus que se comuni ca con l a memori a. El bus de datos I/o
trasfi ere l os datc a l os di sposi ti vos extenos y vi ceversa y el bus de di -
recci ones I/O st usa para sel ecci onar un di sposi tvo I/O parti cul ar
a
travs de su i nterconexi n. El nmero de l neas de di recci ones en un bus
I,/o es menor que
un bus de memori a porque
hay un menor nmero de
uni dades I/O paru sel ecci onar que pal abras
en un si stema de memori a.
un mi croprocesador ti ene un l mi te para el nmero de termi nal es que
pueden
ser acomodados dentro de una psti l l a
de CI. No hay sufi ci eni es
pati l l as
en una pasti l l a
de mi croprocesadores para
sumi ni strar buses sepa-
rados para
comuni carse separadamente
con l a memori a y el l /O.Invari a_
blemente todos los microprocesadores
usan un sistema de bus comn para
sel ecci onar pal abras.
de.memori a y
uni dades de i nterconexi n.
Si una pas-
ti l l a de i nterconexi n
ti ene un nmero de regi stros, cada uno se sel eccona
por
medio de sus prop, as direcciones de la misma manera que se selecciona
una pal abra
de memori a. El bus del mi croprocesador no di sti ngue entre un
regi stro
de i nterconexi n y una pal abra
e -emori a. Es responsabi l i dad
del usuari o, por
medi o de i nstrucci ones
del programa,
especi fi car l a di rec-
cin apropiada que seleccione uno u otro. Hy os *a.tetas de asignar las
di recci ones para
sel ecci onar l os regi stros de memori a e i nterconexi n.
un
mtodo es el l l amado I/O con mapa de memori a y el otro es el l l amado
I / O ai sl ado.
En el mtodo I,/o con mapa de memori a, el mi croprocesador trata el
regi stro de i nterconexi n
como parte
del si stema de memori a. La di recci n
originada para los registros de interconexin
no puede ser usada para pa-
l abras de memori a, reduci endo as el espaci o de memori a di sponi bl e. En una
organi zaci n l /o con mapa de memori a no hay i nstrucci nes de entrada
y sal i da-porque
el mi croprocesador puede
mani pul ar l os datos l /o qi e
esiden en los registros de interconexin
con las mismas instruccion"* qu"
se usan para
mani pul ar l os l ugares de memori a. Cada i nterconexi n
se r-
ganiza
como un conjunto de registros que responden a los comandos de
l ectura y
escri tura en el espaci o de di reci n nbrmal del mi croprocesador.
Tpicamente se reserva un segmento del espacio de direcciones total para
los registros de interconexin pero
en general pueden
estar localizados en
http://libreria-universitaria.blogspot.com
sEc. 12- 7 I NTERCONEXI ON DE ENTRADA- SALI DA
561
cual qui er di recci n, si empre y cuando no haya una pal abra de rnemori a
que corresponda a esa di recci n"
La organizacin de los I,/o con rnapa de memoia es conveniente para
si stemas que no necesi ten espaci o di sponi bl e de memori a de l as l neas del
bus de di recci ones. un mi croprocesador con un bus de datos de 16 bi ts,
que requi ere una memori a menor que 32K puede usar otras 32K di recci ones
di sponi bl es del bus para accesar l os regi stros de l a i nterconexi n.
Una con-
figuracin especfica para un I/O con mapa de memoria puede
configu-
rarse modi fi cando l i geramente l as conexi ones de di recci n mostradas en l a
Fi gura 12-13. La l nea de di recci n 11 del di agrama no se usa para accesar
l a memori a. Se dej ar ahora que
esta l nea di sti nga entre l a memori a y l a
i nterconexi n, de manera que cuando el bi t de l a l nea sea 1, el bus de di -
recci ones sel ecci one una memori a de pal abra y cuando el bi t sea 0 sel ecci o-
ne un regi stro de i nterconexi n. Para l ograr esta nueva condi ci n se debe
apl i car a una compuerta AND cada l nea que va al CS 1 en l as RAM y
ROM
de l a Fi gura 12-13 con el bi t de l a l nea 11 de di recci n. Las entradas de se-
l ecci n de pasti l l a de todas l as uni dades de i nterconexi n deben estar con-
di ci onadas al val or del compl emento de l a l nea 11, adems de l a di recci n
asi grrada.
con l a organi zaci n del l /o ai sl ado, el mi croprocesador especi fi ca en
s mi smo cuando l a di recci n en el bus de di recci ones es para una pal abra
de memoria o para un registro de interconexin. Esto se hace por medio de
una o dos l neas de control adi ci onal es que se fabri carr con el mi croprocesa-
dor. Por ejemplo, un microprocesador puede
tener una lnea de control de
sal i da marcada M/Io. cuando Mi l o:1 esto si gni fi ca que l a di recci n
del bus de di recci ones es para
una pal abra
de memori a. Cundo M/IO:0,
l a di recci n es para
un regi stro de i nterconexi n. Esta l nea de control debe
ser conectada a l as entradas de sel ecci n de RAM, ROM y de l as pasti l l as
de i nterconexi n, de l a mi sma manera que l a l nea 11 del bus fue conectada
en el ejemplo previo para
el caso del l/O con mapa de memoria.
En l a organi zaci n l /O ai sl ada, el mi croprocesador debe entregar
i nstrucci ones de entrada y sal i da di ferentes y cada una de el l as debe aso-
ci arse con una di recci n. Cuando el mi croprocesador busca y decodi fi ca el
cdi go de operaci n de una i nstrucci n de entrada y
sal i da, ste l ee l a di -
recci n asoci ada con l a i nstrucci n y l a col oca en el bus de di recci ones. Al
mismo tiempo hace la lnea de control M
/
IO igual a 0 para informar a los
componentes externos que esta di recci n es para una i nterconexi n y no
para la memoria. Asi, durante un ciclo de bsqueda o un ciclo de ejecucin
de referenci a de memori a, el mi croprocesador habi l i ta el control de l ectura
o escri tura y
l l eva l a l nea M/IO a 1. Durante l a ej ecuci n de una i nstruc-
ci n de entrada o sal i da, el mi croprocesador habi l i ta el control de l ectura o
escri tura y l l eva l a l nea M
/IO
a 0.
El mtodo I,/O separado, asla la memoria y las direcciones l/O de
manera que no se afecte el espaci o de memori a por l a asi gnaci n de l a di rec-
ci n de l a i nterconexi n. Debi do a este ai sl ami ento, todo el espaci o de
di recci ones di sponi bl e por el bus de di recci ones, no es afectado por el di rec-
ci onami ento de l a i nterconexi n, como en el mtodo de I,/O con mapa de
memori a.
http://libreria-universitaria.blogspot.com
I nt er conexi n per i f r i ca
en par al el o
una i nterconexi n peri fri ca
en paral el o
es un componente LSI que pre-
senta un cami no para
trasferi r i nformaci n
bi nari a en paral el o
ntr el
mi croprocesador y
el di ,qposi ti vo peri fri co.
una pasti l l a
de i nterconexi n
conti ene normal mente
dos o ms puertos
I/O qe se comuni can con uno
o ms di sposi ti vos externos y
una i nterconexi n
senci l l a para
comuni carse
con el si stema del bus del mi croprocesador.
El di agrama' de
bl oque ar rrrr"
rnteconexi n peri fri ca
tpi ca_en paral el o
.. -rr".t." en l a Fi gr.rra l 2-r4.
Este consi ste de dos puertos.
cada puerto
ti ene dos regi stros,
un bus I/o
de 8 bits y
un pa(
de l(neas denorninadas
e entoce. Li operacin a\mace-
nada en el regi stro de control especi fi ca el modo de operaci " det p""rl .
El puerto
del regi stro de datos se usa para
trasferi r dtos al bus d datos
y al bus I / O y vi ceversa.
La i nterconexi n
se comuni ca con el mi croprocesador a travs del bus
de datos, el sel ector de pasti l l a y
el control de l ectura,/escri tura.
se debe
agregar un ci rcui to externo (usual mente
una compuerta AND) para
detec-
tar
-l a
di recci n asi gnada a l a i nterconexi n.
EstL ci rcui to habi l i ta Ia en_
trada de sel ecci n de l a pasti l l a
cuando se sel ecci ona l a i nterconexi n por
medi o del bus de di recci ones. Las dos entradas de sel ecci n del regi stro
r?s 1 y
RS 2 se conectan usual mente a l as l neas de menor orden del b"us de
Bus
de datos
RI)
h,R
l nt er r upci n <
Puest a
a cero
L neas de enl ace
L neas de enl ace
Regi stro sel ecci onado
Ninguno
-
El bus de datos en alta impedancia
Registro de datos puerto
A
Registro de control puerto
A
Registro de datos puerto
B
Registro de control puerto B
XX
0 0
0 l
l 0
l l
Fi gur a
l 2- 14
562
Registro de
dat os puert o; 1
Sel ector de
past i l l a y
control de
l ecturaT/
escr i t ur a
Di agrama
de bl oque de l a i nteconexi n peri fri ca
en paral el o
http://libreria-universitaria.blogspot.com
SEC. 12- 7 I NTERCONEXI ON DE ENTRADA- SALI DA
563
di recci ones. Estas dos entradas sel ecci onan uno de l os cuatro regi stros en
Ia i nterconexi n, como se expl i ca en l a tabl a que acompaa el di agrama. El
conteni do del regi stro sel ector se trasl ada al mi croprocesador por
medi o
del bus de datos cuando se habi l i ta l a entrada RD. El mi croprocei ador car-
ga un byte al regi stro sel ecci onado por medi o del bus de datos cuando se
habi l i ta l a entrada wR. La sal i da de i nterrupci n se usa para i nterrumpi r
al mi croprocesador y l a entrada de reposi ci n es para pone.
a cero l a i nter-
conexi n una vez que se sumi ni stre potenci a.
El mi croprocesador i ni ci a cada puerto
trasfi ri endo un byte a su re-
gi stro de control . Al cargar l os bi ts adecuados a un regi stro de control en l a
i ni ci aci n del si stema, el programa puede
defi ni r el modo de operaci n del
puerto. Las caractersti cas del puerto dependen de l as uni dades comerci a-
l es usadas. En l a mayora de l os casos, cada puerto puede ser l l evado a un
modo de entrada o sal i da. Esto se hace al trasferi r l os bi ts en el regi stro de
control que especi fi can l a di recci n de trasferenci a en l os separadores del
bus que acci onan el bus I,/O bi di recci onal . En adi ci n, el puerto puede
hacerse funci onar en una vari edad de modos de operaci n. Los tres modos
de operaci n encontrados en l a mayora de l as pasti l l as
de i nterconexi n
son:
1. Tr asf er enci a di r ect a si n l nea de enl ace.
2. Tr asf er enci a con enl ace.
3. Trasferenci a con enl ace usando i nterrupci n.
Una i nterconexi n se l l eva al modo de trasferenci a di recta cuando el
di sposi ti vo conectado al bus l /o est si empre l i sto para
trasferi r i nfor-
maci n. Las l neas de enl ace no se usan en este modo y al gunas pasti l l as
de i nterconexi n ti enen un modo de programaci n para
converti r estas l -
neas en l neas de trasferenci a
de datos. La trasferenci a di recta puede ope-
rar en un modo de entrada o sal i da. En el modo de entrada una ope.aci n
de l ectura trasfi ere el conteni do del bus l /O aI bus de datos del mi cropro-
cesador. En el modo de sal i da, una operaci n de escri tura trasfi ere el on-
teni do del bus de datos al regi stro de datos del puerto sel ecci onado. El byte
reci bi do se apl i ca entonces al bus l /o. Las trasferenci as de entrad o
sal i da di rectas son ti l es sol amente si l os datos val ederos pueden resi di r
en el bus I,/O por un ti empo l argo, comparado con el ti empo de ej ecuci n
de l a i nstrucci n en el mi croprocesador. Si l os datos I,/o pueden ser va-
l ederos por un corto ti empo, l a i nterconexi n debe operar en el modo de
enl ace.
Las l neas de enl ace son usadas para
control ar l a trasferenci a entre
dos di sposi ti vos que operan asi ncrni camente entre s, es deci r cuando no
comparten un rel oj comn. El enl ace es un proceso
usado comnmente y no
est restri ngi do para hacer i nterconexi n con pasti l l as sol amente. Dos l -
neas de enl ace, conectadas entre un di sposi ti vo fuente y uno de desti no,
control an l as trasferenci as i nformndose entre s de l a condi ci n de l a tras-
ferenci a por medi o del bus comn. El di sposi ti vo fuente i nforma el desti no
por medi o de una de l as l neas de enl ace cuando se ti ene i nformaci n val e-
dera en el bus. EI di sposi ti vo de desti no responde i nhabi l i tando l a segunda
l nea de enl ace cuando ha si do aceptada l a i nformaci n del bus. La Fi zuru
http://libreria-universitaria.blogspot.com
5& DI SEO DEL SI STEMA DEL MI CROCOMPUTADOR
CAP. 12
12-l -1 muestra dos l neas de enl ace en cada puerto.
una es una l nea de sa-
l i da
'
l a otra de entrada. Es costumbre referi rse a estas l neas con smbo-
l os. pero l os smbol os adoptados son si empre di sti ntos en l as di ferentes
uni dades comerci al es. Debi do a l a vari edad de smbol os usados para
desi g-
na esas l neas, se prefi ere
no adoptar un smbol o sobre otro si no referi rs-e
a l as dos l neas como l a l nea de enl ace de sal i da o entrada. La l nea de
enl ace de entrada pondra
a uno un bi t en el regi stro de control dentro de
l a i nt erconexi n.
Est e bi t ser l l amado i ndi cador,
t eni endo en cuent a que
el regi stro que reti ene el bi t i ndi cador (el
regi stro de control en este caso)
puede
ser l edo por
el mi croprocesador para
comprobar l a condi ci n de l a
trasferenci a. El bi t i ndi cador se bora automti camente
en l a i nterconexi n
despus de una operaci n de l ectura o escri tura asoci ada con el correspon-
di ente regi stro de datos.
La secuenci a de enl ace detal l ada para
una pasti l l a
comerci al de i nter-
conexi n se especi fi ca con el di agrama de ti empo que acompaa l as especi -
fi caci ones dei producto.
Debi do a l a vari ed" procedmi entos que
se
encuentran en l a prcti ca,
sera mej or expl i car el rntodo de enl ace en tr_
mi nos general es,
si n preferenci a por
un mtodo especfi co. La trasferenci a
con enl ace depende de si el puerto
est en el mod de entrada o sal i da de
i nformaci n.
E' el modo de enl ce de sal i da, el mi croprocesador
escri be un byte en
el regi stro de datos del puerto
de i nterconexi n.
La i nterconexi n hbi l i ta
l a l nea de enl ace de sal i da para i nformar al di sposi ti vo externo que un byte
val edero- est di sponi bl e en el bus I,/o. cundo el di sposi ti vo externo
acepta el byte del bus l /O, ste habi l i ta l a l nea de enl ace de entrada. El l o
pone
a uno el bi t i ndi cador en el regi stro
de control . El mi croprocesador
l ee el regi stro que
conti ene el bi t i ndi cador para
determi nar si l a trasfeen-
ci a fue compl eta. si es as, el mi croprocesadr puede
escri bi r un nuevo byte
al regi stro de datos del puerto
de l nterconexi n.
Al escri bi r datos en un
puerto
dado se borra automti camente
el bi t i ndi cador asoci ado con l a tras-
ferenci a de sal i da. El proceso puede
repeti rse para dar sal i da al si gui ente
byt e.
En el modo de enl ace- de.entrada, el di sposi ti vo externo col oca un byte
en el bus r/o y habi l i ta l a l nea de enl ace e l a entrada de i nterconexi n.
La i nterconexi n
trasfi ere el byte a su regi stro de datos y pone a uno un
bi t i ndi cador en el regi stro de control . El - mi croprocesadr l ee el regi stro
que
conti ene el bi t i ndi cador para
determi nar si i e requi ere una trasferen_
ci a de entrada. Si se pone a uno el bi t i ndi cador,
el ,rri ".opro.".ador
l ee el
byte del regi stro de datos del puerto y
borra el bi t i ndi cador. La i nterco-
nexi n i nforma entonces al di sposi ti vo
conectado al bus r/o a travs de
l a l nea de enl ace de sal i da, que
el nuevo byte puede
ser acptado. una vez
que
el di sposi ti vo de sal i da ha si do i nformdo u q,r" l a i ntrconexi n
est
l i sta, puede i ni ci ar l a trasferenci a del si gui ente l yte naui ti tando de nuevo
el enl ace de ent rada.
En el mtodo de enl ace anteri ormente
descri to, el mi croprocesador
dgpe
]eei
peri di camente
el regi stro de control para
comprobai Ia condi -
ci n del bi t i ndi cador. si hay un nmero de puerts
conectdos al mi cropro-
cesador, sera necesari o hacerl es un muestro en sucesi n para
determi nar
aquel l os que requi eren una trasferenci a. Esta es una op"r*"i r, que
consu-
http://libreria-universitaria.blogspot.com
l
sEc. 12- 7
I NTERCONEXI ON
DE ENTRADA- SALI DA
565
me ti empo- y que puede
ser evi tada si se i ni ci a l a i nterconexi n para que
opere en el modo de i nterrupci n.
La sal i da de i nterrupci n
mosi rada en
l a Fi gura 12-14 se usa. entonces para
sol i ci tar una i nteri upci n
del mi cro-
procesador.
La mayora de uni dades comerci al es presentur
.r.ru l nea de
i nterrupci n
separada.para
cada puerto
en l a i nterconexi n.
cada vez que
se pone
a uno un i ndi cador
en el puerto,
l a peti ci n
de i nteconexi n que
peqtenece
al puerto
se habi l i ta automti camente para i nformar al mi cro_
programador que
se va a i ni ci a l a trasferenci a. El mi croprocesador
respon-
de a l a seal de i nterrupci n
_del
puerto que
sol i ci t l a acci n y
trasi i ere
el byte de datos al regi stro de datoJdel puerto
de i ntercone*i On y-ui ceversa.
I nt er conexi n
de comuni caci n
en ser i e
un di sposi ti vo I,,' o puede
trasferi r l a i nformaci n bi nari a en paral el o
o en
seri e. En l a trasmi si n en paral el o,
cada bi t de i nformaci n
usa una l nea
separada de manera que l os n bi ts de un tem pueden
ser trasmi ti dos si -
multneamente. Por ejemplo, un dispositivo perrico paralelo puede
tras-
mi ti r una pal abra
de 16 bi ts, todos al ti empo, a trav de dos i uses de
g
bi ts de l a i nterconexi n peri fri ca.
en paral l o.
En l a trasmi si n en seri e,
l os bi ts de una pal abra
son trasmi ti do en secuenci a, bi t a bi t a travs d
una sol a l nea. La trasmi si n en paral el o
es ms rpi da pero requi ere mu-
chas l neas. Esta se usa para
di i tanci as cortas y donde l a vei oci dad es
i mportante. La trasmi si n
en seri e es l enta pero
menos costosa ya que sol a-
mente requi ere
una sol a l nea. La i nformaci n
bi nari a trasmi ti da desde
termi nal es remotos a travs de cabl es tel efni cos
u otro medi o de comuni -
cacin e9 d-el tipo serie porque
sera muy costoso suscribir o renrar un gran
nmero de l neas. Ej empl os
de termi nal s de comuni caci n
son l os tel etfros,
los terminales de cirr
v
los disposiiitor
a" cmputo ,L-ot".
La i nformaci n
bi nari a en i eri e trasmi ti da a un termi nal consi ste de
caracteres de cdigos binarios. Los caracteres pueden
representar informa-
ci n al fanumri ca o caracteres de control . Los caracteres' al fanumri cos
son
trasmitidos
como un te-xto e incluyen las letras del alfabeto, los dgitos de-
cimales y un nmero dc smbolos grficos
tales como el punto,
et ris y ta
coma. Los caracteres de control se usan para l a di stri buci n
' de
l a i mpre_
si n o para
especi fi car el formato der mensaj e trasmi ti do. El nmero de bi ts
asigrrados a cada cdigo de caracteres puee
estar entre cinco y
ocho de-
pendi endo
del termi nal .
El di agrama de bl oque de una i nterconexi n
de comuni caci n
en seri e
se muestra en l a Fi gura 72-15. Este funci ona como un trasmi sor o como re-
ceptor y puede
ser programado
para operar er una variedad de modos de
trasmi si n. La i nterconexi n
se i ni ci a para
un modo de trasferenci a
en se-
ri e parti cul q por medi o-de un byte de control , el cual se carga a su regi stro
de control . El regi stro de trasmi si n acepta un byte de datoJ del mi cpro-
cesador a travs del bus de datos. Este byte se trasfiere a un registr de
des.pl_azamiento para
una trasmisin en .eiie. La parte
de recepciIn recibe
l a.i nformaci n
de sei e en otro regi stro de despl azmi ento y cuando se acu-
mula un byte de dat-os completo, ste se traifiere al registro receptor. EI
mi croprocesador puede
sel ecci onar el regi stro receptor
*para
l eer el byte
http://libreria-universitaria.blogspot.com
Regi st r o de
despl aza
-
ml ent o
Regi st r o
de cont r ol
Trasmi si n
Sel ect or de
past i l l a y
cont r ol de
l ect ur a
es c r l t ur a
RD
l1/R
Puest a
a cero
Figura 12-15 Diagrama de bloque tipico de una interconexin de
comuni caci n en seri e
por medi o del bus de datos. Los bi ts del regi stro de condi ci n se usan para
poner a uno l os i ndi cadores de entrada
y sal i da y para detectar ci ertos
errores que pueden ocurri r durante l a trasmi si n. El mi croprocesador pue-
de l eer ei regi stro de condi ci n para constatar el estado de l os bi ts i ndi ca-
dores y para determi nar si cual qui er error puede ocurri r.
Las l neas de sel ecci n de pasti l l a y de l ectura,/escri tura' se comuni -
can con el mi croprocesador. El termi nal de entrada de sel ecci n de pasti l l a
( CS) se usa
par a sel ecci onar l a i nt er conexi n. El sel ect or de r egi st r o
( , RS)
se asoci a con l os control es RD
y WIi . Dos regi stros aceptan i nformaci n
durante una operaci n de escri tura
y l os otros dos sumi ni stran i nforma-
ci n durante Ia operaci n de l ectura. El regi stro sel ecci onado es entonces
una funci n de l a condi ci n de RD y WR como se muestra en l a tabl a que
acompaa el di agrama.
El trasmi sor
y receptor ti ene una entrada de rel oj para si ncroni zar l a
razn de l os bi ts al cual se trasfi ere l a i nformaci n en seri e. La l nea de
datos de trasmi si n se conecta a un receptor remoto y Ia l nea de datos re-
ci bi dos vi enen de un trasmi sor remoto. Si el rel oj est conectado al termi nal
remoto, se di ce que l a trasmi si gn es si ncrni co. Si el rel oj no est compar-
ti do con el termi nal remoto se di ce
que
l a trasmi si n es asncrni ca.
566
Regi st r o sel ecci onado
Ni nguno
Regi st r o t r asmi sor
Regi st r o de cont r oi
Regi stro receptor
Regi st r o de condi ci n
http://libreria-universitaria.blogspot.com
SEC. 12- 7
I NTERCONEXI ON
DE ENTRADA- SALI DA
567
En el modo
seri al
si ncrni co
de t rasmi si n
er t rasmi sor
remot o y
l ocal
.y
el receptor
compa.rten
el rel oj
"o-,i n.
Los bi ts.""^"""i "a"s
desde
el tras_ misor
a intervalo
iff{:
g,"
,1"-_r"
"turlir";';;;;i
rirmo
de los pur_ sos de rel oj '
como
er receptor.
comparte
r1 ryl oj
"o-i r.,
con el trasmi sor,
ste acepta
l os bi ts al mi smo ri tmo
i er ."i "j .
E;' l ;i .]-,.i 0"
asi ncrni ca.
l as dos partes
no
.comparten
un rel oj
comn.
Los pul sos
de rel oj
del trasmi - sor de i nterconexi n
t.a.t
t"""pi o.-on
al i mentadi ;^;i
,;-"
del rel oj
i ocal que
especi fi ca
ra rata
de trasfei enci a
del t..i rri "
"_rrni .u"i n
remoto
al cual est
conectada
l a i "tu.""l ."l ?".
un probrema
comn
asoci ado
"o.r
u.ru trasmi si n
en seri e trata
cre l a demarcaci n
de caracteres
en una cade' a
;";t-";;""' fi r..
El trasmi sor y
receptor pueden.estar
programados
l ara
reconocer
el nmeo
de bi ts en cada caracte
en el t".*i "ui t.-ol J]p".o' urrece
al l el probl ema
de detec- tar el pri mer
bi t en
.cada
caract"t-"
manera que
una cuenta pueda
comen_
L:.*n:.ff,
siguiente
cu.a"te..
r.f i;.{{;.r"
ilj caracteres
estn
cia es sincrnico
o::fi;1;ill"en
serie,
depende
A" ,i
"t--oao
ae t.asfe.el_
En l a trasmi si n
en seri e si ncni ca,
un caracter
d,e controL
d,e comuni _ c a c i n, I la mado
ca racter
a", n r rli il, ;:'.; ;":Ll':::"":. ::
te de sincro"i,u"lo
enrre
el t*..i.".
?
iir:;lT::nilf,";..illf",i; cdigo
Ascrr
de 7 bits se-il;iTti
b' d;-;;;;;;;";,
en ra posicin ms significativa,
"t "".u.t.r*.i;;;;."
uri;";a;
;;";' ;i' cdigo
de 8 birs 00010110.
cuando
el t.asmi so;;;;i ;;""
a envi ar.u.u"t.l ".
de 8 bi ts ste enva
vari os
caracteres
-si ncrni cs
-i l r"*"
enva
el mensaj e
actual .
La ca_ dena
cont i nua
i ni ci al
a" i i . ' """prau
oo.
er recept or
es anal i zada
por
el caracter
si ncrni co.
E_n otras putb.u.,
.con
ggda
o,rr"o J" rel oi ,
el recptor comprueba
l os l ti mos.ocho
bi ts ,""i i a*.
si ?.ti r^"
l l .,"uurdu.,
con l os bi ts del caracter
.::1":::i -.i ";""d;l
acepra
un bi t ms, rechaza
el bi t
anteri or
de mavor
orden
v
.o-prrr"bu
d. ;;;;;
i . i rr-T-
ocho
bi ts reci _ bi dos por
un cracter
a. i ".i "i r]i l l p.ro
se repi te
a".pro.
de cada pul so de rel oj
v
bi r reci bi d".
i l ;;";;;.;;;""",c.a
urr caracter
de si ncroni smo. una vez que
se hava
det ect "a?,
rr
"rract er, de
si ncroni smo,
el recept or
ha_ br demarcado
un caract er.
o" uqui -un
adel ant e
er recept or
cuent a
cada ocho.
bi t s y
l os acept a
co_mo
un . oi o
"u*"t "r.
Comnm"rrl "r
recept or
com_
pruba
dos caracteres
de si ncroni smo
l:t
o
"
r
i" ir .;il."r
c arac t er . . i,,..o
i;o.li,l
::i :::.i,Ti"il'
:l ff.li,:T; cle una seal
de ruido
en ra lnea.
sln
".uu.go,
""*rrJo' J
trur-i.or
est
i nact i vo
y
no t i ene
T: *l _; ; l ; ; j ; ; g
envi ar,
st e
env a
una cadena
con_
ti nua
de caracteres
de si ncroni .-o.
' El .
receptor
reconoce
todos l os
carac_ teres
de si ncroni smo
como
una condi ci n
p"."
.i ""r"l l r"u
l nea y pasa a un estado
ratente
si ncrni co.
E;;;;
ei tado,
tu. o. .r' i dades
manti e_ nen
si ncroni smo
mi entras
no se est
co-rr.ri "undo
ni ngn
mensaj e.
El procedi mi ento
normar
antes
descri to
i ndi ca que
el trasmi sor
en una
i nterconexi n
de comuni caci .
.i ""r"l ca
se ha di seado
para
envi ar
ca-
ractees
de si ncroni smo-al
"o,' i ""ro-J"' l u
tru.-i ri n
y
tam|i n
cuando
no
hay caracteres
di sooni bl ".
a"i ' -j "i pi i "".uor.
El recepr,or
en una i nterco_ nexi n
de comuni caci n
si ncrni ca' Je"be.
demarcar
ocho
bi t s consecut i vos en caracteres
y
debe poder
i denti fi car
ci erto*
"Ji ' """u.u"r"r"s
tal es
como et caracrer
de si ncroni r;;.
;;;do
el recepi ;-.
;";;";""
ros
caacre_
ENTRADA- SALI DA
http://libreria-universitaria.blogspot.com
568 DI sEo DEL SI STEMA DEL MI cRocoMPUTADoR
cAP. 12
res de si ncroni smo, se usan stos para
mantener el si ncroni smo con el tras-
mi sor, pero l os caracteres de si ncroni smo no se envan al mi croprocesador.
El procedi mi ento
normal para demarcar caracteres durantJ l a trasmi -
si n asi ncrni ca es envi ar al menos dos bi ts adi ci onal es con cada caracter.
Estos bi ts adi ci onal es son l l amados bi ts de parada y
d.e comi enzo.
por
ej em-
pl o,
una uni dad de tel eti po usa un cdi go de caratter de
g
bi ts pero
enva
11 bi ts por cada caracte trasmi ti do. El pri mer bi t es el bi t de i omi enzo.
Este est segui do por l os 8 bi ts del caracter y l uego por l os dos bi ts de pa-
rada. La convenci n en este termi nl es que petmattee
en el estado 1 cuan-
do no se trasmi ten caracteres. El pri mer
bi t s si empre 0 y representa el bi t
de comi enzo
para
i ndi car el pri nci pi o
de un caractei . El i eceptor puede
de-
tectar el bi t de comi enzo cuando l a l nea va de 1 a 0. un rel oj en ei receptor
conoce l a raz6n de trasferenci a y el nmero de bi ts del caracter que se es-
peran. Despus de que se reci ban l os 8 bi ts de caracteres, el receptor com-
prueba l os dos bi ts que estn si empre en el estado 1. La l ongi tud de ti empo
que l a l nea permanece
en el estado de 1 (parada)
depende de l a canti dd
de ti empo requeri do para que el termi nal se i esi ncroni e. un tel eti po requi e-
re dos bi ts de parada.
otros termi nal es usan
j ustamente
un bi t e pai ada
y
al gunos uno y medi o ti empo de bi t para
el perodo
de parada. L l nea
permanece
en el estado t hasta que se trasmi ta otro carcter. La Fi gura
12-16 muestra l os 11 bi ts del caracter tpi co del tel eti po. Despus de que
l os dos bi ts de parada
han si do trasmi ti dos, l a l nea pnede i r a , i ndi cando
Bi t de o L: . ^
' +8 b i t s d e i n f o ma c i n +
, " ' u o , +
coml enzo
r r r vr r uqLr ur r
de
par ada
Fi gur a 12- 16 T asmi si n asi ncr ni ca en ser i e de un car act er
un bi t de parada para
un nuevo caracter. La l nea permanecer
en el esta-
do 1 si no si gue otro caracter i nmedi atamente.
El procedi mi ento
normal antes descri to i ndi ca que el trasmi sor en una
i nterconexi n
de comuni caci n asi ncrni ca agrega i os bi ts de comi enzo y
parada
antes de l a trasmi si n en seri e. El reCep[or debe reconocer l os bi ts
de comienzo y parada para demarcar el caractr. El receptor puede
aislar
l os bi ts de i nformaci n para
trasferi r al mi croprocesador.
Los procedimientos
de demarcacin normalizados son incorporados con
una i nterconexi n
de comuni caci n en seri e. La i nterconexi n d comuni ca-
ci n en seri e puede ser sol amente asi ncrni ca, sl o si ncrni ca o ambas co-
sas.
Component es de i nt er conexi n
ni cos
Adems de los-componentes de interconexin que trasfieren informacin en
paral el o
o en seri e, se puede
encontrar en uso comerci al otras pasti l l as
de
http://libreria-universitaria.blogspot.com
sEC. 12- 8
ACCESO
DTRECTO
DE MEMORTA
569
i nterconexi n
que-
son dedi cadas
a ra apl i caci n
de i nterconexi n
parti cu_
l ar.
Atsunas
de el l as
r" l i ;;;;;ol l tj ,,uaci n,
Controlador
de disco floppy
Interconexi n
del tabl ero y
el tecl ado
Control ador
de pri ori dad
de i nterupci n
Tempori zador
de i nterval o
Interconexi n
peri fri ca
uni versal
El control ador
de di sco- fl o-ppy
es una
-pasti l ra
de i nterconexi n
di sea-
da para
controlar
un peque_o
a'isp"sitiuo
"
"r*"r*-i"r,to
en disco
mas_
nti co
l l amado
di sco
fi oppy. i l i ;;;;;;nexi n
de rabl ero y
tecl ado
es adecu_
da para
hacer
un arri i b"e
r""-r"ri ri ,
"-r"l .rrpt".J."our"
detectar
un accionamiento
v
para
accionar
""
;;1.*"
";;;;;;i;;iii
nr-rica
o arfa_
numri ca.
El control ador
de pri ori ua
d";;;;;"]ri ' i ".i ri ta
el manej o
!e.-la
intglpcin
para
establecer
prioridad;
t;il;r,iir", un vector
de rnterrupcin
para
el. microprocesado.
u" ;;r;;;il;
intervalo
es un
contador programable
que pued"
rli pr"purado
para
contar
un intervalo
9r9*.:11*ry
v,
para
l"tuiru-pit-ir
,,,i".opro."r"or-"u"ndo
er conrador
arcanza
una cuenta preestabl eci da.
una i nterconexi r
peri fri c-a
;ni versal
es un componente
LSI que
ac_ t a como un procesador' r/ o. . . 1' "1
. i rr; ; p] ; ; .
su propi o
pro_
cesador,
l a l gi ca
de control ,
R4M
;
nOU
v
L ;";;.sos
se parece
a una pasti l l a
mi croprocesadora.
su n:nci o'
es mani purar
ras operaci ones
de l os di sposi ti vos,
I7o
"" "r,
Ji J;
que
ver con l os procedi mi entos
de
;:f:,t""; ll,f,f,,::**a
almacenad;;t"
parte
de RoM
ai lu i'tur"..,.ii.
vo s pa rt i c u r
"
*.
"
i:,ii ,:l :': r:H
""
lJ::l.#:
"'
I;, * 3Hi,1,1:
sl.,y_:*
es supervi sado
por
er program?
que
se-ej ecuta
;; ;1";;"procesador.
En
esenci a,
sta es .r.ta confi guraci n
de Jo, p.o""r"dores
con el si stema
cpu y
el di sposi ti vo
de i nterco"nexi i "
""i uJr.ur
escl avo
operando
en pararel o.
12- 8
ACCESO
DI RECTO
DE MEMORI A
La trasferenci a
de datos.
.entre
un di sposi ti vo
de al macenami ento
masi vo,
tal como el di sco magnti co
o ci nta ml gnti ca y
el si stema
de memori a
se
l i mi ta
a menudo
-.
-ru
u"to"i "J
"r"mi c.op.ocesador.
Desconectando
er procesador
durante
tal
_trasferenci a l -deando que
er di sposi ti vo
peri fri co
manej e l a trasferenci a
di rectame"t
memori a
mej orara
ra vel oci dad
de
l a trasferenci a y
se i .ara
el ;i l "-";s
efi ci ente.
Esta tcni ca
de trasfe-
renci a
se l l ama
DM (acceso
di .;;;' "
memori a).
Durante
l a trasferen_
ci a DMA
el procesador
estar i ;;;;;
i " -".,"." que
no tenga contror
del
bus del si stema.
un control ador
DMA acci ona
i o.' uu."".
"i ara
manear
l a
trasferenci a
di recramente
enrre.
el di sposi ti ";
;;;:;;' | l u *.rnori ".
El mi croprocesador
se puede
hacei que quede
i nacti vo
de muchas
ma-
neras.
El mtodo ms
comn
".
tr"i i i tui
l o. br;r;;i ;;;.
una seai
de
a
I
i

I
;
I
$
lt
n
http://libreria-universitaria.blogspot.com
570 DI SEO DEL SI STEMA DEL MI CROCOMPUTADOR
CAP. 12
control especi al . La Fi gura 12-17 muestra cl os seal es de control usadas
para l a trasferenci a DMA. EI termi nal de entrada de requi sci n de bus
(Br?
) en el estado 1, es una peti ci n
al mi croprocesador para habi l i tar sus
buses. El mi croprocesador termi na l a ej ecuci n de su i nstrucci n presente
'
l uego col oca sus buses, i ncl uyendo l a l nea RD
(l ectura) y WR
(escri tura)
en un estado de al ta i mpedanci a. Cuando esto se hace, el procesador col oca
l a sal i da de bus garanti zado (BG)
en el estado 1. Durante el ti empo en que
BG
:
I el mi croprocesador estar i nacti vo y su bus i nhabi l i tado. El proce-
sador regresa a su operaci n normal despus de que l a l nea BR regrese a 0
haci endo regresar su l nea BG a 0 e i nhabi l i tando l os buses. La l nea de
requi si ci n de buses se denomi na al gunas veces comando de retenci n y l a
garanta de bus como un reconoci mi ento de retenci n (hol d
acknowl edge).
Tan pronto como BG: 1, el control ador DMA puede tomar el control
del si stema de buses para comuni carse di rectamente con l a memori a. La
trasferenci a puede hacerse para todo un bl oque de pal abras de memori a,
suspendi endo l a operaci n del procesador
hasta que sea trasferi do todo t' {
bl oque. La trasferenci a puede hacerse pal abra a pal abra entre ej ecuci ones
de i nstrucci ones del mi croprocesador. Tal trasferenci a se l l ama toma de
ci cl o (cycl e
steal i ng). El procesador sol amente demora su operaci n por
un
ci cl o de memori a para permi ti r l a trasferenci a I/O di recta de memori a
para tomarse un ci cl o de memori a.
Requi si ci n
de bus
AB US
DB US
RD
I4JR
Al t a i mpedanci a
( i nhabi l i t ado)
s i B G: I Garanta
de bus
Fi gur a 12- 17 Seal es de cont r ol par a l a t asf er enci a DMA
El control ador DMA necesi ta l os ci rcui tos usual es de una i ntercone-
xi n para comuni carse con el mi croprocesador. Adems necesi ta un regi s-
tro de di recci ones, un regi stro contador de bytes y un grupo de l neas de
di recci ones. El regi stro y l neas de di recci ones se usan para comuni caci n
di recta con el si stema RAM. El regi stro contador de pal abras especi fi ca el
nmero de pal abras que van a ser trasferi das. La trasferenci a de datos se
hace comnmente en forma di recta entre el di sposi ti vo peri fri co y l a me-
mori a baj o control del DMA.
La Fi gura 12-18 muestra el di agrama de bl oque de un control ador DMA
tpi co. La uni dad comuni ca con el mi croprocesador va el bus de datos y
Ineas de control . Los regi stros en el DMA son sel ecci onados para el mi cro-
procesador por medi o de unas l neas de di recci ones, habi l i tando CS
(sel ec-
ci n de past i l l a) y RS
(sel ecci n
de regi st ro). Las l neas RD y WR en el
DMA son bi di recci onal es. Cuando BG
: 0,
el mi croprocesador se comuni ca
con el regi stro DMA a travs de l a barra de datos para l eer o escri bi r en l os
Mi croprocesador
http://libreria-universitaria.blogspot.com
WR
BR
BG
l nt er r upci n
Bus de ci i recci ri n
Separadores
del bus
de di ecci n
Registro
de di recci n
Regi stro
de cuenta
de byt es
Registro de
cont rol
Puest a
a cero
Fi gur a l 2- 18 Di agr ama de bl oque del cont r ol ado DMA
r egi st r os DMA. cuando BG
:
l , el DMA puede
comuni car se di r ect ament e
con l a memori a especi fi cando
una di recci n en el bus de di recci ones y acti -
vando su control RD o wR. El DMA se comuni ca con un di sposi ti vo peri f-
r i co ext er no y a t r avs de l as l ' eas de r equi si ci n y r econoci mi ent o.
El control ador del DMA i ncl uye tres i egi stros: un regi stro de di recci n,
un regi stro contador de bytes y
un regi stro de control . El regi stro de di rec-
ci ones conti ene 16 bi ts que
especi fi can ra posi ci n
deseada n l a memori a.
Los bi ts de l a di recci n pasan
a travs cl e un separador de buses y
van a pa-
rar al bus de di recci ones,. El regi stro de di recci orres ,e i ncrementa
despus
de cada trasferenci a de byte DMA" El regi stro ctxrtador cl e bytes ut-' a""-
ra el
.nmero
de bytes que se van a trasfri r. Este regi stro se decrementa
despus de l a trasferenci a de cada byte y se compruebn i nternamente
l os
ceros. El regi st,ro de control especi fi ca el mcdo de trasferenci a, bi en sea
haci a l a memori a (escri tura)
o haci a afera cl e el l a (l ectura).
Todos l os
regi stros en el DMA actan para
el mi croprocesador
como una i ntercone-
xi n Iz' o' As, el procesador puede
l eer o escri bi r en i os regi stros DMA
baj o el programa
de control , va el bus de datos.
Ei DMA se i ni ci a pr i r ner o por
el mi cr opr ocesador .
Despus de el l o el
DM-A
-comi enza
y conti na l a trasferenci a de datos entre l a memori a y l a
uni dad peri fri ca
hasta que se trasfi era un bl oque compl eto. El proces
de
i ni ci o es esenci al ment e
un pr ogr ama que
consi st e ds i nst r ucci o. es
I , . . ( J
r t r ue i ncl uyen l a di r ecci on DMA
r ar a
sel ecci onar l <l s r egi st r os par t i cui ar es.
Lgi ca de
cont r oi
Requi si ci n DMA
Reconoci mi ent o DMA
Lectura
z"esci tura
571
http://libreria-universitaria.blogspot.com
572 DI SEO DEt STSTEMA DEL MI CROCOMPUTADOR
CAP. 12
El mi croprocesador i ni ci a el DMA envi ando l a si gui ente i nformaci n a tra-
vs del bus de datos:
1. La di recci n de comi enzo del bl oque de memori a donde l os datos es-
tn di sponi bl es (para
l ectura) o donde l os datos estn al macenados
(para
escri t ura).
2. La cuenta de byte, l a cual es el nmero de bytes en er bl oque de me-
mori a.
3. Los bi ts de control para especi fi car una trasferenci a de l ectura o
escri tura.
4. Un bi t de control para i ni ci ar el DMA.
La di recci n de comi enzo se al macena en el regi stro de di recci ones DMA.
La cuenta de byte, se al macena en el regi stro e cuenta de bytes DMA y
l os bi ts de control son al macenados en el regi stro de control dei DMA. un;
vez qre se i ni ci e el DMA, el mi croprocesador deti ene l a comuni caci n con
el DMA a. no ser que reci ba una seal de i nterrupci n o si ste qui ere
com-
probar
cuntos bytes han sido trasferidos.
La posi ci n
del control ador DMA entre otros componentes en un si s-
tema de mi crocomputador
se i l ustra en l a Fi gura 12-1g. El mi croprocesador
se comuni ca con el control ador DMA por medi o del bus de datos y di recci o-
nes como con l a uni dad de i nterconexi n.
El DMA ti ene sus propi a,
di rec-
ci ones l as cual es acti van l as l neas CS y
BS. El mi croproce.udo, i ni ci a el
DMA medi ante el bus de datos. IJna vez que
el DMA r""l b" el bi t de control
para i ni ci ar, puede
comenzar l a trasf' erenci a entre el di sposi ti vo peri fri co
y el si st ema RAM.
. Qual d_o
el di sposi ti vo peri fri co
enva una requi si ci n al DMA, el con-
trol ador DMA acti va su l nea BR para i nformar ai procesador
l a l i beraci n
del bus de buses. El mi croprocesador responde
con .u l nea BG i nformando
al DMA que sus buses estn i nhabi l i tados.
El DMA col oca entonces el val or
corri ente de su regi stro de di recci ones en el bus de di recci ones, i ni ci a l a
seal BD o wR y
enva un reconoci mi ento
DMA al di sposi ti vo peri fri co.
El di sposi ti vo peri fri co
col oca entonces un byte en el bus de datos
(para
escri tura) o reci be un byte del bus de datos (para
l ectura). As, el
DMA control a l a operaci n de l ectura y escri tura y *u-i ni .tra l a di recci n
para i a memori a. La uni dad peri fri ca puede
comuni carse entonces con el
!AN{
por medi o del bus de datos para l trasferenci a di recta entre dos uni -
dades mi e.tras que el procesador
se i nhabi l i ta normal mente.
Para cada byte que se trasfi ere el DMA i ncrementa su regi stro de di -
recci ones y decrementa su regi stro de cuenta de byte. si el regi si ro de cuen-
ta de byte no l l ega a cero, el DMA comprueba l a l nea de rqui si ci n que
vi ene del peri fri co.
Para un peri fri co
de al ta vel oci dad, esta l nea ser
acti vada una vez se haya compl etado l a trasferenci a previ a.
se i ni ci a en-
tonc-es una segunda trasferenci a
-y
el proceso
conti n hasta que
se haya
trasferi do
todo el bl oque. si l a vel oci dad
del peri fri co
".
-"rror, l a l nea e
requi si ci n
DMA puede presentarse
un poco
tarde. En este caso, el DMA
remueve l a l nea de requi si ci n
de bus e manera que
el mi croprocesador
pueda
conti nuar l a ei ecuci n del programa.
cuando el peri fri co
requi ere
una trasferenci a,
ei DMA sol i ci ta l os buses de nuevo.
http://libreria-universitaria.blogspot.com
Interrupcin
Control
de lectura
Microprocesador
BG
BR
Di rec-
D WR ciones Datos
Di ec-
RD llR ciones Datos
Control de escritura
Bus de direcciones
Requi si ci n DMA
RD WR Direc- Datos
ciones
CS
RS
Cont rol ado DMA
BR
BG
Dispositivo
perifrico
Lectua ,/escritura
I
t
I
l

t
t
ry
I
,
;
Fi gura 12-19 Trasf erenci a DMA en un si st ema de mi crocomput ador
si el regi stro contador de bytes l l ega a cero, el DMA deti ene cual qui er
trasferenci a posteri or y remueve l a requi si ci n de bus. Este i nforma tam-
bi n al mi croprocesador de l a determi naci n por medi o de una requi si ci n
de i nterrupci n. cuando el mi croprocesador responde a l a i ntei rupci n
DMA, l ee el conteni do del regi stro de cuenta de byte. El val or de cero de
este regi stro i ndi ca que todos l os bytes fueron trasferi dos sucesi vamente.
El microprocesador puede leer este registro en cualquier otro momento y
comprobar el nmeo de bytes ya
trasferi dos.
a7?
http://libreria-universitaria.blogspot.com
574 DI SEo DEL SI STEMA DEL MI c Roc oMFUTADoR
CAP, 12
L-n control ador DIVIA puede
tener ms de un canal . En este caso. cada
canal trene un par
de seal es de contror de requi si ci n/reconai r"i ;;;;
L) \ l A, r se conect an a di sposi t i vos per i f r i cos
sepr ado*.
au canal t i ene
rarn' r.i en
su regi stro de di recci n propi o y regi str de cuenta de byte dentro
ci er D\1A. una pri ori dad
entre l os cnal Ls puede
ser establ eci da e manera
que
l os canal es con mayor pri ori dad
sean sevi dos antes que l os canares
. i ' , n menor pr i or i dad.
La trasferenci a I)IVIA es muy ti l en muchas apl i caci ones cl el si stema
i re
ml crocomputador.
Esta se usa para
una trasferenci a rpi da de i nforma_
r i L) n eni r e l os di scos f l oppy o l os casset t es de ci nt a magnei i ca y el si st ema
RAM' Es ti l adems para
comuni caci n
con l os si steri as temi nal es i nte-
racti vos, que ti enerr pantal l as
cRT o con pantal l as
de tel evi si n usadas para
j uegos
de vi deo. Tpi camente
una i magen de l o mostrado en l a pantal
se
reti ene en una memori a que puede
ser
-renovada
baj o el control d' el procesa-
dor. El conteni do de l a
-memori a
puede
ser trasfei i cl o a l a pantal peri -
di camente por medi o de l a trasfereci a
DMA.
_
una apl i caci n potenci al para
el DMA, es en un si stema rnul ti procesa-
dor que forma una red de dos o ms procesadores.
La comuni caci n
entre
procesadores puede
mantenerse
con una memori a comparti da qrra prr""
ser accesada por todos l os procesadores.
El DMA ., ,r., -todo conveni ente
para
trasferi r i nformaci n
entre l a memori a comn y l os di ferentes proce-
sadores de l a red.
REFE RENCI AS
i ' Peatman, J- 8., Mi crocomputer-Based
Desi gn. Nueva
york:
McGraw-Hi l l
Book
Co. , 1977.
2. Kl i ngman, 8.K., Mi croprocessor
sysems Desi gn. Engl ewood cl i ffs, N.J.:
pren-
t i ce- Hal l . I nc. . 197?.
3. Hi l l burn, J. L. y P. N. Jul i ch, Mi crocomputers/Mi croprocessors:
Hard.wore,
software, and Appl i cati ons. Engl ewood
cl i ffs, N.J.:
prenti ce-Hal l .
Inc.. 19?6.
4. soucek, 8., Mi croprocessors
and Mcracomputers.
Nueva
york:
John wi l ey. c
Sons, 1976.
5. osborn, A., An Introducti on
to Mi crocomputers,
vol ume I: Basi c concepts.
pyr-
kel ey, Cal i f.: Adam Osborn and Associ ats,
19?6.
i . osborn, A., An Introducton
to Mi crocomputers vol ume 2: some Rear
products.
Berkel ey, Cal i f.: Adam Osborn and Associ ates, l g1?.
7. McGl ynn, D. R., Mi croprocessors
Technorogy,
Archi tecture and, Apprcati on-,.
Nueva York: John Wi l ey & Sons, Inc., 1926.
8. Intel 3()80 Mi crocomputer
sysferns IJser' s Manuol . santa cl ara, cal i f.: Intel
Corp., 1975.
wakerl y, J. F., "Mi croprocessor
Input/output
Achi tecture' ,. computer,
yol .
10, No. 2 ( f ebr er o,
19??) , pgs. 26- 33.
cosl ey, J.y s.vasa, "Bl ock rransfer wi th DMA Augments Mi croprocessor Effi -
ci ency". Computer Desi gn, Vol . 16, No. I
(enero,
tgi i l , pgs. St_Ab.
{
1
9.
10.
http://libreria-universitaria.blogspot.com
PROBLEMAS
l 2-1.
cul
es l a di ferenci a entre una RAM
y una ROM?
Qu
papel presta cada
,tttu an un sistema microcomputador?
l2-2.
Por
qu es el bus de datos en ia mayora de los microprocesadores
bidirec-
ci onal mi entras
que el bus de di recci ones es uni di recci onal ?
12-3. Los mi croprocesadores
se catal ogan tpi camente
como de 4, 8 i 6 bi ts.
Qu
si gni fi ca el nmero de bi ts?
12-4. un bus de datos de un mi croprocesador
ti ene 16 l neas
y su bus de rJi recci o-
nes conti ene fZ l neas.
Cul
es l a mxi ma capaci dad
de memori a
que puede
ser conectada
al mi croprocesador? cuntos
byl es se pueden al macenal en
l a memori a?
12-5.
cul
es l a di ferenci a entre un mi croprocesadcr
y un mi crocomputador? cul
es l a di ferenci a entre un mi crocomputador
de una sol a pasti l l a y una pasti l l a
mic roproc esadora?
12-6. consi dere un componente
LSI de 8 bi ts
(memori a o i nterconexi n) con termi -
nales de datos entrada
y salida separados
y ningn separador de buses
interno. I;sando .rrro. ."p".udores extrnos de tiestado, muestre cmo los
termi nal es de entrada
v
ti " del componente
deben ser conectados a un bus
de datos bi di recci onal .
12-?. Un mi croprocesador
de 16 bi ts ti ene un sol o bus de 16 bi ts, el cual est com-
partido para trasferir una direccin de 16 bits o una palabra de datos de 16
tit.. n"ptlqrr"
po. qu un retenedor de direccin externa o registro debe estar
entre el microprocesador
y las entradas de direcciones de la memoria' Formu-
l e un conj unto
posi bl e de seal es de control
para l a comuni caci n
entre el mi -
..opro...do.
y' lu *u,',oria. Haga una lista de la secuencia de trasferencias
put" uttu lectura o escritura de memoria'
12-8.
Qu
contendrn el regi stro acumul ador A y l os bi ts d condi ci n c
(arras-
tre), s
(si g:no), z
(""roi y v
(sobrecapaci dad) despus de cada u' a de l as si -
gui entes i nstrucci ones?
l val or i ni ci al del regi stro A en cada caso es
(?2)ro'
L,r-u
que todts los bits de condicin
se af'ectan despus de una operacin
ar i t mt i ca o l gi ca'
( a)
SUMAR el o r er ando i nmedi at o
( C6) , 0'
( b)
SUMAR el oper ando i nmedi at o
( 1E) ' o'
(c) Apl i car una funci n AND al operando i nmedi ato
(8D)' n'
(d) Apl i que una funci n OR-excl usi va
del acumul ador a s mi smo'
12-9. Especifique el nmero de bytes en cada instruccin
y haga la lista de la se-
cuncia de trasferencia def registro
que ejecuta las siguientes
instrucciones
de l a Tabl a 12-2.
(a) STA ADl6
(b) ADD FG
(c) SUB B
(d) rNR A
(e) JC ADl 6
Almacenar A directamente
Sumar con un registro
i ndi rectamente
Sustraer
B de A
Incrementar
A
M\ ADI 6l <-
A
A<- A+Mf FGl
A+- A- B
A+- A* l
Bi f ur caci n
si hay ar r ast r e Si
( C: 1) ent onces
( PC
*AI ) 16i
12,10. Repase l a l i sta de i nstnrcci ones de l a Tabl a 12-2 e i ndi que si l as i nstruccrc'
nes ocupan uno, dos o tres bYtes'
575
Qu
papel presta
http://libreria-universitaria.blogspot.com
576 oI SEo DEL SI STEMA DEL MI cRocoMPUTADoR
c AP. 12
12-11. La pri mera i nstrucci n
l i stada en Ia Tabl a L2-2 es una i nstrucci n
de movi -
mi ento que trasfi ere el conteni do de B a A.
cuntas
i nstrucci ones
equi va_
l entes hay para
trasferi r el conteni do del regi Jtro Rl a R2 donde Rt o.R2 es
uno de los registros_ A, B, C, D, E, F o G? El registro fuente puede
ser el mis-
mo que el registro de destino.
12-12. La Tabla 12-1 lista tres instrucciones
de sumar a A con diferentes modos de
direccionamiento. Expanda la tabla para que sta incluya ios siguientes mo_
dos de direccionamiento:
(a)
Di recci onami ento
de pgi na
cero.
(b)
Di recci onami ento
rel ati vo.
(c)
Di recci onami ento
i ndexado.
Haga la secuencia de las operaciones necesarias para procesar
cada instruc-
ci n.
I2-13. ql cdi go de operaci n de una i nstrucci n se al macena en el l ugar de memori a
(7128)r6'
El si gui ente byte de memori a conti ene (FB),0.
Dnde
debe estar
al macenado
el operando en l a memori a si l a i nstrucci n' i i ei e
el modo si gui en-
te de di recci onami ento?
(a)
Di recci onami ento
de pgi na
cero.
(b)
Di recci onami ento
de pgi na presente.
(c)
Di recci onami ento
rel ati vo.
12-14. Haga una lista de trasl'erencias
de memoria necesarias para procesar
una ins-
trucci n en modo de di recci onami ento
i ndi recto cuando l a i nstrucci n
es un
ti po de control (por
ej empl o, sal to i ncondi ci onal ).
cuntos
ci cl os de memo_
ri a son necesari os?
1l -i i . gunos mi croprocesadores
vi enen con una pi l a regi stro i nterno (capaci dad
\\nr\lrilr\ er\tru e \e
past\\\a
e\ mrcroproc*"do..btros conrienen un regis-
tro i ndi cador de pi l a con acceso a l a memori a para l a pi l a. Di scuta l as venta-
jas
y desventajas de cada configuracin.
12-16. Si usted est fami l i ari zado con una cal cul adora el ectrni ca que usa una pi l a
para evaluar expresiones aritmticas, explique cmo opera el mecanismo de
l a pi l a
cuando se cal cul a Ia expresi n 3 X 4
+
b X 6.
12-17' Una di recci n de regreso de subruti na puede
ser al macenada en un regi stro
ndice en vez de una pila. Discuta las ventajas y desventajas de esta onfi-
guraci n.
r2-18, La parte superior de la pila
contiene 5A y el siguiente byte hacia abajo de la
pila es 14 (todos
los nmeros estn en hexadecimal). El indicador de pila con-
tiene 3456. Una subrutina de llamado al lugar de instruccin 67AE (trs
bytes)
est l ocal i zada en l a di recci n de memori a 013F.
Cul es
son Ios conteni dos
del PC, SP y l a pi l a:
(a)
Antes de que l a i nstrucci n de l l amado sea ej ecutada?
(b)
Despus de que la instruccin de llamado sea ejecutada?
(c)
Despus del regreso de la subrutina?
(d)
Despus del segundo regreso de la instruccin de la subrutina seguida
de aquel l a en (c)?
12-19.
cmo
podra darse una secuencia a un programa que necesita dos pilas de
memoria mantenidas a travs del prngrama
con un microprocesador que tiene
solamente un indicador de pila?
http://libreria-universitaria.blogspot.com
\
PROBLEMAS 577
12-20.
Cul
es Ia diferencia fundamental entre el llamado de subrutina
y una requi-
sicin de interrupcin?
Es
posible usar una pila de memoria comn
para
ambos?
12-21. Un microprocesador responde a una requisicin de interrupcin insertando
a la pila no solamente [a direccin de regreso sino tambin el contenido del
regis[ro procesador que puede ser afectado mientras se atiende la interrup-
ci n.
(a) Haga una lista de aquellos registros de Ia Figura 12-5 cuyos contenidos
deben ser insertados hacia la pila.
(b)
Cuntos
ciclos de memoria se tomaran ahora para ejecutar una requi-
si ci n de i nterrupci n?
12-22. Obtenga el circuito del codificador de prioridad de cuatro entradas cuya ta-
bla de verdad se especifica en la Tabla 12-4'
12-23. Derive la tabla de verdad de un codificador de prioridad de 8 entradas.
12-24. Especi fi que l as cuatro di recci ones vector
(en hexadeci mal )_cuando
r y y de
la Tabla 12-4 son los bits 4 y 5 del byte de menor orden. Todos los dems bits
del byte son 0. El byte de mayor orden es siempre FF.
lZ-25.@) iCuntas
pastillas RAM de 128X8 son necesarias para configurar una
memoria con capacidad de 2.0'A bytes?
(b)
Cuntas
lneas del bus de direcciones
pueden ser usadas para accesar
' '
).0A bygs de memoria?
Cuntas
de estas lneas sern comunes a todas
las pastillas?
(c)
Cuntas
lneas deben ser decodificadas
para la seleccin de pastilla?
Especifique el tamao de los decodificadores.
12-26. un microprocesador usa pastillas RAM de una capacidad de 1.024 x 1.
(a)
Cuntas
pastillas son necesarias y cmo podran conectarse sus lneas
de direcciones
para conformar una capacidad de memoria de 1.024 bytes?
(b)
Cuntas
pastillas son necesarias
para conformar una capacidad de me-
moria de 16K bytes?
12-27.tJna
pastilla ROM de 1.024 X 8 bits tiene cuatro entradas de seleccin
y opera
.or, .r" fuente de poder de 5 voltios.
Cuntas
patillas son necesarias
para
una pastilla de CI? Dibuje un diagrama de bloque y asigne nombres a los ter-
minales de entrada
y salida de la ROM.
12-28. Expanda el sistema de memoria de la Figura 12-13 a 4.096 bytes de RAM
y
.090 bytes de RoM. Haga la lista del mapa de direcciones de memoria e in-
dique qu tamao de decodificadores son necesarios.
l2-zg.Vn microprocesador usa una
pastilla RAM de 25q_X 8 y pastillas ROM de
i.OZ x A. tst sisie-a " -i.tofro"esador
necesita 2K bytes de RAM, 4K bytes
de ROM
y cuatro unidades e interconexin, cada una de cuatro registroa.
Se usa una confi guracnl /O
con mapa de memona.A l os dos bi ts de ma-
vor
ore" del bus-de direcciones se les asigna 00 para la RAM, 01 para la RoM
y l0 para los registros de interconexin.
(a)
Cuntas
pasti l l as RAM
y ROM son necesari as?
(b) Dibuje un mapa de direcciones de memoria para el sistema'
(c) D un rango de direcciones en hexadecimal
para la RAM, ROM
y la inter-
conei n.
'J
http://libreria-universitaria.blogspot.com
F
578 DI SEO DEL SI S' TEMA DE - . MI CROCOMPUTADOF cAP. 12
12-30. t , n mi croprocesador de 8 bi t s t i ene un bus de di recci ones de 16 bi t s. Las pri -
meras 15 l neas de i a di recci n son usadas para sel ecci onar un banco de me-
mori a de 32K byt es. Los bi t s de mayor orden de l a di recci n se usan para
sei ecci onar un regi st ro que reci be el cont eni do del brs de dat os. Expl i que
cmo se puede usar est a conf i gi raci n para ext ender l a capaci dad de memo-
ri a del si st ema a 8 bancos de 3K byt es cada una para un t ot al de 256K byt es
de memori a
12-i 11, La i nt erconexi n de i a Fi zura 12-14 se conect a a un t us de di ecci ones de un
mi croprocesador. Ei regi st ro de dat os del
puert o
A es sel ecci onado con una
di recci n hexadeci mal XXXC, donde l as X pueden ser cual qui er nmero.
(a)
Cmo
deber an ser conect adas l as l neas de di recci ones al t ermi nal de
ent r ada
( CS)
de sel ecci n de past i i i a?
(b)
Cul es
son l as di recci ones hexadeci mal es que sel ecci onan l os ot ros dos
regi st ros en l a i nt erccnexi n?
12-32.
Cul
es l a di f erenci a ent re t rasf erenci a di rect a y una t rasf erenci a con en-
I ace en una rnt erconexi n peri f ri ca
en paral el o?
l 2-33.
Cul
es l a di f ' erenci a errt re una t rasf erenci a en seri e si ncrni ca y asi ncrni -
ca de i nf orr. . raci ri n en l neas de comuni caci n de l arga di st anci a?
12-34. Consi dere i a posrbi l i dad de conect ar un nmero de mi croprocesadores a un
grupo de buses de dat os y di recci ones comunes.
Cmo
se puede est abl ecer
una trasferencia de informacin ordenada entre los microprocesadores y la
memori a comn?
http://libreria-universitaria.blogspot.com
Ci rcu i tos
d i g i ta Ies
i nteg ra d os
.
' ; l ; at '
: l ' ;
:ri-i:
:
13- 1 TNTRODUCCTON
Fl ci rcui to i ntegrado (cI)
fue i ntroduci do
en l a Secci n 1-9, y l as di feren-
tes fami l i as di gi tal es
fueron di scuti das
en l a secci n 2-g. Este captul o pre_
senta l os ci rcui tos el ectrni cos
bsi cos en cada fami l i a-i ogi ca
ai gi tat i t
v
anal i za
su operaci n
el cti ca.
se asume un conoci mi ento
bsi co de el ec_
t rni ca.
Las fami l i as l gi cas
di gi tal es
de cI son consi deradas
aqu como:
DTL
12L
TTL
ECL
MOS
CMOS
Semi conductor
de xi do de metal compl ementado
Los dos pri meros,
RTL y DTL, ti enen soramente
si gni fi cado
hi stri co,
ya que
se usan muy raramente
en nuevos
di seos. La RTL fue l a p.i me.a
fami l i a
comerci al que
fuera usada extensamente.
se i ncl uye
aqu, porque
re-
presenta
un punto
de parti da
ti l para
expl i car l as operci o' es
bsi as de
l as compuertr_s
di gi tal es. Los ci rcui tos DTi han .i " i "-pi "zados gradual _
mente por
TTL. De hecho, l a TTL es una modi fi caci "
a" i u' "*puerta
DTL.
La operaci n
de l a compuerta
TTL ser ms fci l "
""i u""i
despus de ha_
ber di scut i do l a compuel t a
DTL. Las caract er st i cas
d; i i i ; ECL y
cMos
fueron presentadas
en l a secci n 2-g. Esas fami l i as
ti enen un gran
nume_
ro de ci rcui t os
MSI y LSI . I ' L y
MoS se usan pri nci pal menre
para
cons-
trui r funci ones LSI.
El ci rcui to
bsi co de cada faqi -l i _a l gi ca di gi tal de cl es una compuerra
NAND o NoR. Este ci rcui to es el bl oqu! pri nci pal
de const"rr"ci n
dei cual
RTL
Lgi ca de
Lgi ca de
Lgi ca
de
Lgi ca
de
Lgica de
transi stor y resi stenci a
transi stores y
di odos
i nyecci n
i ntegrada
transi stor y
transi stor
emi sor acopl ado
Semi conductor
de xi do de metal
579
http://libreria-universitaria.blogspot.com
5N CI RCUI TOS I NTEGRADOS DI GI TALES
Ent ra-
das
cAP. 13
se pueden obtener funci ones ms compl ej as. Un retenedor ,RS se construye
con dos compuertas NAND o dos NoR conectadas col a a col a. un fl i p-fl op
maestro-escl avo se obti ene de l a i nterconexi n de cerca de di ez compuertas
basi cas. un regi stro se obti ene de l a i nterconexi n de fl i p-fl ops y
compuer-
tas bsi cas. cada fami l i a l gi ca de cI ti ene di sponi bl e un catl ogo di l os
gmpos
de ci rcui tos i ntegrados que
conti enen vari as funci ones l gi cas di gi -
tai es. Las di ferenci as en l as funci ones l gi cas di sponi bl es de cada fami l i a
i gi ca, no son tan acentuadas en l as funci ones que l ogran, como en l as ca-
actersti cas especfi cas de l a compuerta bsi ca de l a cual se ha construi do
l a f unci n.
Las compuertas NAND y NoR se defi nen normal mente por l as funci o-
nes de Bool e que
confi guran en trmi nos de l as vari abl es bi nari as. Cuando
se anal i zan como ci rcui tos el ectrni cos, es ms conveni ente i nvesti gar sus
rel aci ones de entrada-sal i da en trmi nos de dos ni vel es de vol taj e: un ni vel
ol to (H) y un ni vel baj o (L), (ver
Fi gura 2-10). Las vari abl es bi nari as toman
Ios val ores 1 y 0. cuando se adopta l a l gi ca posi ti va, se asi gna el val or de
I al ni vel de vol taj e al to y el bi nari o 0 al ni vel de vol taj e baj o. Aparti r de l a
tabl a de verdad de una compuerta NAND de l gi ca posi ti va,
." d"dn"" .,
comportami ento en trmi nos de ni vel es al tos y baj os, como se establ ece en
l a Fi gura 13-1. El comportami ento correspondi ente de l a compuerta NoR
se establece en la misma figura. Estas proposiciones
deben sei recordadas,
)' a
que se usarn durante el anl i si s de todas l as compuertas en este ca-
p t ul o.
Las di ferentes fami l i as l gi cas di gi tal es son usual mente eval uadas
comparando l as caractersti cas de l a compuerta bsi ca en cada fami l i a. Las
caractersti cas ms i mportantes fueron di scuti das en l a Secci n 2-g. Estas
se l i stan aqu como referenci a.
x y
LL
LH
HL
HH
Ent ra-
das
Sal i das
Sal i das
H
H
H
L
Compuerta NAND
(a)
Si cualquier entada est baja
(L)
su sal i da ser al t a
(H).
(b)
Si odos las entradas estn altas
(H)
l a sal i da est ar baj a (L).
,-l-L'
Compuerta NOR
(a)
Si cual qui er ent rada es al t a (H)
Ia salida es baja (L).
(b)
Si ods las entradas son bajas (L),
l a sal i da es al t a
(H).
L
L
L
' --'
v-1-/
x y
LL
LH
HL
HH
Figura 13-l Condiciones de entrada y salida para
las compuertas NAND y NOR de lgica positiva
http://libreria-universitaria.blogspot.com
sEc. 1 3-2
CARACTERI STI CAS
DEL TRANSI STOR
BI POLAR
581
l . tr' an-out o capaci dad de carga, especi fi ca er nmero
de cargas nor-
mal es que pueden
acci onarse co.t i a sal i da de l a compuertai i n
me-
noscabo de su operaci n normal . una carga normal se defi ne como
Ia corri ente que fl uye en l a entrada de ,r.ru
"o-p.rerta
de l a mi s-
ma fami l i a.
2. Di ' si paci n
de potenci o
es l a-potenci a
consumi da por
una compuerta,
l a cual debe ser sumi ni strada por
l a fuente de poder.
3. Retardo de propagaci n
es el ti empo de retardo de transi ci n pro-
medi o para que l a seal se propague
de l a entrada a l a sal i da, cuan-
do l as seal es cambi an en val or.
4. Margen de rui do es el l mi te del vol taj e de rui do que puede
estar
presente
si n menoscabo de l a operaci n adecuada dei ci rcui to.
El transi stor de
j untura
bi pol ar (BJT),
es el transi stor fami l i ar de
j un-
tura npn o pnp.
En contraste, el transi stor de efecto de campo (FETi ,
se
di ce que
es uni pol ar. La operaci n del transi stor pol ar
depenl aer fl uj o de
dos ti pos de portadores:
el ectrones y huecos. un i ransi str
uni pol ar depen-
de del fl uj o de un ti po de portador
mayori tari o que pueden
ser el ectrones
(canal
n) o huecos
l cg1al rl ._Las_pri meras
ci nco fami l i as l gi cas l i stadas
previ amente,
RTL, DTL, TTL, ECL e I2L, usan transi stores
i pol ares. Las
l ti mas dos fami l i as l gi cas Mos y
cMos usan un ti po de transi stor uni -
pol ar l l amado transi stor
de efecto de campo semi conductor
de xi do de me-
tal , abrevi ado MoSFET o Mos como apstrof' e.
Se comenzar descri bi endo
l as caractersti cas
del tansi stor bi pol ar y l as compuertas bsi cas usacl as
9l ^l T
fami l i as l gi cas bi pol ares. Se expl i car l a operaci n del transi stor
MOS en asoci o con sus dos fami l i as l gi cas.
13- 2 CARACTERI STI CAS
DEL
TRANSI STOR
BI POLAR
Esta secci n est dedi cada al repaso del transi stor bi pol ar, como se apl i ca
a ci rcui tos di gi tal es. Esta i nformaci n
se usar para
t unl i ri r del ci i cui -
to bsi co en l as ci nco fami l i as l gi cas bi pol ares. Los transi stores bi pol ares
pueden
ser del ti po
?pl
o pnp.Adems,
l l os estn construi dos
"o'
g.r-"-
ni o o materi al de si l i cn semi conductor.
Los transi stores cI, si n
"-Tu.go,
son hechos con si l i cn y
son comnmente del ti po npn.
Los datos bsi cos necesari os para
el anl i si s de tos ci rcui tos di gi tal es,
pueden
ser obteni dos por i nspecci n de l as curvas tpi cas caractersti cas
del transi stor de si l i cn npn de emi sor comn, mostrao en l a Fi gura ts-i .
El ci rcui to en (a)
es un si mpl e i nversor
con dos resi stenci as y un transi s-
tor. La corri ente marcada r. fl uye a travs de Ia resi stenci a
R" y
el co-
l ector del transi stor. La corri ente 1, fl uye a travs de l a resi si enci a
R,
y l a base del transi stor. El emi sor se conecta a ti erra y su corri ente Ir
!
I,
*
Io' El sumi ni stro de vol taj e est entre vrc y ti ei ra. La entrada es-
t en-tre V, y ti erra. y l a sal i da entre V, y
ti erra.
-
se asume una di recci n posi ti va para l as corri entes de l a manera i n-
di cada. Esas son l as di recci ones en l as cual es fl uye l a corri ente normal men-
t
I
I
I

t
It
il
http://libreria-universitaria.blogspot.com
( a)
Ci r cui t o i nve sor
( b)
Car act er i st i ca r l e l abase
de t . r ansi st or
Fi gur a 1l ) - 2
( l : r r act er i st i r : as
ci el
(c

aractersti ca
del col ector
del t r ansi sl or
t ansi st or
de si i i cn npn
t c
( mA.
0, 6
0, 5
u, 4
l'c
('
R;
0.:i
It
: fi,Z
mA
V' .r, (V)
t e en un t r ansi st or npn. Las cor r i ent es
de col ect or y
base, I " e 1, son
posi ti vas
cuando fl uyen ar transi stor.
La corri ente
de
"-r.o,
.I, es posi -
trva c*ando fl uye fuera del tansi stor,
cre l a manera ;;*; .r" i nel i ca pr.rr i a
fl eci i a e' el termi nal cl el er' i sor" El srnbol o v;." si gni d;a i a cui aa de vol -
t a- i e dei col ect or al emi sor y
es. si empr e posi t i va.
cor r esp. ndi ent ement e,
\ ' " , , es l a ca da de vol t aj e- en
l a
j u' t u.
base emi sor - st a j unt ur a
se
pol ari za
di rectarncnte
cuando
vnr, *u posi ti vo.
s. p"i "ri
i nversamente
cuando l r' r, es negatrvo.
La caractersti ca grfi ca
base emi sor se muestra en l a Fi gura
rB-2(b).
Esta es-una grfi ca
de v"r, versus 1,,. si el vol taj e bure
"-i .o.
es meni l r
que
0, 6 v' - se- di ce que
el t r ansi st or est en cor t e y no f l uye cor r i ent e de ba-
se. c' uando l a
j untura
base emi sor est pol ari da
i re"t"*errte
con un
vol t aj e mayor que
0, 6 v, ei t r ansi st or
condu
" u
u f o' , " *; u" r u a subi r m' y
rpi do,
mi e-ntras
eue
.v
cambi a muy poco.
El vol taj e i ",
"
travs der
transi stor
de conducci n
raras veces xede 0,g V.
J D)
Las caractersti cas grfi cas
coi ector emi sr-r, conj untamente
con l a l i nea
de car ga se muest r an
en l a Fi gur a 13- 2( c) . cuancl o ; ,
" r
*" " or que
( ) , 6
v.
el transi stor
est en corte cotr 1,
:
0 y
fl uye una
"o."""t"
Aespreci abl e
en
582
http://libreria-universitaria.blogspot.com
sEc. r 3-2 CARACTERI STI CAS DEL TRANSI STOR BI POLAR 583
el col ector. El ci rcui to col ector emi sor se comporta entonces como un cl r-
cui to abi erto. En l a regi n actua, el vol taj e de col ector V", puede estar
entre 0,8 V y V... La corri ente de col ector I" en esta regi n puede ser
cal cul ada para ser aproxi madamente i gual a l "hrr, donde h., es un pa-
rmetro del transi stor l l amado l a gananci a de corrente dc. La corri ente de
col ector mxi ma no depende de Iu, si no del ci rcui to externo conectado al
col ector. Esto es debi do a que Vc es si empre posi ti vo y su menor val or
posi bl e es 0 V. Por ej empl o, en el i nversor mostrado, se obti ene el mxi mo
1, ' haci endo Vrr: 0 para obt ener I
:
Vsg
/ R.
Se ha est abl eci do que
4: hrI a
en l a regi n act i va. EI
Lt rmet ro
ho" vari a ampl i amente sobre el rango de operaci n del transi stor,
;*;1
sg
an ti l de usar un val or promedi o para propsi tos de ari :r' s- fl ,rr un
rango de operaci n tpi co, hp, es al rededor de 50, pero baj o ti eri a:; condi -
ci ones podra baj ar hasta 20. Se debe tener en cuenta que l a cc"' i ente de
base 1, puede aumentarse a cual qui er val or deseabl e, pero en Ia corri ente
de col ector 1. est l i mi tada por parmetros de ci rcui tos externos. Cq.,mo
consecuenci a. se puede l l egar a una si tuaci n donde herl " es mayor que
I c. Si est a condi ci n exi st e, se di ce que el t ransi st or est en l a regi n de
saturacn. fu, Ia condi ci n para saturaci n se determi na a parti r de l a
rel aci n:
1."
t , >
donde 1.. es Ia mxi ma corri ente de col ector que fl uye durante l a satu-
r aci n. l . o no es exact ament e cer o en I a r egi n de sat ur aci n, per o nor -
mal ment e est cer ca de 0, 2 V.
Los datos bsi cos necesari os para anal i zar ci rcui tos di gi tal es de tran-
si stores bi pol ares son l i stados en l a Tabl a 13-1. En l a regi n de corte, Vo.
es menor que 0,6 Y, V", se consi dera un ci rcui to abi erto y ambas corri en-
tes son despreci abl es. En l a regi n acti va, V' u" est cerca de 0,7 V, V(.E
puede vari ar en un rango grande e 1. puede
cal cul arse como funci n de .Iu.
En l a regi n de saturaci n, Vru a duras penas cambi a pero Vr" cae a
0,2 V. La corri ente de base debe ser l o sufi ci entemente grande para sati s-
facer l a desi gual dad l i stada. Para si mpl i fi car el anl i si s, se asume que Vrn,
: 0, ?
V si el t r ansi st or est conduci endo, bi en sea en l a r egi n act i va o de
sat ur aci n.
Tabl a 13- 1 Par met os t pi cos de un t r ansi st or de si l i cn npn
Regi n Vae(Y)' vcs (Y)
Rel aci n corri ent e
Cor t e
Act i va
Sat uraci n
< 0, 6
o6- o7
0,7
-
0.8
Ci rcui to abi erto
> 0,8
0, 2
I s : l r - Q
I
=
hpsls
Ia > Ics/hFE
*Se
asume que Bo, es 0, 7 V si el t r ansi st or est conduci endo en l a r egi n acr i va , r
de sat ur aci n.
http://libreria-universitaria.blogspot.com
5U CI RCUI TOS I NTEGRADOS DI GI TALES
Rc : I k Q
Rs: 22k Q
h",
:
50
Vcc
:
5 V (vol taj e
de sumi ni stro)
H
:
5V (vol t aj e
deal t o ni vel )
L
:
0,2V (vol taj e
de baj o ni vel )
cAP. 13
El anl i si s de l os ci rcui tos di gi tal es puede
tomarse usando un procedi -
mi ento prescri to: para que cada transi stor en el ci rcui to determi ne si su
v' es menor que 0,6 v. si es as, el transi stor est en corte y
el ci rcui to
col ector emi sor se consi dera un ci rcui to abi erto. Si vr" es mayor que 0,6
V, el transi stor puede estar en Ia regi n acti va o de sturaci n. cai cl ese
l a corri ente de base, asumi endo
eue
Vr,
:0,2
V. Luego cal cul e el val or m-
xi mo posi bl e de l a corri ente de col ector 1.., asumi endo V",
:
0,2 V. Estos
cl cul os estarn en trmi nos de l os vol taj es apl i cados y l os val ores de l as
resi stenci as. Entonces, si l a corri ente de base es l o sufi ci entemente grande
para que Iu 21",
/hr,
se deduce que el transi stor est en l a regi n de
saturacin con v.u
:
o,2 v. sin embargo, si ]a coniente de base es meno y
la relacin anterior no se satisface, el transisto est en la regin activa y
se recal cul a l a corri ente de col ector 1" usando l a ecuaci n I":hrrl ;.
Para demostrar l o anteri or con un ej empl o, consi drese el ci rcui to i l -
versor de l a Fi gura 13-2(a) con l os si gui entes parmetros:
Con el vol t aj e de ent rada V, : L: 0, 2 V, se t i ene que Vr, < 0, 6 V y el
transi stor est en corte. El ci rcui to emi sor col ector se comporta como un
ci rcu_i t o abi ert o; de manera que
el vol t aj e de sal i da V": 5 V=I i .
.
C_on el vol taj e de entrada V,
:
H: 5 V, se deduce
eue
V, > 0,6 V. Asu_
mi endo que
Vr,
: 0, 7
se cal cul a l a coni ent e de base:
, ^
-
V'
-
V"":
! --o-7
-D
Rs zrd:
o' 195 mA
La corri ent e mxi ma de col ect or, asumi endo Vc: 0, 2 V es:
, - _- Vr r - Vr r - 5- o2
LD
Rc f f i : 4' 8mA
Se comprueba entonces l a saturaci n:
0, 1e5
:
, " ,
E:
#:
o, oe6mA
y-se
encuentra que l a i necuaci n se sati sface ya que
0,195> 0,096. se con-
cl uye que
el t ransi st or est sat urado y que el vol t aj e de sal i da v. : v"":
0,2 V: . As el ci rcui to se comporta como un i nversor.
El procedi mi ento
descri to anteri ormente ser usado de manera extensa
durante el anl i si s de l os ci rcui tos en l as si gui entes secci ones. Esto se ha-
r por medi o del anl i si s cual i tati vo, es deci r, si n escri bi r l as ecuaci ones
numri -cas
-especfi cas.
El anl i si s cuanti tati vo y l os cl cul os especfi cos
sern dej ados como ej erci ci os en l a secci n de pi obl emas,
al fi nai de este
captulo.
http://libreria-universitaria.blogspot.com
SEC. l 3- 3
C| RCUI TOS
RTL y DTL Sgs
Hay ocasi ones
donde
no sol amente
fos tansi stores,
si no tambi n
ros
diodos se usan
""
r?:^:,^._r"_-".
iqi;;lq;.
U" aio
J;;'."";""struye
de un transi stor
con el col ector
conectao
a l " ".",
"o;;";;;;.a
en l a Fi gura
13-3(a)'
El smbol o
usado putu
"i -i oao
se muesta
en l a Fi g' ra
rB-3(b).
El diodo se comporta
esenciaiment.
""-"
;
il;;;;;;"'"-i'.o.
de un rran- si stor.
La caractersti ca
grrfi ;;--;.t*da
e-n l a Fi gura
1B_B(c),
es si mi l ar
a l a caractersti ca
de un i .""ri rt"..
e*pued"
"o""i ;i ;;;;r,"",
qu"
el di o_ do est inactivo
v no condu"i.;a;
.;;d"
;, ;;i;;
" l"ii""
de polariza-
ci n di recta,
vr, es menor que
0,6 v. cuando
er di odo
conduce,
ra corri en-
te I, fluve
en la direccin
mostaa"
""
r" ig"*-ildi,']
u,
o"r*".,""" ceca de 0,7 V' Se debe agregar
.,"" ti "."ncra
extena para
l i mi tar
l a co- rri ente
en el di odo
.que."o""a".,
vu-l u. su vol taj e permanece
bastante
constante
como fracci n
de vol ti o.
ID
( mA)
(a)
Tansistor
adaptado para
usase como diodo
ID
-
lo-+{-o 2
+, ,
vD
(b)
Smbolo grfico
del diodo
vD( v)
(c)
Caactestica
del diodo
{
l
:

I
Fi gura
l B-3 Smbol o del di odo de si l i cn y caractersti cas
13- 3
CI RCUI TOS
RTL Y DTL
Compuert a
bsi ca
RTL
El ci rcui to
brsi co de.ra fami ri a
l gi ca
di gi tal
RTL es l a compuerta
NoR mos-
trada en l a Fi gura
13-4. cada
"""tr"r-
se asoci a con una resi stenci a
y
un
transi stor.
Los col ec.tores
de l os transi stores
estn conectados
a l a sal i da.
Los ni vel es
de vol taj e para
el .i t""i i o .o" 0,2 v para
el ni ver baj o y
de 1 a
3, 6 V para
el ni vel al t o. -
El anl i si s
de l a compuerta
RTL es muy si mpre y
si gue el procedi mi en-
to esbosado
en la seccin
anterior.
si- c,ruiq,,,i".
ur,.u'u-"
lu compuerta
RTL es al ta. el correspondi ente
transi stor
se l l eva a satuaci n.
Esto cau_
sa que
l a sal i da sea baj a i ndependi entemente
de l a entrada
de l os otros
transi stores.
si todas l as entrdas
estn ur;., ,2 v' ,^' l *i .u.r.i .tor
esta_
r en corte, poreue
VBE
<0,6 V. Esto
""rrr"
que
l a sal i da
del ci rcui to
sea
al ta hasta u"l rc.."
ai ".raror
d"i ;I"t".j "
de sumi ni s
tro vr". Esto confi rma
l as condi ci ones
esrabl eci das
en l f-i -
rB_l para
l a compi uerta
NOR.
No_
tese que
el margen
de rui do para
ra
"tr"u
a" I"n"l ' ""' "Jru -
o,r: 0.4 \-.
http://libreria-universitaria.blogspot.com
vr ,
=
3, 6Y
Y= ( A+ B+ Ct '
Fi gura 13-4 Compuert a NOR bsi ca RTL
La capaci dad de carga
(fan-out)
de l a compuerta RTL est l i mi tada al
val or del vol taj e de sal i da cuando est al to. A medi da que l a sal i da est car-
gada con l as entradas de otras compuertas, se consume ms corri ente por
l a carga. Esta corri ente debe fl ui r a travs de Ia resi stenci a de 640 o. Un
cl cul o si mpl e
(ver
Probl ema 13-1) demostrar si h" cae a 20, el vol taj e
de sal i da caer a 1 V ms o menos cuando l a capaci dad de carga es 5. Cual -
qui er vol taj e por debaj o de 1 V en l a sal i da, pudi era no acci onar el si gui ente
transi stor en saturaci n como se requi ere. La di si paci n de potenci a de Ia
compuerta RTL es cerca de 12 mW y el retardo de propagaci n promedi a
25 ns.
Compuer t as bsi cas DTL
El ci rcui to bsrco en l a fami l i a l gi ca DTL es l a compuerta NAND mostrada
en Ia Fi gura 13-5. Cada entrada' est asoci ada con un di odo. Los di odos y
l a resi stenci a de 5 kQ forman una compuerta AND. El transi stor si rve
5k a
Pnt
D)
586
Fi gura 13-5 Compuert a NAND bsi ca DTL
http://libreria-universitaria.blogspot.com
SEC. 13- 3
C| RCUI TOSRTT; Dr r
Eg. ,
como ampl i f i cador
de cori ent e
mi ent ras que i nvi ert e l a seal di gi t ai . Los
dos ni vel es son 0, 2 v para
el ni vel baj o y ent re 4 y
b v para
er ni ' ei ai t o.
El anl i si s de l a compuert a DTi debe est ar "
""ri ".
a l as condi ci o_
nes l i stadas
en l a Fi gurg
i 3-1 para
l a compuerta
NAND.
Si cuarqui er
com-
puerta
de entrada
est baj a, e.r 0,2 v, el corresp""Ji ""t"i odo
conduce Ia
cori ente
a travs de !' i . y l a resi stenci a
de 5 K o de entrada. El vol ta-
j e
en el punto
P es i gual a1 vol taj e de entrada d,e 0,2v -e. u"u cada de di o_
do de 0,? V. para
un total de 0, v.
para
que
el transi stor
comi ence a con-
duci r, el vol taj e en el punto
p
debe .ob."paru,
r; p";;;;;l
de una cada
Vuo en
Q1ms
dos ca das en l os di odos Dt -y D2, f r: 1, 8
V. Como el
vol taj e en P se manti ene
en
_0,g
v po.
el di ,odo e e.rtrada que
conduce, el
t ransi st or
est ar en cort e y el vol t aj e de sal i da est ar al t , en b v.
si todas i as entradas
de l as compuertas
son al tas, el transi stor
se l l eva
a l a regi n
de saturacj g"-
ELvol taj e
de
p
es atro.u rguaf u v", ,.a, l as dos
cadas a travs de ros_ di odos D 1 y ti 2, 0,7
x B
:
2,1 vl como todas l as entra_
das est n al t as en s V y
Y"
: 2, i Y, l os
di odos e "t raJu re-pol ari zan
i nver_
samente y
dej an de conduci r. La corri ente de base es i guaf a l a di ferenci a
de co-rri entes que
fl uyen en l as dos resi stenci as
de 5;i ; y
es sufi ci ente
para
l l evar el t ransi st or a sat uraci n (ver
probl ema
t t -t . ' b""
el t ransi st or
saturado, l a sal i da cae a v,., de 0.2 v, l o cual es un ni ver bao pa.a
l a com-
puerta.
I , a
di si paci n de, poder
de-una compuert a DTL es cerca de 12 mwy el
retardo
de propagaci n
promedi a
30 ns. El margen d" .rri do u. cerca de 1 V
y l a capaci dad
de carga es tan al ta y
cercana a
g
como sea posi bl e.
La capa-
ci dad de carga de l a compuerta DTL est l i mi tadu po, tu i ri ente mxi ma
que puede
fl ui r en el col ector del tansi stor saturadb (ver
probl ema
13_B).
I-
a capaci dad de carga de l a compuerta DTL puede
ser aumentada
cam-
bi ando uno de l os di odos en el ci rcui fo base por
un transi stor
como se mues-
ta en l a Fi gura 13-6. rl :l
transi stor
el
se manti ene en l a regi n acti va cuan-
do el transi stor de sal i da
e2
se satura. como
"o.rr"".r""rr"i a,
el ci rcui to
modi fi cado puede
supl i r una canti dad de corri ente de base mayor al tran-
\ ' =
( AI t C) '
f
t
l
:
I
l

I J
I
CI RCUI TOS
Fi gur a l 3- 6 Compuer t a
modi f i cade DTL
http://libreria-universitaria.blogspot.com
588 CI RCUI TOS I NTEGRADOS
DI GI TALES
CAP. 13
si stor de sal i da. El transi stor
de sal i da puede soportar una canti dad ma-
yor
de corri ente del col ector antes de i r a saturaci n. Parte de l a corri ente
de col ector vi ene de l os di odos conductores
en l as compuertas de carga
cuando
Q2
est saturado. As, un aumento de l a corri ente saturada perm"i -
-.i bl e de c-ol ector permi te
ser conectada con ms carga a l a sal i da, cual
aumenta
l a capaci dad
de carga (fan_out)
de l a compu"erta.
Lgi ca de hi gh- t hr eshol d
- HTL
Hay ocasi ones cuando l os ci rcui tos di gi tal es deben operar en un ambi ente
que produce
seal es de rui do muy al ts.
para
operar en tal es ci rcunstan-
ci as, hay una cl ase-de_ compuerta,
ti po DTL, i .po"i q"e posee
un um-
bral al to de i nmuni dad
al .rui do. Es,t9' ti po
de compuerta ,e' l l u*u compuerta
l gi ca de umbral atto (hi gh-threshol d-l ol i "l
tfff
l j
La compuerta
HTL se muestra
en l u Fi gr.^ s-r. comparndol a
con l a
compuerta
DTL modi fi cada_de
l a Fi gura 13-6, se nota que
el sumi ni stro
de vol taj e se ha el evado a 15 V y que.J.rru,rr,
i odo z"nei (z)
en vez de un
di odo normal .
El di odo Zene. i i ene l a caractersti ca
de mantener un vol ta-
j e
constante de G,9 V cuando se pol ari za
i nversamente.
Para que
l a sal i d-a de
e2
conduzca, el emi sor de
e
1 debe i l evarse
a un
potencial
de una cada v"" ms el voltaje fijo del zeier de 6,9 v, para
un
total de 7,5 V. El ni vel baj o para
l a compuerta permane
ce en 0,2 Vj pero
el
ni vel al to es cerca de l b V. con l a entraha d,e ti ,z v, l a base de
e1' st
en
0,9 V y
Q2
est en corte. La seal de rui do debe ser -uvoi q"" T,b v para
cambi ar el estado de
Q2.
con todas l as entradas en 15 V, el transi stor
de
sal i da
Q2
se satura. La seal de rui do debe ser -uvo. qrr"
i ,b v (en
ra di -
recci n negati va) para
desacti var
el transi stor.
As, ei margen de rui do
de l a compuerta HTL es cerca de ?,5 v para
ambos ni vel es de vol taj e.
/ <' c
=
15 v
+
Fi gur a 13- 7 Compuer i a hi gh- t hr eshol d_l ogi c ( HTL)
http://libreria-universitaria.blogspot.com
, 13- 4
LOGI CA DE I NYECCI ON I NTEGRADA
( I ' L)
La l gi ca de i nyecci n i ntegrada es l a farni l i a l gi ca di gi tal ms reci ente
para ser i ntroduci da comerci al mente. Su pri nci pal
ventaj a es l a densi dad
al ta de compactaci n de l as compuertas que se puede l ograr de una rea
dada de una pasti i l a
semi conductora. Esto permi te
col ocar ms ci rcui tos
en una pasti l l a para formar una funci n di gi tal compl ej a. Como consecuen-
ci a, esta fami l i a se usa pri nci pal mente para funci ones LSI. No es di sponi bl e
en cpsul as SSI que conti enen compuertas i ndi vi dual es.
La compuerta bsi ca I2L es si mi l ar en operaci n a una compuerta
RTL con vari as di ferenci as mayores: (1)
La resi stenci a de base usda en
Ia compuerta RTL se rempl aza compl etamente en l a compuerta I2L.
(2t
La esi stenci a de col ector usada en l a compuerta RTL se rempl aza por un
transi stor pnp que acta como una carga para l a compuerta IrL. (3) Los
transi stores I2L usan ml ti pl es col ectores en vez de l os transi stores i ndi -
vi dual es usados en RTL.
El di agrama esquemti co de l a compuerta bsi ca I2L se muestra en
l a Fi gura 13-8. Ti ene un transi stor npn,
Q
1, con col ectores ml ti pl es para
l as sal i das. El ci rcui to base ti ene un transi stor pnp, ?1, conectado al -vol -
taj e de sumi ni stro vuu. De l a mi sma manera que otras fami l i as l gi cas,
l a compuerta l gi ca bsi ca I2L no puede ser anal i zada cuando est sol a.
se deben mostrar sus i nterconexi ones
a otras compuertas para que tenga
al gn senti do.
La Fi gura 13-9 muestra l a i nteracci n de l a compuerta bsi ca formada
por
Ql
y Tr con otras compuertas en l a entrada y l a sal i da. se puede
ver
que un col ector de
Q2
sumi ni stra l a entrada a l a compuerta bsi ca. El tran-
si stor 71 en l a compuerta bsi ca acta como una carga que i nyecta co-
rri ente al col ector de
Q2.
Uno de l os col ectores de
Ql
acta como una sal i da
de l a compuerta bsi ca y se conecta a l a base de
QB.
El transi stor ?3, conec-
tado a l a base de
Q3,
acta como una carga para i nyectar corri ente al co-
l ector de
Q
1 en l a compuerta bsi ca. La compuerta bsi ca acta aqu como
un i nversor y su ci rcui to equi val ente se muestra en l a Fi gura 13--g(b) . El
usar col ectores ml ti pl es y un transi stor pnp en vez de un resi stenci a de
carga, resul ta un mtodo ms efi ci ente de construcci n, ya que reducen el
rea de l a pasti l l a necesari a para reduci r el empaque de
-mi
ci rcui tos. El
Sal i das
Ent r ada
1
I
{
V" s
: 7 , 5 Y
I' igura l3-8 Compuerta bsica I2L
589
http://libreria-universitaria.blogspot.com
F
l'eB
(a) Compuert a i nversor
Q
1
Fi gura 13-9 Conexi n de ot ras
y sal i das de una compuert a bsi ca
( Ar B) ' = A' B'
( A
+ B' ) '
=
A' B
( a) Di agr ama l gi co
( b) Di agr ama del ci r cui t o
Fi gur a l 3- l o Conexi ones t pi cas ent r e compuer t as I 2L
T
73_^
,-t
=
( b) Ci r cui t o equi val ent e
compuer t as a l as ent r adas
I J L
Cor r i ent e de
i nyecci n
590
http://libreria-universitaria.blogspot.com
SEC,
13-5
LOGI CA DE TRANSI STOR. TRANSI STOR
(TTL) 591
transi stor PnP,
a pesar de
que se muestra
conectado
a l a base de una com-
puerta dada, acta ;;;; carga de col ector
para todas l as dems com-
puert as
que se conect an
a est a base'
La compue""
Oi .i ."
I2 L cuando
se conecta
a otras compuertas
confor-
ma una funci n
rgi l "' i ci n.
nrto ,u demuestra
en el di agrama
del ci rcui to
mostrado
en l a Fi guru i -fO' La funci n
l gi ca
qy"
9i
ci rcui to
confi Srra'
es
di buj ada
con smbol os
de compuerta
grfi cs en l a Fi gura 13-10(a),
l a cual
muestra
l a i nterconexi n
de o'
"o"' pt"rtas
NOR
y un i nversor'
Esto se
compl ement a
con t . . . . o-prert as
I 21, ,
qr,
q2
y
Q3,
como
se muest ra
en
l a Fi gura i 3-10(b). ^; ". -; ; ; "; i st ores
Ae sai l da se muest ran
t ambi n
para
compl etar
"l
e.qrr.*l -."L"l ""i ""tores
de
Q1v- Q2
estn conectados
para for-
mar una f unci n
\ I i . L" eot rada. a
e. copt ement ada
por el t ransi st or Q2'
Los col ectos,s
de
Q3 v Q1
se conectan
j unts para.formar una segunda
fun-
ci n NOR. La base de cada transi stor
ttptt."i b.-.l a
corri ente
de i nyecci n
de l os t ransi st ores
p. . "f . cl ot
*ul t i pi ". "1
y 1' 2. Los
emi sores
del t ran-
si stor npn .. .on..i u' .,1
i " ur. del trnsi stor
pnp para faci l i tar
l a cons-
t rucci n.
13- 5 LOGI CA
DE TRANSI STOR- TRANSI STOR
( TTL)
La compuerta
ori gi nal
bsi ca TTL fue una l i gera mej ora
de l a compuerta
DTL. A medida
q".-^-t"t"ofosu
TTI'
p.rgclui tt agregaron
mejoras
adi-
ci onal es
hasta tal
i l ;"";;;".ti "
r"."i ri " l [i ca se convi rti
en el ti po ms
usado
para el di seo de si stemas
di gi tal es.
Ii ay muchas.
versi ones
(o
seri es)
de compuertu,
.iJuJ'C'ii-
i;. nJmbres
y cractersticas
de cinco versio-
nes aparece' '
e,' l a-Tabl u
t3-2, conj untam-ente
con su retardo de
propaga-
;t";
;;".
e di rl pai i "
a"' potenci a.
El
producto vel oci dad-potenci a
es
un
parmetro
i mportnte
para comparar
l a compuertas
bsi cas.
Este es
un
producto .f ."i "ro-"-propugu.i n
y l a di si paci n
de
potenci a medi da
en
pi coj oul es
(pJ). " u"to' bal o
pa' a este
parmetro es-una
ci fra deseabl e'
Dorque
i ndi ca
q.,. * retardo d.
p.opuguci n dado
puede l ograrse
si n di si -
pacn de
pot enci a excesi va
o vi ceversa'
La compue.tu
l i i normal i zada
fue Ia pri mera versi n en l a fami l i a
TTL. Est acompuer t absi caf ueconst r ui dacondi f er ent esval or esder esi s-
t enci aspar apr oduci r compuer t asconbaj adi si paci nomsal t avel oci dad.
El retardo u
p.op"?u.i "-;
una fami l i a
l gi ca saturada
depende
grande-
mente de dos f"";a; ;i;mpo de almacenamiento
y constantes
de tiempo
propagaci n
Di si paci n de vel oci dad-
Nombre
Abrevi at ura
(ns) pot enci a (mW) pot encl a
(p' J I
i a
( pJ
)
.i
I
r
J
jli
t
t
I
i

TTL normal i zada


TTL bajo
poder
TTL al ta vel oci dad
TTL SchottkY
TTL
LTTL
HTTL
STTL
l 0
J J
6
J
9, 5
l 0
I
22
l 9
2
Producto
100
J J
r32
) I
19
Tabl a 13-2
Versi ones
TTL
y sus caract er st i ci '
TTL SchottkY
bajo
Poder
LSTTL
*
http://libreria-universitaria.blogspot.com
592 CI RCUI TOS I NTEGRADOS DI G TALES
cAP. 13
, RC. Reduci endo ei t i empo de ai macenami ent o se aument a el r et ar do de pr o-
pagaci n.
Reduci endo l os val {-res de i a resi stenci a en el ci rcui to, se reducen
las c,onstanrtes de tierrqo R(' s .r -tlr\e\a e\ retards deqropaqarirr. Psr
supuest o, se sacr i f i ca una ci r s. pac: ' , n i e pot enci a al t a ya que l as r esi st en-
ci as baj as requi eren mas corri n:t oe .a t' uente de
roder.
La vel oci dad de
l a compuerta es i nversamente
prL,D_:(-: ,::al
al retardo de propagaci n.
En l a compuer t a TTL ci e bar : er ci a l os val or es de l as r esi st enci as
son mayor es que en l a compuena l : : : : al i zada par a r educi r l a di si paci n
de pot enci a, per o se aument a e. : : - ' - : . : , ci e pr opagaci n. En I a compuer t a
TTL de al t a vel oci dad, l os val or e i = . - r s r esi st enci as se di smi nuyen par a
reduci r el retardo de propagaci on.
x: :. aumenta l a di si paci n de poten-
ci a. La TTL Schot t ky es I a l t i ma nei , : a e r l a t ecnol og a que el i mi na el
t i empo de al macenami ent o de l os r r a: . : . st LJr es evi t ando que vayan a sat u-
r aci n. Est a ver si n aument a l a ve. : c^caci de oper aci n si n un aument o
excesi vo en l a di si paci n de pot enci a La' e si n TTL Schot t ky de baj a
potenci a sacri fi ca un poco
de vel oci ci ai para reduci r l a di si paci n de po-
tenci a. Es ms o menos i gual a l a TTI- :r,,,:' nal i zada en retardo de propaga-
ci n, pero ti ene sol amente un qui nr.-,
ce ci :stpaci n de potenci a. Ti ene el
mej or producto
de vel oci dad-potenci a
]'
comr
I
consecuenci a, se convi rti en
l a versi n ms popul ar para
di seos nue\' ,,s.
Todas l as ver si ones TTL est n di sp, ni b, es en paquet es SSI y en f or -
mas ms compl ej as como funci ones \l SI
I
LSI. Las di ferenci as en l as ver-
si ones TTL no est n en l as f unci ones dr gl t al es que el l as conf or man, si no
en l os val ores de l as resi stenci as y el ti po de rransi stores que usan su com-
puerta
bsi ca. En cual qui er caso-l as compuertas TTL en todas l as versi o-
nes vi enen en tres ti pos di ferentes de confi guraci ones de sal i da.
1. Sal i da de col ector abi erto.
2. Sal i da de poste totmi co.
3. Sal i da de tres estados (o
tri estado).
Estos tres ti pos de sal i das sern consi derados en asoci o con l a descri pci n
del ci rcui to de l a compuerta bsi ca TTL.
Compuer t a con sal i da de col ect or abi er t o
La compuerta bsi ca TTL mostrada.en l a Fi gura 13-11 es un ci rcui to modi -
fi cado de l a compuerta DTL. Los emi sores ml ti pl es en el transi stor
Q1
estn conectados a l as sal i das. Estos emi sores se comportan l a mayora
del ti empo como l os di odos de entrada en l a compuerta DTL ya que el l os
forman una
j untura
pn con su base comn. La
j untura
base col ector de
Q
1
acta como otro diodo de
juntura
pn correspondiente a D 1 en la compuerta
DTL (ver
Fi gura 13-5). El transi stor
Q2
rempl aza al segundo di odo D2, en
l a compuerta DTL. La sal i da de l a compuerta TTL se toma del col ector
abi erto de
Q3.
una resi stenci a conectada a v"" debe agregarse externa-
mente al paquete
de cI para que l a sal i da "hal e" haci a el ni vel al to de vol -
taj e, cuando
Q3
est en corte; l a sal i da acta como ci rcui to abi erto. La
razn para no col ocar l a resi stenci a i nternamente, ser di scuti da poste-
ri ormente.
http://libreria-universitaria.blogspot.com
B
Fi gura l B-l l Compuert a TTL de col ect or abi ert o
Los dos ni vel es
de vol taj e
de l a compuerta
TTL son 0,2 v para
er ni vel
baj o y
de 2,4 a b V para
el ni vel al to. El ci rcui to b;i ;;
"J.
.r' u compuerra
NAND.
si cual qui ei
entrada
es baj a, l a correspon;;;
f,r' t.rra base emi -
sor en
Q1
est pol ari zada
di rectamene. Fl .l
vol tj ";i ;l ;"
de
Q1
es i gual
al vol taj e de entrada de 0,2 v ms una cada v,"n a o,l J o,g V.
para
que
Q3
comi ence
a conduci r,
el cami no desde
or
".t"-ol ' a"n.onreponese
al
potenci al
de cada en.n di odo en l a
j untura
pn
d,e base col ector
de
e1y
dos
ca das
! ; t ,
"1Qt
v. Q. g
Sx0, 6: r, a V. C-o L-t ; . .
"
el
se mant i ene
en 0,9 v por
l a seal de entrada, l a ari da del transi si o.
,,o p.r"ae
conduci r
y se pone
en corte. El ni vel de sal i da estar al to si una resi stenci a
externa
se conecta entre l a sal i da y V"" (o
un ci rcui to abi eto
si no se usa l a
resi st enci a).
si t odas l as ent radas
son art as, ambos
e2v eB
conducen y
se sat uran.
El vol taj e de base de
el
es i gual a l a cada a" ori "ul tr"".
de l a
j untura
pn
de base col ect o_ms
dos ca das V"". en
ezv e5, ; ; ; o menos 0, Zx
3: 2, r v. como t odas ras sari das rorrl l t
.
v
-vJ*.
or"l ,
v, l as
j unt u-
ras de base emi sor de^Q1estn
todas pol ari zadas
i """i ul ".,te.
Cuando el
transi stor
de sal i da
QB
19- satura (contando
q"" art" i i """ un cami no de
corri ente),
el vol taj e de sal i da ser menor que
0,2 V. Esto confi rma l as con_
di ci ones de l a operaci n
NAND.
En el anl i si s
anteri or,
-se
di ce que
l a
j untura
de base col ector de
e1
acta como- una
j untura
de di odo pn.
Esto es verdadero
en l a condi ci n
de
estado establ e. Si n embargo,
durante l a transi ci n
de corte,
e1
no exhi be
reacci n
del tansi stor
resul tante
de una reducci n
".,
,i -i "tu.ao
de propa_
gaci n.
cuando todas l as entradas estn al tas
v
""u"ao
urru " l as entra-
das se l l eva a un ni vel
baj o, ambos
ei v es
empi ezan
a conduci r.
En este
momento, l a
j untura
de corectoi
de
e1
se pol ari za
i .ru".rr-"rte
y er emi sor
se pol ari za
di rectamente,
de manera qru
"l
transi stor
el ^.,r
-o-"ntnea-
mente a l a regi n acti va. La corri ente
de col ector de
ei "i """ de l a base de
Q2
y qui ta
rpi damente
el exceso de ca.ga al mace.,aa
en
e2
durante un
I
;
j
I
i
I
1
"i
I
I
t
I
t
http://libreria-universitaria.blogspot.com
594. cI RCUI ToS I NTEGRADoS DI GI TALES
c AP. 13
estado de saturaci n previ o.
Esto causa una reducci n en el ti po de al ma-
cenami ent o del ci r cui t o compar ado con l a ent r ada t i po DTL. El r esul t ado
es una reducci n del ti empo de desacti vaci n de l a compuerta.
La compuerta TTL de col ector abi erto operar si n una resi stenci a ex-
terna cuando se conecta a l as entradas de otras compuertas TTL, aunque
esto no se recomi ende, debi do a l a i nmuni dad al baj o rui do que se encuen-
t a. Si n una r esi st enci a ext er na, l a sal i da de l a compuer t a ser un ci r cui t o
abi er t o cuando
Q3
est en cor t e. Un ci r cui t o abi er t o a una ent r ada de una
compuerta TTL se comporta como si tuvi era una entrada de ni vel al to (pero
una pequea
canti dad de rui do puede
cambi ar esto a un ni vel baj o). cuan-
do
Q3
conduce, su col ector tendr un cami no de corri ente entregado por l a
entrada de l a compuerta de carga a travs de v."
,
i a resi stenci a de 4 k e
y l a
j unt ur a
base emi sor pol ar i zada i nver sament e.
Las compuertas de col ector abi erto se usan en tres apl i caci ones pri nci -
pal es: acci onami ent o de una l mpar a o r el evo, conf or maci n de l gi ca al am-
br ada y par a I a const r ucci n de un si st ema de base comn. una sal i da de
col ect or abi er t o puede acci onar una l mpar a a t r avs de una r esi st enci a
Ii mi tada. cuando i a sal i da es baj a, el transi stor saturado
e3
forma un ca-
mi no par a l a cor r i ent e que pr ende l a l mpar a. Cuando I a sal i da del t r ansi s-
tor est i nacti va, l a l mpara se apaga porque no hay un cami no para l a
corri ente.
si l as sal i das de var i as cor npuer t as TTL de col ect or abi er t o se conec-
t an
j unt as
con una sol a r esi st enci a ext er na, se const i t uye una AND l gi ca
al ambrada. l l ,ecurdese que l a funci n AND de l gi ca posi ti va
da un ni vel
al t o si t odas i as var i abl es son al t as, de l o cont r ar i o l a f unci n es baj a. Con
sal i das de compuertas de col ector abi erto conectadas entre s, l a sal i da
comn es al ta sol amente cuando todos l os transi stores de sal i da estn en
cor t e ( o
al t o) . Si un t r ansi st or de sal i da conduce, st e obl i ga i a sal i da a un
estado baj o.
La l gi ca al ambada real i zada con compuertas TTL de col ector abi erto,
se di buj a en l a Fi gura 13-12. La forma fsi ca en
(a)
muestra cmo deben ser
conectadas l as sal i das a Ll na resi stenci a comn. El smbol o grfi co para tal
cr nexi n - qe demuest r a en ( b) .
La f unci n AND f br mada al conect ar l as dos
en' ; r adas
j ur r t as
se l l ama f unci n AND al ambr ada. La compuer t a AND se
ti r' i --uj a con i neas que van desde el centro de l a compuerta para di sti ngui r-
i :l i l e una compuerta convenci onal . La compuerta AND al ambrada no es una
c()mpuerta fsi ca, si no sol amente un smbol o para desi gnar l a funci n obte-
nr da de I a conexi n que se i ndi ca. La f unci n de Bool e que se obt i ene del
ci r cui t o de l a Fi gur a 13- 12 es l a oper aci n AND de l as sal i das de l as dos
compuer t as NAND:
Y
:
(AB)'
.(CD)'
:
(AB + CD),
Se prefi ere
Ia segunda expresi n ya que muestra una operaci n que se trata
comnmer . r t e como una f unci n AND- OR- I NVERT ( ver
Secci n 3- 7) .
-
Las compuertas de col ector abi erto se conectan conj unrament,e para
formar un bus comn. En cual qui er momento, todas l as sal i das de Ia om-
puerta
se conectan al bus excepto una que
debe mantenerse en su estado
al to. La compuerta sel ecci onada
,ue..de
ser el egi da en su estado al to o baj o,
http://libreria-universitaria.blogspot.com
{ a) Conexi n f i si ca
Fi gur a 13- 12 AND al ambr ada de
\ '
: ( AB - ( ' t )
) '

(
D
(
b ) S mbol o gr f i co de l a
l gi ca al ambr ada
dos cor npuer t as de col ect or abi e t o ( ca) ,
dependi endo
de si se qui ere
trasmi ti r un 1 0 al bus. Los ci rcui tos de con_
trol deben ser usados para
sel ecci onar l a compuerta parti cul ar que
acci ona
el bus en un moment o dado.
La Fi gura 13-13 muestra l a conexi n de cuatro fuentes conectadas a
una l nea de bus comn. cada una de l as cuato entradas acci ona un i nver-
sor de col ector abi erto y l as sal i das de l os i nversores
se conectan j untas
para formar una sol a l nea de bus. La fi gura muestra que tres de l as entra-
das son_0, l o cual pr oduce
un 1, o un ni vl al t o en el bus. La cuar t a ent r ada,
1.,, puede
trasmi ti r ahora i nformaci n por medi o de l a l nea de bus comn
vcc
J
t
I
:
i
I
&
f
Fi gur a 13- 13 Compuer t as de col ect or abi er t o que
f or man
I r r ea de l , us r ' omun
una
5v5
http://libreria-universitaria.blogspot.com
596 CI RCUI TOS I NTEGRADOS DI GI TALES
CAP. 13
al i nversor 5. Recudese que una operaci n AND se real i za con l gi ca al am-
brada. Si
4
:
1, l a sal i da de l a compuerta 4 es 0 y l a operaci n AND al am-
br ada pr oduce
un 0. si 14: 0, l a sal i da de l a compuer t a 4 es 1y l a oper aci n
A\D al ambrada produce
un 1. As, si todas l as dems sal i das se manti enen
en i
-r'
l a compuerta sel ecci onada puede
trasmi ti r su val o a travs del bus.
El val or tasmi ti do es el compl emento de 1r, pero
el i nversor b en el extre-
mc, de recepci n puede i nverti r fci l mente i a seal de nuevo y hacer
y
:
I.
Sal i da t i po post e
t ot mi co
La i mpedanci a de sal i da de una compuerta es normal mente resi sti va ms
una carga capaci ti va. La carga capaci ti va consi ste de l a capaci dad del tran-
si stor de sal i da, l a capaci dad de l as compuertas de carga y
cual qui er otra
capaci dad perdi da
del al ambre. cuando l a sal i da cambi a del estado baj o al
al to, el transi stor de sal i da de l a compuerta va de saturaci n a corte y l a
capaci dad de carga total , C, se carga exponenci al mente desde el ni vel de
vol taj e baj o hasta el al to, con una constante de ti empo i gual a RC.
para
l a
compuerta de col ector abi erto, -R es una resi stenci a externa marcada RI,.
Par a un val or de oper aci n t pi co de C: 15 pF y
B. : 4 kO, el r et ar do
de propagaci n
de una compuerta TTL de col ector abi erto durante el ti em-
po de desacti vaci n, es 35 ns. Con un ci rcui to de arranque acti uo que rem-
pl ace l a resi stenci a ,t. de arranque pasi uo, el retardo de propagci n
se
reduce a 10 ns. Esta confi guraci n, mostrada en l a Fi guru i 3-14,-se l l ama
una sal i da ti p poste totmi co porque
el transi stor
Qa
et "enci ma" de
e3.
La compuerta TTL con l a sal i da de poste
totmi co es i gual a ra compuer-
ta de col ector abi erto, excepto por
el transi stor de sal i da
Q+
y el di od D r.
cuando l a sal i da Y est en el estado baj o,
Q2
y
QB
son l l evados a satura-
ci n como en l a compuerta de col ector abi eri o. El vol taj e en el col ector de
Q2
es l ' r " ( Q3)
+
VI E( Q2) 0, 7
+0, 2: 0, 9 V. La sal i da Y: VcE( Qg)
v r r =5Y
Fi gura 13-14 Compuert a TTL con sal i da
tipo poste
totmico
http://libreria-universitaria.blogspot.com
s Ec . 13- 5
LOGI CA DE TRANSI STOR. TRANSI STOR
( - NL
53:
:0,2
V. El transi stor
Q4
est en corte
porque su base debe estar a una cal -
dav"" ms una ca da en un di odo 2x0, 6: 1, 2 v, para empezar. a condu-
ci t. "o-o el col ector de
Q2
se conecta a l a base de
Q4,
el vol taj e de este
ltimo es solamente 0,9 V en vez de 1,2 V requeridos
y por tanto
Q4
est en
corte. La razn para col ocar un di odo en el ci rcui to, es sumi ni strar una ca-
da de voltaje di un diodo en el camino de salida
y asegurarse
que
Q4
est
en corte con
Q3
saturado.
Cuando l sal i da cambi a al estado al to porque una de l as entradas cae
al estado baj o, l os transi stores
Q2 v Q3
se ponen en corte.-Si n embargo, l 1
sal i da
p".*n"." momentneamnte
baj a debi do a que el vol taj e a travs del
consensador de carga no puede cambi ar i nstantneamente' Tan
pronto como
Q2
est en corte,
-Q4
cnduce
porque su base est conectada a Vcc
PoI
medi o de l a resi stenci a de 1,6 [ f. l ,a corri ente necesari a
para cargar el
condensador de carga, causa
que
Q4
se sature momentneamente,
el vol taj e
de sal i da se i ncrementa con una constante de ti empo BC. Pero R en este
caso es i gual a 130 0, ms l a resi stenci a de saturaci n de
Q4,
ms l a re-
si stenci atel di odo
para un total de 150 0. Este val or de R es mucho ms
pequeo que l a resi i tenci a
pasi va de arranque usada en. un ci rcui to de co-
i ""i or abi erto. Como corr.".rrett"i a,
l a transi ci n de un ni vel baj o a un ni vel
al to es mucho ms rpi da.
A medi da
que l a carga capaci ti va se acumul a, el vol taj e de sal i da au-
menta
y l a cori i ente en
?
di smi nuye, l l evando el transi stor a l a regi n
acti va.
-A",
.r, contraste con otros transi stores,
Q4
est en l a regi n acti u-a
cuando est en l a condi ci n de estado establ e. El val or fi nal del vol taj e de
sal i da es entonces 5 V, menos una cada V" en
Q4,
menos l a cada en el
di odo Dl o sea, 3, 6 v. El t ransi st or
Q3
va al cort e muy rpi dament e,
pero
durante el ti empo de transi ci n i ni ci al , ambos
Q3 V Qa
conducen
y se i n-
duce un pico de corriente desde la fuente de poder. Este pico de corriente
genera ti do u.t el si stema de di stri buci n del sumi ni stro de potenci a'
uando el cambio de estado es frecuente, el pico de corriente transiente
aumenta la necesidad de corriente de la fuente de poder y la disipacin de
potenci a promedi o del ci rcui to aumenta-
La cnexi n de l gi ca al ambrada no se permi te con ci rcui tos de sal i da
de poste totmi co. Cuando dos ci rcui tos de poste totmi co se conectan
j un-
tos, con l a sal i da de una compuerta al ta y l a sal i da de l a segunda baj a, l a
canti dad excesi va de corri pnte exi gi da
puede produci r sufi ci ente cal or
pa-
ra daar l os transi stores del ci rcui to
(ver Probl ema 13-7). Al gunas compuer-
tas TTL son construidas
para soportar la cantidad de corriente
que fluye
baj o esta condi ci n. En cual qui er caso, l a corri ente de col ector en l a com-
pulrta baja,
puede ser lo suficientemente alta para desplazar al transistor
l a regi n
"ti .' "
y produci r una sal i da de vol taj e en l a conexi n al ambra-
da mayor que 0,8 V, l o cual no es una seal bi nari a vl i da para l a compuerta
TTL.
Compuert a TTL Schot t kY
Como se ha menci onado anteri ormente, una reducci n en el ti empo de al ma-
cenamiento resulta en una reduccin del retardo de
propagacin. Esto se
debe a que el ti empo necesari o
para que el transi stor
pase a saturaci n,
:
{
\
I
!
http://libreria-universitaria.blogspot.com
F
598 CI RCUI TOS I NTEGRADOS DI GI TALES
CAP' 13
retarda el cambi o del transi stor de l a condi ci n de conducci n al corte. La
sat ur aci n puede ser el i mi nada col ocando un di odo Schot t ky ent r e l a base
'
ei col ector de cada transi stor saturado en el ci rcui to. El di odo Schottky
se torma por l a
j untura
de un metal y un semi conductor, en contraste con
un di odo convenci onal , el cual est formado por l a
j untura
de un materi al
:emi conductor ti po n y ti po p. EI vol taj e a travs del di odo Schottky con-
cl uctor es sol amente 0,4 V, en comparaci n a 0,? V en un di odo convenci onal .
La presenci a de un di odo Schottky entre Ia base
y el col ector,
previ ene al
t r ansi st or de i r a sat ur aci n. El t r ansi st or r esul t ant e es l l amado t r ansi st r r
>t hot t ky. EI uso del t r ansi st or Schot t ky en un TTL, di smi nuye el r et ar do
:srrsrr-u-ih\lrS-utrr-rr;ri;rr>rifrsx>-l-errtra.
La compuerta TTL Schottky se muestra en l a Fi gura 1B-1b. Ntese el
smbol o especi al usado para l os transi stores y di odos Schottky. El di agra-
ma muestra todos l os transi stores como del ti po Schottky excepto
Q4.
Se
hace una excepci n de
Q4
porque no se satura si no que permanece
en l a
regi n acti va. Ntese tambi n que l os val ores de l as resi stenci as han si do
reduci dos para di smi nui r ms el retardo de propagaci n.
Adems de unos transi stores Schottky y resi stenci as de menor val or,
el ci rcui to de l a Fi gura 13-15 i ncl uye otras modi fi caci ones no di sponi bl es
en l a compuerta normal i zada de l a Fi gura 13-14. Dos transi stores nuevos,
Q5 v Q6
han si do agregados y l os di odos Schottky se col ocan entre el termi -
nal de entrada y
ti erra. No hay un di odo en el ci rcui to de poste
totmi co.
Si n embargo, l a nueva combi naci n de
Qb
y
Q4
an producen l as cadas
vrr, necesari as para preveni r
Q4
de conduci r cuando l a sal i da est bai a.
Fi gura 13-15 Compuert a TTL Schot t ky
http://libreria-universitaria.blogspot.com
\
SEC 13- 5
LOGI CA DE TRANSI STOR- TRANSI STOR
- - -
5- : ' :
Esta combi naci n
comprende un segui dor de emi sor dobl e l l amado
pc' l L;-
l i ngton. Este par produce una
gananci a de corri ente al ta y una resl stenci
e*t' remadam".rt"
"u. Esto es exactamente
l o que se necesi ta durante e,
."-i baj o a al to de l a sal i da, resul tando en un aumento del retardo de
propagaci n.
' -
Ls di odos en cada entrada mostrados en el ci rcui to, ayudan a el i mi nar
cual qui er zumbi do
que pueda ocurri r en l as l neas de entrada. Baj o condi -
;;; transi entes dl i ni ercepci n, l as l neas de seal aparecen i nducti vas;
esto conj untamente
con l a apaci tanci a
parsi ta, puede causar osci l aci n
" .eal r o zumbi dos. Cuand l a sal i da de l a compuerta cambi a de un es-
iaao atto al bajo, la forma de onda del zumbido en la entrada,
puede causar
.rirr"l", por debajo de tierra, en el rango de 2- 3 v dependiendo de la longi-
tud de l tt"u. Los di odos conectados a ti erra ayudan a el i mi nar este zum-
bi -do,
yu que conducen tan
pronto como el vol taj e negati vo exceda a 0,4 v'
Cuatro ei ni vel negati vo . l i -i tu, tambi n el zumbi do
posi ti vo se reduce.
La caractersti ca de-l os di odos l i mi tadores, al l i mi tar l os efectos de l a l nea,
ha si do muy ti l
ya que todas l as versi ones de compuertas
TTL l os usan.
La resi tencl a aef emi sor de
Q2
en Ia Fi gura 13-14, ha si do rempl azada
en l a Fi gura 13-15
por un ci rcui to
que consi ste de un transi stor
Q6
y dos
resi stencl as. El efeci o de este ci rcui to es reduci r l os pi cos de corri ente, di s-
cuti dos
previ amente al acti varl o. EI anl i si s de este ci rcui to,
que ayuda-a
reduci r !l ti "-po de propagaci n de l a compuerta, es un poco compl i cado
para ser presentado en esta breve di scusi n-
f
Compuer t a de t r es est ados
como se ha menci onado antes, l as dos sal i das de l as compuertas
TTL con
estructuras de poste totmi co, no pueden ser conectadas como en l as sal i -
das de col ector abi erto. Hay si n embargo, una cl ase especi al de compuerta
ti po
poste totmi co
que pei mi te l a conexi n al ambrada de l as sal i das con
el prl psi to de formr un si stema de bus comn. Cuando una compuerta
ff l " sal i da ti po poste totmi co ti ene esta propi edad, se l e l l ama compuer-
ta de fres estados
(o tri estado).
una compuerta de tres estados ti ene tres estados de sal i da:
(1) un es-
tado de baj o ni vel cuando el transi stor
i nferi or del poste totmi co est con-
duci endo
y el superi or est en corte;
(2)
un estado de ni vel al to cuando el
transi stor"superi r
del poste totmi co est conduci endo
y el i nferi or est
en corte
y (3) un tercer estado en
que ambos transi stores del poste totmi -
co estn l nacti vos o en corte. El tercer estado
presenta un ci rcui to abi erto
o un estado de al ta i mpedanci a, el cual
permi te una conexi n al mbri ca
di recta de muchas sal i das a una l nea comn. Las compuertas de tres es-
tados el i mi nan l a necesi dad de l as compuertas de col ector abi erto en l a
confi guraci n
del bus.
i a Fi gura 13-1G(a) muestra el smbol o
grfi co de una compuerta
sepa-
radora de tres estados. Cuando l a entrada de control C e; al ta, l a compuer-
ta se habi l i ta
y se comporta como un separador normal con una sal i da i gual
al val or bi nari o de enti ada. Cuando l a entrada de control es baj a, l a sal i da
es un ci rcui to abi erto
que presenta una i mpedanci a al ta
(el tercer estador
i ndependi entemente
dei nal or de l a entrada A. Al gunas compuertas
de tres

I
http://libreria-universitaria.blogspot.com
W CI RCUI TOS I NTEGRADOS
DI GI TALES
cAP. 13
estados producen
un estado de alta impedancia
cuando la lnea de control
es alta.
Estq se muestra simblicamente
en Ia Figura--rg-rot1. .qq"i .,
tienen dos crculos pequeos,
uxo para la salida del
-inversor
y
'e
otro para
i ndi car que
l a compuerta se habi l i ta cuando C est baj a.
EI diagrama del circuito del inversor de tres estados se muestra en Ia
Fi gura 13-16(c). Los transi stores
Q6, Q7
y
Q8,
asoci ados con l a entrada de
control forman un ci rcui to si mi l ar a l a compuerta de col ector abi erto. Los
transi stores
Ql - Q5,
asoci ados con l a entrada de datos forman un ci rcui to
TTL de poste totr4ico. Los dos circuitos se conectan
juntos por medio del
di odo D1. Como en' el ci rcui to de col ector abi erto, el transi stor
Q8
se pone
en corte cuando l a entrada de control en C est en el estado de baj o ni vel .
Esto previ ene al di odo D 1 de conduci r y tambi n al emi sor en
Q
1 conectado
a
Q8
que no ti ene cami no de conducci n. Baj o esta condi ci n, el transi stor
Q8
no ti ene efecto en l a operaci n de l a compuerta
y l a sal i da de Y depende
sol amente de l a entrada de datos en A.
Cuando l a entrada de control es al ta, el transi stor
Q8
conduce y l a co-
rriente que fluye de V", a travs del diodo D 1 causa
que el transistor
Q8
se sature. El vol taj e en l a base de
Q5
es i gual ahora al vol taj e a travs del
transi stor saturado
Q8
ms l a pada de vol taj e en un di odo o 0,9 V. Este
vol taj e desacti va
Q5
y
Q4,
ya que es menor que dos cadas
yBr.
Al mi smo
ti empo, l a entrada baj a de uno de l os emi sores de
Q
1, fuerza al transi stor
Q3
(y
Q2)
a ponerse
en corte. As se i nacti van ambos
Q3 V Qa
en el
poste
totmico
y
la salida del circuito se comporta como un circuito abierto con
una impedancia muy a\ta d,e sa\id,a.
Un bus de tres estados se conforma alambrando varias salidas de tres
estados
j untas.
En cual qui er momento dado, sol amente una entrada de con-
tro\ se habi\ita mientras
que \as otras sa\idas estn en eI estado de alta
irnpeancia. Lanic,a camluelta
que no est en el estado de alta impedancia,
pud. trasmi ti r i nformaci n bi nari a a l o l argo de} bus comn. Se debe tener
extremo cuidado,
que todas las compuertas excepto una, estn en eI tercer
estado; de l o contrari o se tenda una condi ci n i ndeseabl e
que ti ene dos
sal i das acti vas ti po poste totmi co conectadas
j untas.
Una caractersti ca i mportante de l a mayora de compuertas de tres
estados, es que el retardo de habi l i taci n de l a sal i da es mayor
que el re-
tardo de i nhabi l i taci n de sal i da. Si un ci rcui to de control habi l i ta una
compuerta e i nhabi l i ta otra al mi smo ti empo, l a compuerta i nhabi l i tada se
col oa en un estado de al ta i mpedanci a antes de habi l i tar l a otra compuer-
ta. Esto el i mi na l a si tuaci n de que ambas
-cbmpuertas
estn acti vas al
mi smo ti empo.
Hay un
pequea corri ente de fuga asoci ada con l a condi ci n de al ta
impedancia ett una compuerta de tres estados. Er4pero, esta corriente es
tan pequea que se pueden conectar hasta 100 sal i das de tres estados
para
formar una l nea de bus comn.
13- 6 LOGI CA DE EMI SOR ACOPLADO
( ECL)
La l gi ca de emi sor acopl ado
(ECL) es una fami l i a l gi ca di gi tal no satu-
rada. Como l os transi stores no se saturan, es posi bl e l ograr un retardo de
propagaci n de 2 ns y an por debaj o de 1 ns. Esta fami l i a l gi ca ti ene el
http://libreria-universitaria.blogspot.com
Entrada
de datos
Entrada
de cont rol
r \
l ' : As i C: Al t o
f \
I ' : A s i C: Br _
A
1>
l ' al t a i mpedanci a A
1>o- -
Y al r a i mped: ; .
4
si C: Baj o vn
si C: Al t o
t -
c C-
(a
) Compuert a separadora de
t res est ados
(c
) Di agrama del ci rcui t o paa el i nversor
de tres estados de
(b)
Fi gura l 3-16 Compuert a TTL de t res est ados
(b)
Compuerta inversora de
tres estados
;

t
1
:
t
l
i :
a1-J I
http://libreria-universitaria.blogspot.com
f f i 2 CI RCUI TOS I NTEGRADoS DI GI TALES
cAP. 13
menor retardo de propagaci n que cual qui er otra fami l i a y se usa pri nci pat-
mente en si stemas que requi eren una vel oci dad al ta de operaci n. Su i n-
muni dad al rui do y di si paci n de potenci a, si n embargo, son l os peores de
todas l as fami l i as l gi cas di sponi bl es.
un ci rcui to bsi co tpi co de l a fami l i a ECL se muestra en l a Fi gura
13-1i . Las sal i das entregan ambas funci ones oR y NoR. cada entrada es-
ta conectada a l a base del transi stor. Los dos ni vel es de vol taj e son
-0,8
\- para un estado al to y cerca de
-
1,8 V para un estado baj o. El ci rcui to
consi ste de un ampl i fi cador di ferenci al , una red pol ari zada
compensada por
temperatura y vol taj e y
una sal i da de segui dor de emi sor. Las sal i das del
emi sor requi eren una resi stenci a de desangre para que fl uya l a corri ente.
Esto se obti ene medi ante l a resi stenci a de entrada -R de otra compuerta
si mi l ar o de una resi stenci a externa conectada a una fuente negati va de
r oi t aj e.
El ci rcui to pol ari zado i nterno compensado por temperatura y vol taj e,
entrega un vol taj e de referenci a al ampl i fi cador di ferenci al . El vol taj e de
pol ari zaci n
V se establ ece en
-
1,3 V, el cual es el punto i ntermedi o
de Ia vari aci n l gi ca de l a seal . Los di odos en el di vi sor de vol taj e conj un-
tamente con
Q6,
conforman un ci rcui to que manti ene un val or constante
\' ,," a pesar de l os cambi os de temperatura o sumi ni stro de potenci a.
Cual -
qui era de l as entradas de l a fuente de poder se pueden usar como ti erra.
Si n embargo, el uso del nodo V". como ti erra y V" como
-
b,2 Y, da
como resul tado una mayor i nmuni dad al rui do.
Si cual qui er entrada en l a compuerta ECL est al ta, el transi stor co-
rrespondi ente conduce y
Q5
se pone en corte. Una entrada de
-0,8
V causa
que el transi sto conduzca y col oque
-
1,6 V en l os emi sores de todos l os
t r ansi st or es
( l a
ca da Vu, en l os t r ansi st or es ECL es 0, 8 V) . Como VBa:
1,3 V el vol taj e de base de
Q5
es sol amente 0,3 V ms posi ti vo que su emi sor.
Q5
est en corte porque su vol taj e Vr" necesi ta al menos 0,6 V para comen-
zar a conduci r. La corri ente en l a resi stenci a R"2 fl uye haci a l a base de
Q8
(si empre que se tenga una resi stenci a de carga). Esta corri ente es tan
pequea que sol amente ocurre una cada de vol taj e despreci abl e a travs
de R,.r. La sal i da OR de l a compuerta est a una cada V", por debaj o
de ti erra o
-0,8
V, l o cual consti tuye el estado al to. La corri ente fl uye a
trar' s de R,.r
y el transi stor que conduce causa una cada de cerca de
1 \- por debaj o del ni vel de ti erra (ver Probl ema 13-9). La sal i da NOR est
a una cai da Vu" por debaj o de este ni vel , o sea en
-
1,8 V, l o que cons-
t i t uy- e el est ado de ni vel baj o.
Si todas l as entradas estn en ni vel baj o, todos l os transi stores de sa-
l i da se ponen en corte y
Q5
conduce. El vol taj e en el nodo de emi sor comn
est a una cada V; por debaj o d,e V""
-2,1
V. Como l a base de cada
entrada est en el ni vel baj o de
-
1,8 v, cada
j untura
de base emi sor ti ene
sol ament e 0, 3 V y t odos l os t r ansi st or es se ponen en cor t e. . R, . 2 consume
corri ente a travs de
Q5
dando como resul tado una cada de vol taj e de cerca
de 1 V, haci endo que l a sal i da OR est a una cai da V", por debaj o de este
val or en
-
1,8 V, o sea el ni vel baj o. La corri ente en .R.
,
es despreci abl e y
l a sal i da NOR estar a una cai da Vu" por debaj o de ti erra en
-0,8
V, o
sea el ni vel al t o. Est o ver i f i ca l as oper aci ones OR y NOR del ci r cui t o.
http://libreria-universitaria.blogspot.com
E H
3z
6
v
.t
T O
' t 9 - !
a
: ! ^ |
. 9 o i
i
5 E : Ei I
c<) EEi 31
R:
:
I
t
6 '
I r
O
-
^ r
h
!

t
I
I
I
t
j
v r
\ r
603
http://libreria-universitaria.blogspot.com
( A+B) ' +( c +D) ' =
l ( A+BXC+D) \ '
I
---I-.q- (/4 + B)' NoR
B- - - l _/ - U+B\
oR
( A+B) ( C+D)
(a) Compuerta aislada
(b)
Combi naci n al ambrada de
dos compuertas
Fi gura 13-18 S mbol os
grf i cos de l as compuert as ECL
El retardo de propagaci n de l a compuerta ECL es 2 ns y Ia di si paci n
de potenci a es 25 mw. Esto da un producto vel oci dad-poder de 50, el cual
es proxi madamente el mi smo
que el TTL Schottky. El margen de rui do es
.e.c de 0,3 V y no es tan bueno como el de l a compuerta TTL. Es posi bl e
una al ta capaci dad de carga en Ia compuerta ECL, debi do a l a i mpedanci a
al ta de enti ada del ampl i fi cador di ferenci al
y l a i mpedanci a baj a de sal i da
del segui dor de emi sor. Debi do a l a extrema vel oci dad de l as seal es, l os
al ambi es externos actan como Ineas de trasmi si n. Con excepci n de
al ambres cortos de pocos centmetros, l as sal i das ECL deben usal cabl es
coaxi al es con un acabado resi sti vo para reduci r l as defl exi ones de Ia l nea.
El smbol o
grfi co para l a compuerta ECL se muestra en l a Fi guta 13-18
rar. Hay dos sal i das di i poni bl es: una para l a f unci n NoR
y l a ot ra para l a
funci n OR. Las sal i das de dos o ms compuertas ECL, pueden ser conec-
tadas conj untamente
para formar l gi ca al ambrada. Como se ve en Ia Fi gu-
ra 13-18(b), una conexi n al ambrada ext erna de dos sal i das NOR produce
una funci n OR al ambrada. tl na conexi n al ambrada i nterna de dos sal i das
oR se usa en al gunas cI ECL para produci r una AND al ambrada
(al gunas
veces Il amada l gi ca dot-AND). Esta propi edad puede uti l i zarse cuando l as
compuertas ECL se usan
para formar l as funci ones OR-AND-INVERT
y
OR- AND.
13- 7 SEMI CONDUCTOR
DE OXI DO DE METAL
( MOS)
El transi stor de efecto de campo
(FET) es un transi stor uni pol ar'
ya que su
operaci n depende del fl uj o de un sol o ti po de portador. Hay dos ti pos de
ti ansi stores e efecto de campo: el transi stor de
j untura
de efecto de cam-
po (JFET) y el semi conduct or de xi do de met al
(MOS). El pri mero se usa
n ci rcui tos l i neal es
y el l ti mo en ci rcui tos di gi tal es. Los transi stores
MOS pueden ser fabri cados en menor rea que l os transi stores bi pol ares.
L estructura bsi ca de un transi stor MOS se muestra en l a Fi gura
13-19. El MOS de canal
p consi ste de un substrato l i geramente i nyectado
con materi al de si l i cn de ti po n. Dos regi ones se i nyectan fuertemente
por
di fusi n con i mpurezas ti po p para formar l a
fuente
y el drenaj e. La regi n
entre l as dos secci ones de ti po
p si rven como canal . La puerta es una pl aca
metl i ca separada
por el canal medi ante un di el ctri co ai sl ado de di xi do
604
http://libreria-universitaria.blogspot.com
SEC. 13- 7
SEMI CONDUCTOR
DE OXI DO DE METAL { MOS) f f i !
de si l i cn. Un vol taj e negati vo (con
respecto al substrato)
en l a puerta
causa un
gampo el ctri co i nduci do en el canal , l o cual atrae i os portuJo.".
de ti po p del substraro.
A medj {a que
aumenta l a magni t,r "t ;rl r.J;-;;.
gati vo
en Ia puerta;
l a regi Jr debaj o de el l a acumul a
s portadores
po.rti -
vos, aumenta l a conducti vi dad y l a corri ente fl uye de l a fuente al drenaj e,
si empre y
cuando haya una di ferenci a de vol taj e entre esos dos termi nats.
.
H"I cu-atro ti pos bsi cos de estructuras
Mos. El canal puede
.., aui
tipo p n dependiendo
de si los portadores
en su mayora deban ser huecos
o el ectrones. El modo.de-operaci n puede
ser por
"n.i qrru.i *i ento
o empo-
breci mi ento (depl eti on),
dependi enA et estado aef cal al - con cero vol taj e
de puerta.
si el canal al comi enzo est l i geramente i nyeci "do
"o'
i mpurezs
ti po p (canal
di fundi do), el canal de conl ucci n se produce
con un vol taj e
cero de puerta y el dispositivo se dice que
opera en el modo d,e empobrei--
mento. En este modo.l a co*i ente fl uye a no ser que
el .""u1
"rt.l i p"i .- cido por
un campo aplicado a la puerta.
s_i la regin por
debajo de t"
f,re
se dej a si n carga i ni ci al mente,
el canal debe ser i t auci do po,
r ."-p . t"
puerta
antes de que
l a corri ente fl uya. As, l a corri ente dei canal es rrri que_
ci da por el vol taj e de l a puerta y
tai di spoi ti vo se di ce que
p.r"
"n
el modo
de enri queci m ent o.
La fuente es el termi nal a travs del cual l a mayora de l os portadores
entran l a barra. El drenaj e es el termi nal a travs dei cual l a maybra de l os
portadores
dej an l a barra. En un Mos de canal p,
el termi nal fente se co_
necta al substrato y
el vol taj e negati vo se apl i a al termi nal de drenaj e.
cuando e,l
_v-oltaje
de puerta
e*ta p.
encima del voltaje-e
u*bral v,
k;;-
ca de
-2
V), no fl uye corri ente en el canar y
el cami no del drenaj u
"
l u
fuente es como un ci rcui to abi erto. cuando el vol taj e de puerta
es sufi ci en-
temente negativo por
debajo de vr, se forma un cattai y los portadores
ti po p fl uyen de l a fuente al drenaj e. Los portadores
ti po
i
son posi ti vos y
corresponden a un fl uj o de corri ente posi ti vo
desde l a ruente al i .en"e.-
"
En el Mos de canal n, el termi nal fuente est conectado al substrato
y
se apl i ca un vol taj e posi ti vo
al termi nal de drenaj e. cuando el vol j e ;
l a puerta
est-por debaj o del vol taj e de umbral v, (cerca
de 2 V), ,,o i tuy.
corri ente en el canal . cuando el vol taj e de puerta
es sufi ci entemente poi i -
tivo sobre
lr
pa''
formar el, canal, ls poriadores
de tipo n fruyen e Ia
puerta
al drenaj e. Los portadores
ti po N son negati vos, i os cual s corres-
ponden
a un fl uj o de corri ente posi to
de drenaj a fuente. El vol taj e um-
bral puede
vari ar entre 1 y 4 V dependi endo
del
i roceso
parti cul ar
usado.
( a)
canal p
Fi gur a 13- 19
( b)
canal n
Est r uct ur a bsi ca de un t r ansi st or MOS
SEMI CONDUCTOR
DE OXI DO DE METAL { MOS)
puerta (
-
)
susbst rat o t i po n
pueta (
* )
substrato tipo p
http://libreria-universitaria.blogspot.com
606 CI RCUI TOS I NTEGRADOS DI GI TALES
CAP. J 3
Los si mbol os grfi cos
de l os transi stores MoS se muestran en Ia Fi gu-
ra 13-20. El smbol o aceptado para el ti po de empobreci mi ento es aquel con
l neas i nterrumpi das entre l a fuente y el drenaj e. En este smbol o, el subs-
trato
puede
ser i denti fi cado y se muestra conectado a l a fuente. Se usar
un srmbol o al terno que no i ncl uye el substrato; en este smbol o, se col oca l a
i l echa en el termi nal fuente para mostrar l a di recci n del fl uj o de corri ente
:
' : : . , ( desde
l a f uent e al dr enaj e en el canal p y desde el dr enaj e a l a
: j ent e en el canal n) .
Debi do a l a construcci n si mtri ca de l a fuente y
el drenaj e, el transi s-
: -,r \l os puede
ser operado como un di sposi ti vo bi l ateral . Aunque se opera
:-.rrmal mente, de manera que l os portadores
fl uyen de fuente al drenaj e, hay
c.rcunstanci as en que es conveni ente permi ti r
un fl uj o de portadores
del
or enaj e a l a f uent e
( ver
Pr obl ema 13- 12) .
una ventaj a del di sposi ti vo Mos es que puede ser usado no sol amente
como un transi stor si no como una resi stenci a. Una resi stenci a se obti ene
dei Mos pol ari zando permanentemente
el termi nal de puerta para con-
ducci n. La rel aci n del vol taj e fuente drenaj e a l a cori ente del canal de-
termi na el val or de l a resi stenci a. Di ferentes val ores de resi stenci as pue-
den ser construi das durante l a fabri caci n, fi j ando l a l ongi tud y un"h.,ru
del canal del di sposi ti vo MOS.
Los tres ci rcui tos l gi cos que
usan di sposi ti vos MoS se muestran en
l a Fi gura 13-21. Para un MoS de canal n, el vol taj e de sumi ni stro vro es
posi ti vo (cerca
de 5 V) para permi ti r que l a corri ente posi ti va fl uya de dre-
naj e a l a fuente. Los dos ni vel es de vol taj e son una funci n del vol taj e de
umbral vr . El ni vel baj o es cual qui er val or entre cero y vr y el ni vei al to
vara entre v, y vro. Las puertas
de canal n usan comnmente l gi ca
posi ti va.
Los ci rcui tos Mos de canal p
usan vol taj e negati vo paru
vr,,,
para permi ti r que fl uya Ia corri ente posi ti va
cesde Ia fuente al drnaj e. i s
dos ni vel es de vol taj e son ambos negati vos por enci ma y debaj o del vol taj e
de umbral v, . Las compuertas de nal p ,r."., gunrrul rrr..rt
l gi ca posi -
t i va.
EI ci rcui to i nversor mostrado en l a Fi gura 13-2i (a) usa dos di sposi ti vos
Mos.
Ql
acta como l a resi stenci a de carga y
Q2
como un di sposi ti vo acti .-
vo. La resi stenci a de carga Mos ti ene su puerta conectad.a a vrru man-
teni ndol a as en su estado de conducci n. Cuando el vol taj e de entrada
est baj o
(por
debaj o de Vr),
Q2
se pone
en corte. Como
QL
est si empre
conduci endo, el vol taj e de sal i da est cerca de vrr. cuando el vol taj e de
entrada es al to (cerca
d" vr),
Q2
conduce. La corri ente fl uye desde vr,,
a travs de l a resi stenci a de carga
Q1
y l uego
Q2.
La geometra
de dos di J-
drenaj e
I
, ! J
puerta
--l
h substrato
'
r---1
I
fuente
I )
I
r ;
---.]
|
*i
,t
I
dr enaj e
)
rJ rl
Puert a
J
F+t subst rat o r,
--l
I
"l
*l
fuente .S
( a) canal p ( b)
canal n
Fi gur a 13- 20 Si mbol os par a
t r ansi st or es MOS
http://libreria-universitaria.blogspot.com
Z
a
(,

,'
-

.l !
A R
:
Z ' :
7 !
5 U
l o
r . r
F
Q
!
G
S
>
v
I
I
tc--
|
| 4 r l
Ll
I
|
..-r J-
I TTT
t t t l

\ q
T
I
I

>'
+
s
>-
ffi7
http://libreria-universitaria.blogspot.com
posi ti vos
Mos debe ser tal que
l a resi stenci a
de
e2,
cuando est condu-
ci endo. es mucho menor que l a resi stenci a
de
el
pui u
*""tuner
ra sari da
y
a un_ r' ol taj e por
debaj o de V,.
La compuerta
NAND mostrada
en l a Fi gura 13-21(b)
usa transi stores
emi sores'
Las entradas
A y B deben r", u*i ". dt;;." que
todos l os
t ransi st ores
conduzcan y. causen
que
l a. sal i da
. u po"g"' "i t ".
si cual qui er
enrrada es taj a, el tra.nsi sto.r
co.respo.rdi entl
.;-;;' ;n..corte
y l a sari da
ser al ta. De nuevo, l a resi stenci a
en seri e r.maaa p";
l o. ,.p".i ;i ;;;
\1oS
_a_cti vos,
debe ser me_nor que
l a correspondi ente
a l a resi stenci a
de
carga Mos. La compuerta
NoR, mostrada
.n r" ri gui "*t-zt(.),
usa tran_
si stoes
en paral el o.
si
_c-ual qui er
entrada_
es ati a,
"-r-l i ""ri .tor
co*espon_
di ente co.nduce y
l a sal i da
"r
b"".
si todas tas e.,traa.
-son
baj as,
todos
i os transi stores
acti vos estarn
en corte y
l a sari da
".tu. "1t".
13- 8
MOS COMPLEMENTADO ( CMOS)
Los ci rcui tos Mos compl ementados
obti enen
ventaj a del hecho de que
am-
bos di sposi ti vos
de
:-ar3l
n y p pueden
ser fabri cados
en el mi smo substra-
to. Los ci rcui tos cMos
cr,i i te'
de ambos ti p".-a.
i i sposi ti vos
MoS
i nterconectados
para
fomar
funci ones
l gi cas.
El ci rcui to bsi co es el i n-
versor' que
consi ste
de un transi stor
de ca' al ti po p y
un transi stor
de
canal ti po n, como se muestra
en l a Fi gural }_22(a).
El termi nal
de l a fuen-
te del di sposi ti vo de
canal p est en vo, y
er termi nal de l a fuente
del
di sposi ti vo
de canal n est a ti erra.
pi "ul o,
i ;-t;;-i l .de
estar entre
f
3
v f
18 v. Los dos ni vel es
de vol taj e
son 0 pa#.i i i uur
baj o y
vro
para
el ni vel al to.
Para comprender
l a operaci n
del i nversor,.
se debe repasar
el compor-
tami ento
del transi sto
MOS de l a secci on prevl a:
@8 cI RcUI ToS I NTEGRADoS
DI GI TALES
cAP. 13
I . El Mos de canal n conduce
cuando
su vol taj e de puerta
a fuente
es
posi ti vo.
El MOS de canal p conduce
cuando su vol taj e de puerta
a fuente
es
negati vo.
2.
3' cual qui er
ti po de di sposi ti vo
se pone
en corte cuando su vol taj e
de puerta
a fuente
es cro.
consi dere
ahora l a operaci n
del i nversor.
cuando su entrada
es baj a
ambas puertas
estn en potenci al
cero. La entrada
r.t-,
-voo
con res-
pecto
a l a fuente del di .sposi ti vo
de canar p y;
;;-i "Jp".to
a ra fuente
del di sposi ti vo
de canar n. Er resul Juo
ei que
el di sposi ti i o
a" canal p se
acti ve y
el di sposi tj vg
d.e canar n.se-ponga
en corte. Baj o estas condi ci ones
hay un cami no
de baj a i mpedanci a
desdJ v' hasi a l ";i " y
un cami no
de i mpedanci a
muv al ta desde l a.al i aa
hal si a ti eri a.-por' i anto
el vol taj e
de sal i da .e acer" al ni vel ;ttr
y,*;o
condi ci one.-.,.-al es
de carga.
cuando
l a entrada es a.l ta, ambas' pu*i t.
estn en vr;
-y-l ,
si tuaci n
se
i nvi erte:
el di snosi ti vo
de. canar p
*u pn""
en corte y
er de canar n conduce.
El resul tado
e. gu* l a sal i da ."
;i ;; al ni vel baj o de 0 V.
l *
http://libreria-universitaria.blogspot.com
-
'"-1_.,
Y= A'
v
=
(AB),
Y=( A+B) '
(b)
Compuerta
NAND
(c)
Compuert a NOR
Ci r cui t os l gi cos CMOS
Fi gura
13-22
En cada caso un transi stor est conduci endo rni entras q\re el otro est
en corte. Debi do a que
un transi stor si empre se pone en cort;, l a di si paci n
de potenci a
dc del ci rcui to cMos es extremadamente baj a, del oren de
10 nw. El mayor drenaj e de pot enci a
ocurre cuando el ci rcui t o cMoS cam-
bi a ce es: ad-
La l : s-. ca
r: \ f
OS se especi f i ca usual ment e para una sol a operaci n de
surc: : : : : : : ' - i : . -: . : : e. , de 5
-
15 \ -, pero al gt rnos ci rcui t os pueden operar a
3 \ ' ' - - :
" '
. - p: r , os C\ l OS con gr andes val or es de sumi ni st r o de vol -
t aj e r : : i - : r - : :
- : .
- : i i si paci n de pot enci a.
El t i empo de r et ar do de
propa: ac. -: .
i -s: -. --. : i e
-. ' e.
margen de rui do mej ora con el aument o de vol -
t aj e oe sj -": : : s: : : de pr, sq1. El ret ardo de propagaci n
del i nversor es
cerca de 25 ns. El margen de rui do es usual mente cerca del 40% del val or
del vol t aj e de sumi ni st ro Voo. Las vent aj as del CMOS, di si paci n baj a
de potenci a, excel ente i nmuni dad al rui do, al ta densi dad de empaguey un
amplio rango de voltajes de suministro, Io hacen un fiierte contendo como
norma popul ar para
una fami l i a de ci rcui to di gi tal .
Otras dos compuertas bsi cas CMOS son mostradas en l a Fi gura 13-22.
Una compuerta NAND de dos entradas consiste de dos unidades tipo p en
paral el o y
dos uni dades ti po n en seri e, como se muestra en l a Fi gura 13-22
voo
I
l*o
-l
r-
F"
a
:
Inversor
( a)
I
t

t
i
!
t
f
i
I
http://libreria-universitaria.blogspot.com
6 I O CI RCUI TOS I NTEGRADOS
DI GI TAL ES
c AP. 1 3
( br .
Si t odas l as ent r adas
est n al t as, ambos t r ansi st or es
de canal p est n
en cor t e
v ambos t r ansi st or es
de canal n en conducci n. La sal i da t i ene
una rmpedanci a baj a- con respecto a ti erra y produce
un estado baj o. Si
c' al qui er ent r ada es baj a, el t r ansi st or asoci d de canal n se pone
en cor _
t e' el t r ansi st or asoci ado de canal p
conduce. La sal i da se acopl a &yo,
' pasa
al estado al to. Las compuertas
NAND de ml ti pl es entradas pJe-
oen l brmarse col ocando nmeros i gual es
de transi sto.r.
d. ti po p y ti i o n
en paral el o y seri e respecti vamente
en un arregl o si mi l ar al mostrado en l a
Fi gur a t 3- 22( b) .
una compuerta NoR de dos entradas,
consi ste de dos uni dades de ti po
n en paral el o y
dos uni dades-de
ti po p en seri e, como se muestra en l a Fi gu-
r a l : ) ' - 22( c) .
cuando t odas l as ent r adas est n baj as, ambas uni dades" de
canal p est n en conducci n y
ambas uni dades de canal n en cor t e. La sa_
i i da se acopl a I V, , , , y pasa
al est ado al t o. Si l a ent r ada es al t a, el t r an-
sr st or asoci ado de canal p se pone
en cor t e y el de t i po n se act i va. Est o
conect a l a sal i da a t i er r a causando r t na . al i d
de bai o ni vel .
REFE RENCI AS
Taub, H. y D. Schi l l i ng, Di gi tar Integrated Erectroni cs.
Nueva
york:
McGraw-
Hi l l Book Co. , 1977.
G, r i ni ch, v. H. y H. G. Jackson, I nt r oduct i on
t o I nt egr at ed
ci r cui t s. Nueva
yor k:
McGraw-Hi l l Book Co., 1975.
Morri s, R. L. y J. R. Mi l l er, Eds., Desi gn i ng wi th rrL Integrafec ci rcurs. Nue-
va York: McGraw-Hi l l Book Co., 19?1.
Garret, L. S., "Integrated-ci rcui t
Di gi tal Logi c Fami ri es". IEEE spectrum (oc-
tubre, novi embre,
di ci embre. l g70).
De Fal cq_J. A., "cornpari son
and uses of rrl , ci rcui ts". computer Desi gn (fe-
br er o. 1972) .
Rl rcrd' w. R. Jr., MEC_L system Desi gn Handbor.
phoeni x,
Ari z.: Motorol a Se-
mi conductor Producr s l nc., Ig72.
Data Booh Seres SSI)-2058: COS
/MOS Di gi taL Integrated. Ci rcutts, Somervi _
l l e. N. J. : RCA Sol i d St at e Di vi si on. 1974.
')
1 .
PROBLEMAS
13-1. (a)
Det ermi ne el vol t aj e de sal i da de ni vel al t o de l a compuert a RTL para
una
capaci dad de carga de b.
(b)
Det ermi ne
el vol t aj e de ent rada m ni mo . "q. ru_
ri do para l l evar un t ransi st or
RTL a sat uraci n cuando hrc: 20. (c) De l os
resul t ados
en (a) y (b),
det ermi ne el margen de rui do d" i u"
"o-prrert a
RTL
cuando l a ent rada es al t a y l a capaci dad de carga 5.
l 3-2. Demuest re que el t ransi st or de sal i da de l a compuert a DTL de l a Fi gura 13_5
pasa
a sat uraci n
cuando t odas l as ent radas est n al t as. Asuma qu" hrr: 29.
l 3-3. conect e l a sal i da
y
de l a compuert a DTL most rada
en l a Fi gura 13_5 a N en-
t radas de ot ras compuert as si mi l ares.
Asuma que el t ransi st -or de sal i da est
sat urado y que su corri ent e de base es 0, 44 mA. Asuma h, ,
_ZO.
l . ^
http://libreria-universitaria.blogspot.com
r d ,
' e ,
1 j l - 1 . D: :
Cr -
PROBLEM, AS 61 1
( a)
Cal cul e l a cor r i ent e en l a r esi st enci a de 2 ko.
(b)
Cal cul e I a corri ent e que vi ene de cada ent rada conect ada a I a compuert a.
(
c ) Cal cul e I a cor r i ent e de col ect or t ot al en el t r ansi st or de sal i da como una
f unci n de -\ ' .
Encuent r e el val or de N que mant enga el t r ansi st or en sat ur aci n.
. f i ual
es l a capaci dad de carga de l a compuert a?
i rt r i a i nt erconexi n de compuert as I 2L para f ormar un decodi f i cador
{ . : r a
c . - . - ^
- n -
que t odas l as ent radas de I a compuert a TTL de col ect or abi ert o de l a
l : l - 11 est n en el est ado al t o de 3 V.
t e: mi ne l os vol t aj es en l a base, col ect or y emi sor de t odos l os t ransi s-
i : =. ne el m ni mo ho, . de
Q2
que asegur e que el t r ansi st or se sat ur a.
: - . - a cor r i ent e de base de
Q3.
: - : que el hou m ni mo de
Q3
es 6, 18.
Cul
es l a cor r i ent e mxi ma
: , : de ser t ol erada en el col ect or para asegurar l a sat uraci n de
Q3?
-. . es el val or m ni mo de 8, . que puede ser t ol erado para asegurar I a
: : ac i on de
Q3?
. ndo l os t ransi st ores de sal i da act ual es de dos compuert as TTL de
r, r abi erto, demuestre
(por
medi o de una tabl a de verdad) que cuando
)nect ados conj unt ament e a una resi st enci a ext erna
!
V, , ", l a cone-
r. . -: , cbi eada produce una f unci n AND. (b) Pruebe que l os dos i nversores
- T L oe col ect or abi ert o cuando se conect an
j unt os
producen una f unci n NOR.
=-: ' r conect ados para f ormar una l gi ca al ambrada. Para vi sual i zar por qu
: : i r: prohi bi do, conect e dos de t al es ci rcui t os y dej e que l a sal i da de una
. : : pert a est en el est ado al t o y I a sal i da de l a ot ra compuert a en el est ado
-: -
Demuest re que l a corri ent e de carga
(l a
cual es l a suma de l as corri en-
-. ".
: . : ==
' ,
col ect or del t ransi st or sat urado
Q4
de l a Fi gura 13-14) es cerca
: : -
-
a =pare est e val or con l a corri ent e de carga recomendada en el
- _\
- '
: . : = : - i : : - - : : t - . : . J. : i : ! , nes. haga una l i st a de l os t r ansi st or es que est n
: - .
* i
: : - : .
.
- _: : . : an conduci endo en l a compuer t a TTL de t r es est a-
:
-
: . . . f - : " - ' - 16r c' .
( Par a
Ql V QO
ser i a necesar i o l i st ar ) os est ados
: : r . : r : t : r r : : . : i l . as
, i unt ur as
de base emi sor y base col ect or ) .
: -- -3: . l i s ra-l a

. -1 es baj a.
: I - . : . :
'
=. ar a

. { es al t a.
. !
! u d l u v ! Y b d r l o .
4, Cuai
es el est ado de l a sal i da en cada caso?
l 3-9. Cal cul e l a corri ent e de emi sor / , a t ravs de r?, en l a compuert a ECL de l a
Fi gura 13-17 cuando:
(a)
Al menos una sal i da est al t a en
-
0, 8 V.
(b)
Todas l as ent radas est n baj as en
-
1, 8 V.
Asuma ahora que I c: I n. Cal cul e l a ca da de vol t aj e a t ravs de I a resi st en-
ci a de col ect or y en cada caso y demuest re que se requi ere cerca de 1 V.
13-10. Cal cul e el margen de rui do de l a compuert a ECL.
http://libreria-universitaria.blogspot.com
612 CI RCUI TOS I NTEGRADOS
DI GI TALES
cAP. 13
13-11' usando l as sal i das NoR de dos.compuertas
ECL, demuestre que
cuando se
conectan j untas
a una resi stenci a
externa y a un vol taj e negati vo de sumi _
ni stro, l a conexi n al ambrada produce
una fnci n
OR.
13-12. EI transi stor MoS es bi l ateral , es deci r que l a corri ente puede
fl ui r de l a
fuente al drenaj e o vi ceversa.
usando esta propi edad,
deri v un ci rcui to que
configure Ia funcin de Boole:
Y=( AB+CD+AED+CEB) ,
usando sei s transi stores
MOS.
13-13' Demuestre
el ci rcui to
de l a compuerta
NAND de cuatro entradas usando
t r ansi sr or es
cMos. ( b)
Repi t a r o ant er i or p" . "
un" . " - ; ; ; ; "
NoR de cua-
tro entradas.
http://libreria-universitaria.blogspot.com
Apnd i ce
l - :
RESPUESTAS
A PROBLEMAS
SELECCI ONADOS
Ca p t ul o
1
I
-3.
l - 4.
l - 5.
l - .
0, t , 2, 10, I l , 12, 20, 2r, 22, 100, l 0l , 102, I 10, nt , n2, t 20, t zl , t 22, 2n, 20t .
(a) 1313, 1022t0
@)
223, n3t4.s2
(c) 1304, 336313
( d) 331, 13706
( 10002l . l l l l
. . .
\ ;
( 3322. 2) o; ( 505. 333 . . . ) r ; ( 312. 4) r ; ( FA. 8) 6.
I 100.0001; l 00l I100010000; 1010100001.001
I I; I I I I l 00l I10.
2,53125; 46,3L25; I17,7 5; 109,825.
decmal
bi naro
225, 225
I I 100001. 001I t 00l I
215, 75
I l 0l 0l I l . l l 0
403, 9843 l l 00l 00l l . l l l l l l
10. 949, 8125 l 0l 0l 0l l 000l 0J. l l 0t
(a) 73,375
o)
151
(c) 78,5
(d) 580
(e) 0,62037
(D
35
(e)
8,333
(h)
260
oc t aL
341.t6314
327.6
623.77
25305.64
hexadeci mal
81. 399
D7.C
l 93.FC
2AC5.D
l - 8. Compl ement odel :
0101010; 1000111; 1111110; 01111: 11111.
Compl emento
de 2: 0101011; 1001000; 1111111; 10000: 00000.
\
i
rit
ti '
';:
.i
:{
I
,
l - 7.
613
http://libreria-universitaria.blogspot.com
614 APENDI CE
l -9. Compl ementodeg
: 86420; 90099; 09909; 89999; 99999.
Complemento de 10: 86421; 90100; 09910; 90000: 00000.
I
-
10. ( 17
5) n.
l -14. (a) Sei s tabl as posi bl es.
@)
Cuatro tabl as posi bl es.
l - l i . ( a)
1000 0l l 0 0010 0000
( b)
l on l 00l 0t 0l 00l l
( c)
l l l 0 l l m 0010 0000
( d) l 0000l l 0l 0l l 00
I - 17. 0000, 0001, 0010, 001 l , 0t 00, 0101, 0110, 0l l l , l Ou, 1100, l l 0l , l l l 0.
r - 18. 00001, 01110, 01101, 01011, 01000, l 0l l 0, l 0t 0l , l 00l l , 10000, l l l l l .
1- 20 000, 001, 010, 101, 1i 0, 111. r epr esent ando
0, I , 2, 8, 4, 5, r espect i vament e.
l - 21. Dos bi t s por
cl ase, cuat r o bi t s por nmer o, J: 1011,
e:
1100, K: 1101.
t-23. (a) 0000 0000 0000 0001 0010 0lll
(b) 0m0 0000 0000 0010 l00l 0l0l
( c ) l l l 00l l l i l 10 1000 i l l l 0l 0l
1-24. (a)
59? en BCD
b\
ZAS en exceso 3
(c) No vl i do para
el cdi go 242I d.e l a Tabl a 1-2
(d)
FG en al fanumri co
1
-25.
00100000001 + 1000001 l 0l 0
:
l 0l 000l l 0l l .
l - 26. L:
( A
+ B)
. C.
Cap t ul o 2
2-1. conj unto cerrado, asoci ati va,
conmutati va,
di stri buti va; l a i denti dad para
f
es 2; l a i dent i dad par a. es
0; no hay i nver sos.
2' 2.
Todos l os postul ados
se sati sfacen excepto el
postul ado
5; no hay compl emento.
2-5. (a)
"
(b) x
\c)
y
(d)
z(x + y)
(e) o
(f)
("t
+ n)
2- 6. ( a)
A' B' + B( A + C)
(b) BC + AC'
l
http://libreria-universitaria.blogspot.com
RESPUESTAS A PROBLEMAS SELECCI ONADOS 6/ . ;
( c ) A+CD
(d\
A + B,CD
2-7. (a) I
b)
B' D' , + A( D + BC' , )
(c)
I
( d) ( A' + B)
( C + D)
2- l l .
( b) . F
: ( - r ' +- r " ) ' +( x+ y) ' +( y * z' ) ' t i ene sol ament eoper ador es ORy NOT'
( c) F:
( ' t - ) '
' ( r ' y' ) ' ' ( y' z) ' l ' t i ene
sol ament e oper ador es AND
y NO' l ' .
2- 12. ( a) ?' r
:
A' ( 8" + C' )
( b) r z : A+BC: T l
2- 13. ( a) ) ( 1, 3, 5, 7, 9, l l , 13, 15) : 19, 2, 4, 6, 8, l 0' 12' 14)
(b) >(1, 3, 5, 9, 12, 13, l 4): II(0, 2, 4, 6,7, 8, 10, I I, 15)
(c)
X0,
l, 2, 8, 10, 12, 13, 14, 15)
:
13, 4, 5, 6, 7
,
9, ll)
(d) >(0, 1, 3, 7)
:
rI(2, 4, s, 6)
( e) >( 0, l , 2, 3, 4, 5, 6, 7) , ni ngn t r mi no mxi mo
(0 >(3, 5. 6, 7)
:
l I(0, 1,2, 4)
2- 14. ( a) n( 0, 2, 4, 5, 6)
o)
n( 1, 3, 4, 5, 7, 8, 9, 10, 12, 15)
( c) ) ( 1, 2, 4, 5)
( d) >( 5, 7, 8, 9, 10, l l , 13, 14, 15)
2-18. F
--
x @ y
:
x' y + r/' ;
(dual de F)
:
(x' + y)(x + y' )
:

* x' y'
: p' .
2-20. F: xl * xz * yz.
Ca p t ul o 3
3-1. (a) y
@)
ABD + ABC + BCD
(c) BCD + A' BD'
(d) wx * w'x'y
3-2. (a)
ry
+ x'z'
b)
c' + A' B
(c) a' + bc
( d) r y +x z +y z
3- 3. ( a) D+B' C
b)
BD + B' D' + A' B or BD * B' D' + A' D'
(c) l n' * k' m' n
(d) B' D' , + A' ,BD + ABC' ,
(e) xy' * x'z I wx'y
r
I
i
I
'
http://libreria-universitaria.blogspot.com
3-4.
3-_(
_.-6
3-7
3-8.
6I 6 APEND I cE
( a) A' B' D' + B' C' D' + AD E
( bI
DE + A' B' C + B' C' E'
( cI
BDE, + B, CD, + B, D, E, + A, B, D, + CDE,
t a) Ft : n( 0, 3, 5, 6) ; Fr : n( 0, 1, 2, 4)
( b)
Fr
:
x' y' z I x' yz' +
r y' z' +
xyz; F2:
r y
+ xz * yz
(c) F,
:
(x + y + z)(x + y' + z,)(x, + y + z,)(x, + y, t z\;
F2: Q+y ) ( x +z ) ( y +z )
(a)
v
o)
(B + C',)(A + B)(A + C + D)
t
(c) (w + z')(x' + z')
(a) z' + xy
:
(x + z')(y + z')
@)
C' D + A' B' CD' + ABCD' : ( A + B, + D) ( A, + B+ D) ( C + D)
( C, +
D, \
( c ) A' , C' , + AD' , + B' , D' , : ( A' + D' ) ( C' + D' ) ( A + B' + C' )
( d) B' D' , + A' , CD' , + A' BD: ( A' + B' ) ( B + D' ) ( B' + C + D)
(e) w'z' * aw'x * a'wz
:
Q:'
+ w')(w' + z)(w + x * z,)(a I w * z,)
(a)

v
z'
3- 9. ( a) F, : A+ D' E' + CD' : ( A, D+ A, C, E) ,
o)
r z: A, B' + C' D' + B' C'
:
( BD + BC + AC) '
3- l l . ( a) r : BD + D' ( AB' C' + A, B, C)
3- 12. ( a) ( A' + B' + C' ) ( A + B' + C + D, ) ( A + B + C, + D, )
(b) (c + D)(c, + D,)(A + B)(A' + B' )
3-13. AND-AND
--+
AND, AND-NAND
-+
NAND, NOR-NAND
--+
OR,
NOR-AND--; NOR, OR-OR - OR, OR-NOR
-+
NOR, NAND-NOR
-+
AND, NAND-OR
--+
NAND.
3- 15. ( a) r : I
( b)
F: CD' + B' D' + ABC' D
3- l . ( a) r : A' C + B' D' ; A' ( C + D' XB' + C)
(b) x'z' * w'z; (w' + z')(x' + z)
( c ) AC + CE' + A' C' D; ( A' + C) ( C + D) ( A + C, + D, )
or AC * CD' + A' C' E; ( A' + C) ( C + E) ( A + C, + E, )
(d) A' B + B' ,E' ; (A' + B' )(B + E' )
3-17. (a) B' (A + C' + D' )
(b) A' D + ABC'
(c) B' D + B' C + CD
I
I
I
j
L,
)
z'
http://libreria-universitaria.blogspot.com
RESPUESTAS
A PROBLEMAS
SELECCI ONADOS
617
3- 18. F: ' - \ +
r z( necesi t a
cuat r o
NAND) ;
F: ( x, _t
z) ( x*y) ( necesi t a
cuat r oNORr .
3- 19.
d- ABC, DE
+AB, CDE, +
ABCD, E.
3- 20.
B' D' ( A'
+ C) +, BD( A,
+_C, ) ; I B,
+ D( A, + C, ) l I B + D, ( A,
+ C) l ;
[D'
+ B(A, + c,)]tD
+ n,f; i c)i.
'
3' 21.
.g
:
x' yz' * w,y,z * rvry,z,.
3-24. (a)
r= A,CEF,G,
O)
F:
ABCDEFG
+ A,CEF,G,
+ BC,D,EF
(c)
' : A' B' c,DEF'
+ A' BC' D' E
+ cE' F + A' BD' EF
Cap t ul o
4
+1.
Entradas:
Sal i das:
+2.
Entradas:
Sal i das:
+3. Sal i das:
U.
Sal i das:
+5.
Entradas:
Sal i das:
+6.
Entradas:
Sal i das:
+7.
Entradas:
Sal i das:
+8.
Ent radas:
Sal i das:
4- l l . Ent r adas:
Sal i das:
a, b, c, d.
F
=
abc + abd + bcd + acd * a,b,c, 4 a,c'd,
+ a,b,d,
* b'c'.d';F =
fI(3, 5, 6, 9, 10, 12) (no puede
ser simplificada
sucesi vamente).
43, A2, At .
86t o Br ; B,
=/ At ;
Bz: 0;
\
=
Ai A2; Bo:
A{ A2A, 3
+ ALA);
85
=
A3(A1 + A); 86
=
AzAz.
w, x,L z; w
:
asarbbr;
x
=
ag,obt
+ arbb,s
/
=
aobi
* asa1b1 + a6b,sb1 * a(rafts;
2
:
asbo.
x,y, z;
-
abr * apsbs
* bsas;
y
:
aia:sb,
+ aibb,s + a,raob\bo
+ arbib,6
+ aa,sbi * apsb,
2:
asb' o+
aobo.
A, B, c. D.
t p, x, ! , zi t o :
A, B, C, ;
x
_
BC, + B, C; y _
C; z
:
D, .
A, B, C. D.
FoFtF2Fr;
Ft
:
D; Fz:
CD, + C,D;
\
-
(C + D)
B' + BC, D,; Fa
=
(B
+ C + D)A, + AB,C:,
D,.
F8F4F2Ft.
,sss4s2sr
LeLLzLr;
,:
t;
.{
,+
,t
't
,
t

I
t
i
ft
rl
l l
. i

l 0t
100
l c =
4: . Se: 0; Lr =
Lt : Fi Sr :
Fz i Sz
=
l t r i
S=4.
A, B, C, D.
F=AB+AC.
A, B, C, D.
w, x , y , z i
w
:
AB + AC, D, ;
x
:
B, C + B, D + BC, D, :
y : CD' +C' D; z =D.
http://libreria-universitaria.blogspot.com
61 8 APENDI CE
+12. Ent r adas: A, B, C, D.
Sal i das : w, x , y , z ; w: A; x : A' C + BCD + A' B + A' D
Y
:
AC' D' + A' C' D + ACD + A' CD' Of
Y
:
AC' D'
+ B' C' D + ACD + B' CD' : Z
:
D.
4- 13.
Ent r adas: w, x, y, z.
Sal i das: E ABCD; E: wx + wy; A
:
wx' y' ;
l or l oo
B
:
w,x + xy; C
:
w,y + wry,; D
:
z.
4-14. Entradas: A, B, C, D
(tabl ero
en bl anco para combi naci ones de bi ts de
entrada i nvl i dos).
Sal i das : a: A' C + A' BD + B' C' D' + AB' C'
b: A' B' + A' C' D' + A' CD + AB' C'
c : A' B + A' D + B' C' D' + AB' C'
d: A' CD' + A' B' C + B' C' D' + AB' C' + A' BC' D
e: A' CD' + B' C' D'
f
:
A, BC, + A, C, D, + A, BD, + AB, C,
s :
A' CD' + A' B' C + A' BC' + AB' C'
(Total
de 21 compuertas NAND)
4-15. Ci rcui to sumador comol eto.
4-16. Ci rcui to sumador compl eto.
4-19.
+20. F
=
ABC' + A' B + B'
:
A' + B' + C' ( dos compuer t as NOR) .
4-21. (a)
Sumador compl et o, I ' 1 es l a suma, F, es el bi t de arrast re.
O)
r : A' B' C' + A' BC + AB' C + ABC'
,
4-28. Vari abl es de entrada: A, B, C, D, vari abl es de sal i da: w, x, y, z.
w
:
A, x
:
A@ B, y
:
r @ C, z
:
y O D.
4- 29. C: xO y@ z@ P ( t r es
compuer t as OR- excl usi vas) .
l r
http://libreria-universitaria.blogspot.com
\E-\R,\a\\\\\R,\\\\A$.\\\alatt\\\\\\\
- - l
: 3
-
- - e Fi gur a 5- 2 except o que 8:
- , =: r a OR- exc l usi va se usa par a
' '
l)
t.,
( ;
1101.
l i r r mar el compl ement o de 1 de R
, , qui val ent es a
ent r ada cot t r
: r - - ' . ent o de 2 se obt i ene agr egar - r do I V r l ar r ast r e de ent r ada.
-
Pr Gt + P4Pr G2 + P4P3P2Gt + P4p1p) pt Ct .
( J: . P.
* G; C; P; + Gi C; G P + Ci G; G C ) '
P. + Gi P; + G\ C: P + G; G; G; C' , t ) ' ,
i -
: : : . . . : r . : . r . , f
r , . r : , - r r i s a l i d a S: . \ , . \ ' r , , \ r , . \ ,
- . : . . . l as di ez compuer t as AND r equi er en cuat r o ent r adas
-
: r : ' nl i nos m ni mos desde n, , hast a m, , .
. j ' r . . ; . - - )
:
: ( 0, l , 6) .
. : - ,
, : . , I
. ; )
:
: ( 4, 5)
( use
compuer t as \ ORt
i ' . , \ . . f . : ) : : ( 0 , l , 6 , 7 ) : F , + mr .
l - nt r adas: I ) \ ) I )
|
D. ) D,
;
sal i das: . r ,
. r ' ,
E. I ) r i or i dad dada a l a
: - . umer o de suscr i t o mayor .
' . :
Dt + Dt .
!
:
D1 + D1D) , E: Do
- { -
Dl + D2+ D. ' .
: , : 1, , has t a I t
:
C' , 1, C' , 0, C' , C' , 0, C
19 i a) 1024 x 5
( b)
256 ) < 8
tct 1024 x 2
http://libreria-universitaria.blogspot.com
620
6-4.
6- r0.
00
r 0
r l
l l
00
l 0
00
CP: l
CP
: 0
APENDI CE
Ca p t ul o
6
0J Q(
+ r): JQ' + K' Q
0
0
0
0
0
0
I
I
0
0
I
I
0
I
0
I
0
I
0
t
6- 5.
.tD
Q0+t ) : s+R' 0
0
0
I

0
0
I
I
0
I
0
I
0
I
0
I
0
0
I
I
0
I
I
6-7. Sal i dadel acompuer t a:
2 3 4 5 6 7
g
9
(a)
o)
(c)
(d)
(e)
(f)
I
0
I
I
0
0
n/ 0
\ z
- r
\ ,
:v
0
0
I
I
0
I
0
I
, l
0
0
0
0
,]
l 0l
I l 0
l l 0
001
l 0l
l 0l
l 0l
o0/ |
t t / l
01/ o
t 0 / 0
Ent radas: : y
Sal i da: s
I
I
0
I
0
I
0
I
0
I
00/ 0
o I / 1
t 0 / 1
6-l l . Un contador con una secuenci a repeti da: 00, 01, 10.
6-12.
:
1; l a secuenci a bi nari a es: 1,
g,
4, 2,
g,
12, 6, 1I,5, 10, 1g, t4, IE,7, B.
: 0; l a secuenci a bi nar i a es: 0,
g,
12, 14, 7, 11, 18, 6, J,
g,
4, 10, 5, 2, 1.
ir.
http://libreria-universitaria.blogspot.com
\
. D
+
:,
.i
i
6- 13.
6-14.
6- 15. Est ado:
Entrada:
Sal i das:
- 16. Est ado:
Entrada:
Sal i das:
t
al b c e d g h
I C
h a
0l I l 00l 00l
I
010001I
l 0l 0
af babdgdggda
0l I l 00l 00l
I
010001I
l 0l 0
0
0
I
I
00
0l
l 0
l l
:
I
6- 18. J K' ,
QQ+I )
0
QQ)
Q' Q)
I
6- 19. SDR
QQ)
0
I
I
QQ) QQ+I )
J K'
00
0l
l 0
l l
0
I
0
I
OX
I X
XO
XI
QQ+I )
QQ) QQ
+ I) SDR
0
I
0
I
0x
I X
0l
x o
\ cuul qui .."
I x )
6- 20. ( a) TA: A + B' x; TB: A + BC' x + BCx' * B' C' x' :
TC=Ax * Cx * A' B' C' x '
P.S.
Estado si gui ente
Sal i da :
AB
r / : 00
AB
x Y
: 01
AB
x / : l 0
AB
x Y: l l
AB
r y: u
4
: 01
D' :
10
00
0l
l 0
l l
I
0
I
I
0
I
0
0
0
0
I
I
0
I
0
0
I
I
0
I
I
0
0
0
0
I
I
I
I
I
I
0
0
0
I
0
I
0
0
0
0
0r
0l
0l
ol
0
0
l
I
A( t +
D: x B
t
v ' B' A' +
y A + x ' A; B( t + l ) : x A' B' * x ' A' B + y A' B
f b
d a
g a
f b
g d
a
b
d
I
c
http://libreria-universitaria.blogspot.com
622 APENDICE
( b)
Sl
:
A' B' x ;
M: A; SB: A * C, x , ; RB: BC, x * Cx , .
SC
:
A, B, x, + Ax; RC
:
A, x
( c ) J A
=
B, x , KA:
l ; J B:
A + C, x , , KB:
C, x * Cx , ;
JC
:
A, B, x, + Ax, KC
:
x; y :
A, x
6- 21. ( A
: 23,
B
: 22,
C^=2t , ? :
Z) ; TA
:
( D + C + B) x;
r B:
@
+ C) x; TC: Dx; r :
O.
6- 22.
JA
:
x, I ( A
:
x, ; JB :
Ax, , KB :
l ; JC :
Bx + Ax, KC =
Bx, .
6' 23.
J_Q- a:
QpzQo
. I et :
e^, e:
Jez:
eet
Jet : I
KQt :
Qt Keo: b, t j ,
i r :
e,
Ket
=
|
[
6_24. 12421 1. ,
L
A B C DI ,
: A
:
BCD + A, B; TB :
CD + A, Bi TC :
D + A, B;
TD: t .
6-25. (a)
J
:
B, KA
:
t; JB
:
A,, KB
:
I
( b)
JA
:
BC, JB :
C, JC
:
A,
M: t , KB: C, KC: l
( c) t
:
BC, JB :
C, JC
:
B, + A,
KA: B, KB: A+C, KC: I
6- 26.
SA
:
BC,
SB
:
B, C
SC: A,
RA: BC
RB: AB
RC: B
6- 27. TA
:
A @ B; TB: B O C; TC
:
AC + A, B, C,
6- 28.
J A: B'
J B: A+C
J C: A, B
KA: I KB: I
KC: I
6- 29.
DA
:
A, B, C
+ ACD + AC, D,
DC
:
B
DB:
A, C + CD, + A, B
DD:
D,
6- 31.
J A: y C
+
r y J B: x AC
KA
:
x' + y, B,
KB
:
A, C + x, C + yC,
6- 32. ( a)
A( t + l )
:
AB, C, x,
+ A, BC, x
+ A, BCx
B( t + l ) : A' BC' x ' *
A, B, Cx .
JC: x' B + yAB,
KC: A' B' +
x B * y , B,
+ AB' C' x + AB, Cx.
I
C( t + l ) : A' B, Cx , +
A' BC, x , +
A, BCx , +
AB, C, x , +
AB, Cx , .
d(A, B, C, x)
::(0,
l , t2, 13, 14, 15) (trmi nos
de no i mporta).
Ca p t ul o
7
7-1.
Use una compuerta
NAND externa.
7-2' (a)
cambi e
er i nversor
asoci ado
con cp en una compuerta separadora,
use flip-flops que se disparen
en el flanco negativo.
7- 4. A( t + t ) : AB' + Bx' ; B( t + l ) : x.
7- 9.
I
: 0010, 0001,
1000, | 00;
e:
l . l . t .
n
o (b)
http://libreria-universitaria.blogspot.com
RESPUESTAS A PROBLEMAS
7- 10. D
=
O y @
Q; JQ
:
x' y; KQ
:
G'
+ y) '
7- 13. 200 nsr 5 MHz.
l -i 4. Di ez fl i p-fl ops sern compl ementados.
7- 11. l 0l 0 + 10l l
- - +
0100 l l l 0
- >
l l l l
- +
0000
1100 + 1l0l
----,
Autocomenzante
t l
7-18 000 + 001 + 010
--+
0l l *+100
|

l Ol -J zl
l 0r
zl
I1., No autocomenzante
7- 21. JQt : KQt
- -
l .
JQz: KQz:
Qt Q; '
JQq: KQ:
Qt Qz.
JQa:
Qt QzQ;
KQa:
Qr
)
7-30. (a) Est ados no usados
(en
deci mal ):
Est ado si gui ent e
(en
deci mal ):
) 2 - - + 9 - - + 4 - - + 8
l 0 - + 1 3 - + 6 - - + l l - + 5 - - > 0
4 5 6 9 l 0 l l 13
l 0 2n413 5 6
es un estado vlido
0 es un est ado vl i do
2
9
8
7-32. (a) t3,32
@)
32, 768
7-35. (a) l 6
o)
8, 16
(c) l
(d) 16 + 255k donde A es el nmero de 1 en l a pal abra a ser al macenada'
Cap t ul o 8
8-3. Un regi stro de despl azami ento a l a derecha con entrada en seri e r y control de
despl azami ent o P.
I
:
:
8
-5.
8-'l
(a) (l) 8 <- A; (2) A <- B; (3) C <- D; (4) BUS <- B
(b) (l ) 01000; (2) 10010; (3) 001 l 0
operaci n di recci n MUX datos MUX
decodificador
de destino
(a) escri tura l 0
(b) l ectura I I
l t
t 0
8-9. Un cont ador de modo 9 que cuent a l os est ados bi nari os desde 0
| rast a
8.
8- 12. S: A <- shr l , . B eshr B, Bn<- Ap Anr - Ay
8-14.
PR f orma el product o de B, R y A. R medi ant e sumas sucesi vas del cont eni do de
BR un nmero de veces i gual nmero en AR. La mul t i pl i caci n comi enza cuan-
do S se convi ert e en 1 v t ermi na con D: 1.
SELECOof . TAoOS 623
http://libreria-universitaria.blogspot.com
624 APENDICE
8-16. (a) 000000
(b)
0l1000 (24)
1c.
000011 (3)
( d)
t 00ol t ( _29)
(e)
001 I l 0 (14)
(0
0r000r (17)
( e)
l 0l l l l ( - 17)
(h)
000101 (5)
6-lE (l) (a) Sobrecapacidad porque
la suma es mayor que 127
O) cs: l , cr : s
(c)
El signo es negativo
(d)
Sobrecapacidad porque
Cs O Cr:
(e) Sobrecapacidad por inversin
de signo
8-23. (1
-
2-26
' a
2zss
y
2-2s6.
E- : 4. ( 105
-
l ) x l gee
y
19*r s.
8-25.
coefi ci ente
exponente
/
( a)
0 l l l l l l 000000
o)
0 0l nl l l m000
( c)
0 000l l l l l l 000
0001 I l
000010
00000r
8- 26. ( a) A<- - A@B
c on B: l 0l l 0l 00
@) A<- A\ / B c on
B: 00100100
o l l l l l l 0l
8-21. A <- A
/y
E.
8- 28. ( a) 8
o)
l
(c)
5, 536
(d) 8,388,607
6- 31.
Qt l
MAR<-
pC
Qctc:
i{BR. <- M,
pC
<_
pC
+ |
Qqt s:
R <- MBR, T <-0
Cap t ul o
9
9-2.
Cuatro lneas de seleccin para
cada uno.
9-4. (a) 64 x 8 RAM
(b)
(c) 8
(d) 8 mul ti pl exores
de 2X 1 cada uno.
i--
i \
http://libreria-universitaria.blogspot.com
9- 7. l c )
9- 8
RESPUESTAS A PROBLEMAS
SELECCI ONADOS
625
r . r ,
j r c
n
=
m00 00C, 1 0010 001I 0100 0l 0l 0l l 0 0l I L
F=0000 00ql B 8+l E E+t l l l l 0000.
I
9- 9.
' a)
, i t
l C l
r d )
(e)
(0
(c)
(h)
F
=A
+ B
F=f
F: F+l
F=A- - l
F: A-
F
=
B + ,4 B ms el complemento de 1 de B
F
=
B + f + | Bmsel compl ement ode2deB
f
=i
+ A
-
t compl emento de I de
(A
+
B) menos uno
complemento de 1 de (A
+
B
)
complemento de 1 de A
compl ement o de 2 de A
complemento de 1 de A menos uno
compl ement o de 1 de A
9-10. X
:
Ai Y
:
s' Bi Ci ,
:
s.
9-l l . : compl emento de 2 de (B
-
A) y el arrastre ocurre si A < B.
9-12. X: A,(s\ + ro); Y
:
B,s' rs' s + Bi sr.
9- 13. X : A ( sl + so) + Ai sp' ; Y, : B, s1+ 8i si s6.
9-16. Let x: s2s\s,y: s2ssi .
X : x' A + Ai Bi * yB, ; Y :
4r o
+ Bi t r y' ; Z,
:
s' 2C, .
9' 17. Lo mi smo que l a Tabl a 9-4 con l as vari abl es de sel ecci n oR v AND i nter-
cambi adas.
9- 18. ( a) E: 1s i F: t odos l
( b) C: l s A. >B
( c ) A>8s i C: l
A <8s i C: 0
A>Bs i C: I o E: l A: 8s i E: I
A<Bs i C: 0 y E: 0 A+As i E: 0
9-24. R5 <- R | + R2 R6 <- crc R6
R5 <- cr c R5 R5 <- R5 + R6
R6 e-- R3 + R4 R5 e- crc R5
9-26. JA
:
M
=
BKi prc + Bi Ktrc;{,*r
:
A; Bi + A;Ki + Bi Ki .
donde K, es el arrastre de entrada y K,+r el arrastre de sal i da.
9-27. JA
:
B' p1 * pn * Bi pn; KA: p' * Bt2 + Bi p1.
9- 28. JA, : KA, : Ei i Ei +t : Ei Ai ; Et
-
pu.
http://libreria-universitaria.blogspot.com
Capi t ul o 10
l 0-4' r]n cero negati vo ocurri r despus del crcul o de ( -A)
+
(
+
B) si A: B. Esto
puede
evi t ar se bor r ando A" si A: 0 cuando A> B.
l 0- 8. JB, :
y3" :
y; JA" : KA" : z; JE
:
LCour ; KE
:
LC[ ur + w.
f 0- 9. DTo: q^To
+ P, T3; DT1
:
Q^Toi DT2: T, +
pl Tr ;
DT3: z2.
l 0- 12. (a)
0 Lo mi smo que l a Tabl a 10-2
I A<- A + E* l . Se
Cn, E* C, * , ,
i r a3
2 A<_A+
B, S<_c - n, E<_Cn+t
3 Si ( E:
1) ent onces ( i r
a 6)
4 Si ( S:
1) ent onces ( i r
a Z)
5 V. 0, i r a0
6 Si ( S :
1) ent onces
( i r
a 5)
7 V* 1, i r a0
l 0-13.
Una mi croi nstrucci n
con 26 ceros.
10- 14. I Rl <_Rl , c <__0
2 Si (S :
1) entonces (i r
a 4)
3 . Rl <- c r c Rl , i r aS
4 Rl <- s hl f i l
5 Rl +- Rl
6 Si ( S:
1) ent onces ( i r
a
g)
7 Rl e- O
-
8 La si gui ente ruti na comi enza aou
10- 19. 2t ( l + k) .
10-20. TGt
:
Q^
+ T[; TG2: T1 *
p,73.
l 0- 21. Compl ement o
de 2 de A.
10- 22. ( r ' -
l ) ( r ,
-
l )
< ( r r ^
-
l ) par a r >-
2.
10-23. JG1
:
q,Ts + S' Z:2 * Ta * T6; KG,
:
1
J G2: q" Ts +
Tt + E' 75:
KG2: ST2+ T3+ T1
JG,
:
57
KG3: ETs + Tj
10- 25 To: - r
:
1, si ( g. :
1) ent onces ( i r a
?1) del ocont r ar i o( i r a
?6)
Tt i P<- 0, i r a I ,
Tt: Si
( :
0) entonces (i r
a ?e
) de l o contrari o (i r
a ?
)
Tz: Pe.
p
* B, A <__ A
_
l , : - aT2
l A- 26. ( b)
JGt : ( x + z) To + T2; KG,
:
1
JG.
:
(y
+ z)76
.r
Ti KG2: T3
Cap t ut o 11
l l - 3. ( a)
CLE ( b) CLE
SPA
SHL
CME sobr ecapaci dad, si
E A6
SHR
626
I
http://libreria-universitaria.blogspot.com
I l - 5 I uga r
I 1- 8.
{ \ D
BU\
I SKI
: BU\ I
3 I NP
RESPUESTAS A PROBLEMAS SELECCI ONADOS 527
(b) l ugar
SKO
BL\ 5
OUT
l os dos nmeros son i gral es pel
5
1
l l - 6 Ocur r e una sobr ecapaci dad si l os si gnos de
ei srgno del resul cado es di f erent e'
l 1- 7.
( b) \ ' al or de A
- -
( 001 l ) 16
:
( 17) r o
a
PC .\,1.4R B A I
022 083 B8F2 A832 0
083 021 5083 A937 5
I I - 9. E A B PC
cLA I 0000 6800 022
cLE 0 A937 6400 022
cMA I 56C8 62W 022
sHR I D49B 6080 022
sNA I A937 6008 023
l l - 10. l l
r s.
I l - l l . ( a) Fqt t 2: ' 4 + A * B, E + ar r ast r e
F q r t 3 E: . 4 e 4 * l
(c) Debe det ect ar el cero posi t i vo y negat i vo
l l -12. ORA Fqst t : B +- M SUB Fqrt f .
Fqst t : A+- Af B Fqt J 2' .
SWP Fq6t ; . BeM
Fq1/ 3:
Fqr c t 2i A+8, BeA BSA
Qot t l
Fqrct 3 M <- B BPA qt rA\ ut r:
l 1-13. (b) I nst rucci n: AND ADD STO I SZ BSB
Ti empo( r s) : 6 6 5 7 5
B<- M, A<- r
A<- A+l
A<. A18
A * PC. PC * B( AD)
PC <- B(AD)
Br-rN REG r/O
444
I l -14. SBA puede hacerse de di f erent es maneras:
(a)
use el procedi mi ent o def i ni do en el Probl erna 9-25;
(b)
cambi e A y B, l uego compl ement e
y sume;
(c)
f orme el compl ement o de 2 en B como en el Probl ema 9-29'
ADM
gt s:
MAR <- B(AD)
Qgla:
B <- M
?stsi
A <-- B, B <- A
Qs t t i
A< - A* B
4sttt
A <- B, B <- A
Qstz:
M <- B, G <- 0
l l - 1 7 . J E: e z * Ca 2 * Ar o 5 * A1 u a u .
KE
:
et + e2 + C' a2 * A\ a5 * A\ 6a6.
http://libreria-universitaria.blogspot.com
f r r
l 2- 8. ACSZV
( a) 381000
\ , @) 900101
\ ( . ) ooool o
( d) 0000r 0
628 APENDI CE
i l - 19. Un t ot al de 12 CI .
Cap t ul o 12
l2-3. La anchura del bus de datos.
l 2-4. 4.096 pal abras, 8.192 bytes.
12-9. (a) 1R <- MIPCI, PC <- PC + |
O)
IR <- MlPCl, PC <- PC + 1
AR(H) <- Ml PCl , PC e PC + | T <- MIFGI
AR(L)<-- M[PC], PC <- PC + 1 A <- A * T
MIAR] <- A
(c) 1R <- MlPCl, PC <- PC + | (d) 1R <* MlPCl, PC <- PC + |
T<- - B A<- A+l
A<- A+T+l
(e) 1R +-- MIPC| PC <- PC + |
Si
( C: 0)
ent onces
( PC
-
PC
r
2, i r a bsqueda)
AR( H) <- M[ PC] , PC + PC + 1
AR(L) <- MPC), PC e PC + |
PC <-. AR
t2-n. 49.
12-12. (b) Rel ati vo: Instrucci n de dos bytes, A <- MIFC + AD8].
IR <- MlPCl, PC e PC + |
AR(L) +- MlPCl, PC <- PC + |
Si AR
(8) :
0 entonces AR
(H
\
*
todos 1
AR<. PC + AR
T <- MIARI
A+- Al T
l2-r3. (a)
00Fn
( b) TI FB; ( c) 7r 25
12- 14. Ci nco ci cl os de memor i a.
12- 18. PC SP Pi l a
(a) 0l 3F 3,{56 5A, 14
a)
67AE 3A' 58 42, 0t , 5A, 14
(c)
0142 3456 5A, 14
(d)
r45A 3A54
12-21. (a) PC, A, B, C, D, E, F, G, regi stro de condi ci n.
(b)
Di ez ci cl os de memori a.
l
t .
http://libreria-universitaria.blogspot.com
r
RESFUESTAS A PROBLEMAS SELECCI ONADOS 629
12- 22. x : I l i : . r
:
I { i + I ol j : R: 10 + 1r + 12+ I 1. .
12- 24. FFm. FF08. FFl 0. FFl 8.
t 2- 25. ( a) l 6
( b) l l , 7
( c ) 4, 4 x 16
t2-26. (a) 8
.
(b)
128 pasti l l as de 16 grupos de 8 pasti l l as
cada uno. Los grupos son sel ec-
cionados con un decodificador de 4 x 16.
12-27. 24 termi nal es.
12-28. 32 pasti l l as
RAM con un decodi fi cador de 5 x 82. ocho pasti l l as RoM con un
decodificador de 3 x 8. use la lnea 13 para 032. Rango de direcciones: 0000
-
OFFF para l a RAM; 1000- 1FFF para l a ROM.
12-29. (a) 8, 4
(c) RAM: 0000-07FF; ROM: 4000-4FFF; interconexin: 8000- 800F
Cap t ul o 13
13. 1. ( a)
1, 05V
(b)
0,82 V
(c)
0,23 V
l3-2. Is
:0,44
mA, Ics
:
2,4 mA
l 3-3. (a) 2,4 mA
ft)
0,82 mA
@)
2,a
+
0,82N
(d)
7,8
(e)
7
r3-5. (b) 3,53
(c) 2,585 mA
(d)
16 mA
(e) 300 O
l3-9. (a) 4,62 mA
(b) 4 mA
l 3- 10. 0. 3 v.
http://libreria-universitaria.blogspot.com
l ' a
In d i ce
Acceso al eat or i o. 306
Acceso di recto de memori a. 56g
Acceso secuenci al , 305
Acumul ador, 380-406
Adi ci n, 6
con si gno compl ement o de 1, 536_3?
con si gno compl ement o
de 2, 3; 16
con sl gno magni t ud, 432- 41
en ser i e, 278- 82
par al el a,
160- 61
Adi ci n deci mal , 345
Agrupar, 351
Al ambr e sensor . 310
Al gebra de Bool e, 36, 4l
de dos val ores, 39
def i ni ci n, 36- 39
postul ados,
42
pri ori dad
<i el operador, 43
propi edades,
40
simplificacin, 46-48
t eor emas, 41- 42
Al gori tmo, 170,423
Al gori tmo di seado, 423
Al macenami ent o bi nar i o, 27
ALU, 373- 77, 382- 83
di seo del , 391- 96
Ampl i f i cador sensor , 310
A\ D, 27
A\ D al ambr ado. 97. 594
A\ D- OR. I NVERTI DA,
98
Ar i t mt i ca bi nar i a, 4
Ar r ast r e, 123
Aastre de entrada, 384
Arastre de sal i da. 382. 387-88
bi t de condi ci n del , 397- 98
Ar r ast r e nal . 13
Arast re posteri or,
164-65
generador
de, 165
Arregl o l gi co programabl e, 195
con l gi ca de control , 429,461-64
programabl e
en el campo, 198
tabl a de programaci n
del , 198-201
ASC III. 21-22.s67
Asi grraci n de estado, 236
Base del nmer o, 5
Base del transi stor, 581
BDC. 17
630
Bifurcacin. 486. 536
a la subutina, 486
condi ci onal , S36
i ncondi ci onal . 486. b36
Bi nari o, 2
Bi pol ar, 58l
BI T, 16
Bit de arrastre, 397-98
Bit de comienzo, 568
Bit de parada,
568
Bit de paridad,
19 r
Bit de signo, 396-9?
Bi t i ndi cador. 996. 564
BJT, 581
Bloqueador, 267
t i po D, 214
t i po SR, 210
Bloqueador con compuerta, 214
Borrado, 2l I
Borrado del Bit, 350
Bus, 323-24
bi di recci onal , 525
para el microprocesador, 522-23
Bus de datos, 522-23
Bus de direccio nes, 522-23
Bus de tres estados,599
Bus di recci onal , 525
Bus I/ O,522-23
Byt e, 528
Cadena de caract eres, 348
Cal cul adora, 3
Cami no de dat os, 373
gatillado
del, 374
Campo, 37
Capacidad de carga, 581
Cpsul a ROM, 554-55
Catacter,2l
Caracter de sincronismo, 567
Caracterstica, 345
Caractestica del diodo. 585
Carga en paralelo, 270,276, 2gl
Cel da bi nari a. 23
Cero negat i vo, 16, 33?
ct,62
Ciclo de bsqueda, 360-492
Ciclo
{e
ejecucin, 361-494
Ci cl o de escri t ura. 303. 531
7
I i
;{
. 1
i
t
l
http://libreria-universitaria.blogspot.com
-_-..--.-.-"..--<_
\l
Ciclo de lectura. 302. 530
Ciclo de memoria. 305. 530
toma del, 571
C ircuito aritmtico. 383
diseo del, 3&{-86, 388-89
Ci cui t o combi naci onal , 120-21
anlisis del. 133-35
ol seno. l zl - I ZZ
Ci rcui t o de conmut aci n, 28
Ci rcui t o di gt al , 584
Ci rcui t o l gi co, 390
diseo del, 390-93
Ci cui t o MSI , i 60- 201
Ci cui t o NAND de mul t i ni vel , 136
Ci r cui t o NOR. 94- 96
anlisis del 146-49
mul t i ni vel . 144-46
Ci rcui t o NOR de mul t i ni vel , 144
C ircuito secuencial. 208. 225
anl i si s, 224-30
asincrnico, 208
con temporiza ci n, 209
-224
diseo del, 240-46
' sincrnico,
208
Circuitos integrados digitales, 62, 579
Circuitos LSI, 160-201
Ci cui t os NAND, 90-94
anl i si s, 141-46
multinivel, 136-40
Cicuitos secuenciales temporizados, 2W' 224
cMos, 62, 579, 608
,
ci rcui t osl gi cos, 609
Codificador de prioridad, 180, 552
Cdigo binario, 16
Cdigo cargado, 18
Cdigo condicional, 396
Cdigo de exceso tres, 17
Cdigo de instruccin, 352
Cdigo de operacin,352, 532
Cdi go de tarjeta, 21
-22
Cdigo gay, 20
Cdigo hexadecimal, 485, 538
Cdigo reflejado, 20
Cdigos, 16-22
Cdigos alfanumricos, 20-21
ASC I I , 22
cdigo de tarjeta, 22
EBCDI C, 22 "
Cdigos binarios, 16-22
alfanumricos, 20-22
deci mal , 17
Cdigos de deteccin de errores, 19
Cdi gos deci mal es, 17-18
Coeficiente, 345
Coi nci denci a, S6
Colector, 582
Comando de retencin, 570
Comparador, 170
Compaador de magnitud, 120, 205
Comparar, 398-404
Complemento, 38-56
de una funcin, 48-49
Complemento de bit, 350
Compl ement os, 11-12
sust racci n con. 12-15
Component es LSI , 518
Comprobar paridad, 153
, \ O CE
6 3 1
Compuerta, 29-30
Compuerta AND, 29
Compuerta de col ector abi erto, 592-96
bus comn de l a, 595
l gi ca al ambrada de l a, 594
Compuerta de poste totmi co, 596
Compuerta de tres estados, 599-600
Compuer t a NAND, 59
smbolos grficos, 90
Compuer t a NOR, 59
smbolos grficos, 90
Compuerta OR,
!9
Compuerta separadora, 58-6 I
Compuerta separadora de bus, 524-25
Compuerta uni versal , 139-144
Compuertas de ci rcui to i ntegrado, 63-64
Compuetas l gi cas, 529-58
Compuertas l gi cas di gi tal es, 58, 59
Comput ador , 2- 3
diseo del, 357
-ffi,
477
-503
Computador anl ogo, 2
Computador di gi tal , 2-3, 478-489
Condi ci ones de no i mporta, 103
Confi guraci n de l as funci ones de Bool e, 45-46
con AND- OR- I NVERT, 95- 101
con NAND, 90- 94
con NOR, 94- 98
con OR- AND- I NVERT, 98- 101
producto de sumas, 86-89
suma de productos, 86
Confi guraci n de dos ni vel es,87, 96-98
Conj unto cerrado, 40
Consol a de computador, 512
Constante de ti empo, 591
Contador, 251
auto comenzante, 254
BDC. 284. 290
bi nari o, 282-287
di seo del , 251-55
Johnson, 297
N. mdul o- 292
rizado.282
si ncr ni co, 286
Contador asi ncrni co, 283
Contado autocomenzante, 254
Contador BDC, 284-290
Contador bi na ri o, 251, 282, 287
con carga en paral el o, 291
Contador creci ente decreci ente. 283. 287
Cont ador de ani l l o, 297
fi nal conmutado, 297
Contador de datos, 538
Contado de N mdul os, 292
Contador de ri zado, 282
Contador del programa, 359
Contador Johnson, 297
Cont ador si ncr ni co, 286
Control conectado o al ambrado. 426
di seo del . 439-458. 503
ej empi o det,431-452
Cont ol condi ci onal , 332
Control del mi croprograma, 426, 430, 441
del comput ador , SCT- 12
del CPU, 468- 71
del oocesador. 447
-49
ej empl o, t41-46
http://libreria-universitaria.blogspot.com
6. 12 t NDt cE
( l r r nt r ol
del Pl , A, 126, 429, 461
ej empl o, 461- 64, 503- 07
Control del procesador, 447
Control ador del contado de ani l l o, 42?
Conver si n bi nar i a a deci mal , 206
Conver si n de base de nmer os, 7- 9
Conver si n ent r e cdi gos, 130- 162
Cor r ecci n BDC, 167
Co r r i e n t e . 5 8 l
( l P ( ' er
Pul sos de r el oj )
CPLi , 372, 528
C r i st al , 527
Cuadr ados adyacent es, 77- 81
Dat os deci mal es, 343
I ) at os no numr i cos. 348
Deci mal codi f i cado n bi nar i o, 18
Decodi f i cador , 171
BDC a deci mal , 174- 75
BDC a si et e segment os, 155
con ent r ada de act i vador a, 178
conf i g' ur aci n, 176
I Jecodi f i cador
r demul t i pl exor , 178
l Jecr ement o, 329
UemUl t l pl eXor , 1/ i
Despl azami ent o ar i t mt i co, 34 1
Despl azami ent o ci r cul ar , 403
Dr agr ama de est ado, 227
Di agr ama de est ado de cont r ol , 437- 39
Di agrama de secuenci a de ti empo, 30,
Di agr ama de Vei t ch, 75- 114
Di agr ama de Venn, 44
Di odo, 584
Di p , 3 1
Di r ecci n, 301
Di r ecci n de bi f ur caci n, 469
Dr r ecci n de memor i a, 301
Di r ecci n de r et or no, 467, 547
Di recci n di recta, 354
-55,
540
l ) i r ecci n ef ect i va, 542
Di ecci onami ent o i ndexado, 543
Di r ecci onami ent o i ndi r ect o, 543
Di r ecci onami ent o r el at i vo, 541
Di sco Fi oppy, 569
Di seo del ci r cui t o, 477
Di seo del si st ema, 477
Di seo l gi co, 477
Di seo i gi co de cont r ol , 423
Di seo l gi co del pr ocesador , 372
Di si paci n de pot enci a, 68, 581
Di s p a r o . 2 l 6
Di spar , , de l os f l i p f l ops, 216
Di sposi t i vo de ent r ada, 3
Di sposi t i vo de sal i da, 3
Dr enaj e. 605
DTL , 5 ; 9
compuer t a bsi ca, 586
compuer t a modi f i cada, 587
I l ual i dad, 4l
EAROM, 188
EBCDI C, 21- 22
E CL , 6 2 , 5 7 9
compuer t a bsi ca de, 600
Ecuaci n de est ado, 228
di seo de, 225
Ecuaci ones de ent r ada, 230
El ect r ni ca, 579
El ement o de i dent i dad, 36
El emento verdadero,/compl emento,
uno,/cero,
385
Emi sor , 581
Enl ace, 562
t r asl er enci a con, 56l l - 64
Ent r ada de car ga, 2?0
Ent r ada di r ect a de puest a a cer o, 223
Ent r ada en ser i e, 273
EPROM, I 88
Equi val enci a, 56- 59
Escr i t ur a, 301
Escr i t ur a de memor i a, 30: t
Estad<, 20fl
Fl st ado de al t a i mpedanci a, 525, 570
Est ado de espr a, 53l
Est ado i nvl i do, 249
Est ado no usado, 246
Est ado pr esent e, 226
Est ado si gui ent e, 226
Exponent e, 346
FA { r cr Sumador compl et o
Fami l i as l ogi cas de CI , 62, 589
car act er st i cas de, 69- 70
ni vel es de vol t ai e. 6b
Fet
Fl anccr negat i vo, 277
- 18
Fl anco posi t i vo,
217- 18
FI i p - f l o p , 2 1 0
ci r cui t o bsi co del , 2l C
ecuaci n car act er st i ca, 214
tabl a caracteri sti ca, 214
t empor i zado, 212
acopl ado di r ect ament e, 210
ent r adas di r ect as del , 223
t i po D, 213
de disparo por flanco,22I
t abl a de exci t aci n, 237- 39
fnci ones de entrada, 230
t i po JK, 214
maest r o escl avo, 218
t i po RS, 212- 13
di sparo del , 216
t i po T, 216
Fl i p- f l op D, 213
di spar o por f l anco, 221
F I ip-fl op disparado por flanco, 221
-23
Fl i p- f l op JK, 2l 4
maest r o escl avo, 218
Fl i p- f l op : naest r o escl avo, 918- 20
Fl i p- f l op RS, 212- 13
Fl i p- f l op T, 216
F lip-fl op tempo rizado, 212
Fl uj ogr ama, 425
Fl uj o magnt i co, 309
For mas canni cas, 49- 54
convei si n ent r e, 53- 54
Fomas no degeneradas, 9?-98
For mas nor mal i zadas, 54- 55
Formato de datos, 483
Formato de i nstrucci n, 353, 483, 532
FPLA, 198
Fuente, 605
424
http://libreria-universitaria.blogspot.com
-
Funci n de Bool e. { ' 19
compl ement o de. 1S' 19
conf i S' ur aci n. 16- 1i
s i mP l i l i , a c l l r l { ; ' l i . ; i
t abl a cl e \ cr d. r d.
- l i
Funci n de cont r ol . 318. 320
1 l i i 1, 1 | .
; 1: 32
Fur . r ci ot . i par . t i i . 1 1
Funci ot r de pl ani met r a, 470
F unci ones de
' nt r ada,
2l l 0
Funci ones de equi val enci a, l ' 18- 5: l
Fu n c i o n e s L SI :
acceso di r ect o de memor i a, 569- 7' 1
ar r egl o I gi co pr ogr amabl e' 195- 98
i n t e r c r n e x i o n d e c o mu l r i c a c i o n e n s e r i e .
;-r6
-68
i nt er conexi i r n de ent r ada l -
sal i da,
59- 60, 569
i nt er conexi n per i l r i ca en par al el o,
62- 6
memor i a de acceso al eat or i o, 300- 312'
55' 1-55
memor i a de sol o l ect ur a, l f l 8- 95. 555- 56
mi cr ocomput ador , 521- 24
mi cr opr ocesador , 526- 30
mi cr opr ocqsador de un gr upo de bi t s,
; ] 77, : 179
r ecept or . t r asmi sor , asi ncr ono, 501
secuer i ci ador del mi cr opr ogr ama, 464- 68
uni da, l
pr ocesador a, 268- 77, 401- 06
uni daC pr ocesador a cent r al , 468- 71,
499- i ; 03, 528- 30
Funci ones MSI :
acumul ador , ' 106- 17
codi f i cador , i 77- 80
codi f i cador de
Pr i or i dad,
180, 552
compar ador de magni t r r d, 170- 71
cont ador , Johnson, 297
cont ador es, 282- 92
decodi f i cador , l Tl - ?2
demul t i pl exor es, 177- 78
gener ador de ar r ast r e post er i or , 164- 65
memor i a de acceso al eat or i o, 300- 312,
554- 55
memor i a de sol o l ect ur a, 188- 95, 554- 55
mul t i pl exor , 181- 83
r egi st r u, 265
- ?o
r egi st r o de despl azami ent o, 399- 401,
272-78
separ ador de bus, 524- 25
smador BDC, 167- 69
sumador bi nar i o, 161- 62
uni dad ar i t mt i ca l gi ca, 392- 93, 393- 94
Gananci a de cor r i ent e DC' 583
Gar ant a de bus, 570
Gene ador de par i dad, 153
Cener ador de r el oj , 478
Habi l i t aci n de i nt er r upci n, 551
Habi l i t aci n de memor i a, 308
Habi l i t ar
( o act i var ) , 177, 182
HTL , 5 0 8
I , , , O AI SLADO,
I ' L, 62, 589
compuerta bsi ca de, 589
I NDTCE 6 3 3
[ - L ( cr - nt . l
i nt er conexi n de. 59( )
I O ai sl ado de, 560- 61
I mp l i c a c i n . 5 6 - 5 7
I mpl ci t o, 3{ . 5. 1t )
hr cr ement o, l l 29
I ndi caci n de cer o.
; l 9t i - 97, 408
I ndi cador , 538
I ndi cador de pi l a, 5. 1i 1- 44
I ndi cador de pol ar i dad, 66
I ndi cador di nmi co, 213
I nl br maci n bi nar i a, 317
I nl or maci n di scr et a, 1
I nhi bi ci n, 56- 57
I nst r ucci n de movi mi ent o, 535
I nst r ucci <i n de omi si n, 1U9, 536
I nst r ucci n de sal t o, 536
I nst r ucci ones de comput ador , 353, 482, 53?
t i pos de. 1135
I nst r ucci ones de ent r ada- sal i da, 484- 488
I nst r ucci ones de r ef er enci a de memor i a,
' 183-84
I nst r ucci ones de r el er eni a de r egi st r os,
483, 48l
I nst r ucci ones de t i po de cont r ol , 535
I nst r ucci ones de t i po oper at i vo, 5l l 5
I nst r ucci ones de t i po t r asf er enci a, 5l l i r
I nt egr aci n a escal a medi ana, 32
I nt egr aci n a gr an escal a, 32
I nt egr aci n en pequea escal a, 32
I nt er conexi n de ent r ada y sal i da, 559- 69
I nt er conexi n en ser i e, 565
I nt er conexi n per i f r i ca, 562
I nt er conexi n per i f r i ca en par al el o, 562
I nt er conexi n pr ogr amabl e, 519, 559
I nt er r upcr n, 549
pr i or i dad de l a, 551
I nt er r upci n vect or , 550
I nver so, 37
I nver sor , 39
Lect ur a, 30l , 326- 27, 526, 530
Lect ur a de memor i a, 304
Lect ur a dest r t ct i va, 303
Lenguj e de r egi st r os, 318
Ley asoci at i va, 36
Ley conmut at i va, 37
Ley di st r i but i va, 37
Li br o de dat os, 201- 02
Li f o, 465
Li t er al , 97
Lgi ca al ambr ada, 594, 604
Lgi ca bi nar i a, 26- 27
def i ni ci n de, 27
Lgi ca combi naci onal , 120
con decodi f i cador es, 176- 77
con MSI y LSI , 159
con mul t i pl exor es, 184- 85
con PLA, 198- 201
con ROM, 190- 93
Lgi ca de di odos y t r ansi st or
( uer DTL)
Lgi ca de emi sor acopl ado
( uer E CL )
Lgi ca de i nyecci n i nt egr ada
( ' e I r L)
Lgi ca de r egi st r os, 316
Lgi ca de r ei st enci a y t r ansi st or
( uer RTL)
Lgi ca de t r ansi st or - t r ansi st or ,
( uer TTL)
Lgi ca de umbr al al t o, 588
http://libreria-universitaria.blogspot.com
- 1'
I
634
!i
&
r+
*,
*
.'
li
I NDI CE
Lgica negativa,64-66
simbolos grficos, 66
Lgica positiva, 64-66
Lgica secuencial, 208
'
configuracin, 270-72
de contol, 426
si st ema di gi t al , 316
LSI , 32
Lleva final de reinicio, 14
M
(uer
Palabr de memoria)
Macrooperacin, 356
Macrooperaciones lgicas, 318-330
Manipulacin algebraica, 47-48
Mant i sa, 345
Mapa de direcciones de memoria, 556
Mapa de Karnaugh, 75
Mar , 302
Margen de rui do, 69, 581
Mscara, 350
MBR, 302
Memoria:
acceso aleatorio, 305
acceso secuenci al , 305
circuito integrado, 306-08
2 puertas, 379
lectura solamente, 188-95
ncl eos magnt i cos, 308-312
pila de, 544
tafin,377
tiempo de acceso, 305
vol t i l , 305
Memoia de circuito integrado, 306-08
Memoi a de cont rol , 430
que se puede escibir, 430
Memoria de dos puertos, 379-80
Memori a de nci eos magnt i cos, 303, 308-312
l l f emori a de sl o l ect ua, 188
con l gi ca combi naci onal , 190-94
en l gi ca de cont rol , 430, 443, 469, 508
t abl a de verdad. 193
tipos de. 194-95
Nf emoi i a dei programa, 520
Memori a t apn. 377
Memori a vol t i l , 30s
Mt odo cl si co, 160
Mt odo de McCl usky-Qui ne, 105
Mt odo del mapa, 75
versi ones al t ernas, 113, 114
Mt odo del t abul ado, 105
Microcomouta dor, 521-24
Mi coi nst i ucci n. 430
campo de.
,1.15
Mi crooperaci i n. 317
ar i t mt i . t a. 327
despl azarpi ent o, 332
lgica, 330
t rasf erenci a ent re regi st ros, 319
Mi crooperaci n ari t mt i ca, 318-329
Mi crooperaci n de despl azami ent o, 318,
332
ari t mt i ca, 341
l gi ca, 352
Macrooperaciones lgicas, 318-330
Mi croprocesacl or, 526
comnni caci n I
i
O, 560-61
Microprocesador (c
ont.)
conexin de memoria, 557-58
instruccioned, S34-39
organizacin del, 528-30
secuenci ami ent o del , 531-34
seales de contol, 526-28
Microprocesador de un grupo de bits, 3?6-79
Microprogram a, 430, 444, 449
Microprograma simblico, 444
Mi nuendo, 6
Modo de empobrecimiento, 605
Modo de enriquecimiento, 605
Modo de registro, 540
Modo i nmedi at o, 540
Modos de dieccionamiento, 539
de pgina ceo, 541
de pgina presente, 541
directo, 540
i mpl i cado, 540
i ndexado, 543
i ndi rect o, 543
inmediato, 540
registro de, 540
registro base, 543
regi st ro i ndi rect o, 549
rel at i vo, 541
MOS. 62. 579
compuerta bsica, 606-08
MOS compl ement ado (uer
CMOS)
MSI , 3 2
Mul t i pl exor, 181
confi guracin con, 184-85
Mul t i pl exor di gi t al , 181
Mul t i pl i caci n, 6, 452
Mul t i pl i caci n bi nari a, 452
Mul t i pl i cador, 6, 453
Mul t i pl i cando, 6, 453
Mul t i procesador, 522, 57 4
MUX (uer
Mul t i pl exor)
NAND. 56- 60- 61
NOR, 56- 60
NOR excl usi vo. 56- 59
Normal i zaci n. 347
NOT, 27
Not as de apl i caci n,
Nmero pol ari zado
Nmeros bi nari os, 4-6
compl ement o de, 1
compl ement o de. 2
conversi n de, 7-9
Nmerol deci mal es. 4
conversi n a bi nari o, 7-9
compl ement o de 9, 12
compl ement o de 10, 1l
Nmeros hexadeci mal es, 5-6
conversi n a bi nari o, 10
Nmeros oct al es, 6
conversi n a bi nari o, 9
conversi n a deci mal , 8-9
Oper aci n, 355
Operaci ones de regi st ro, 498
Operaci ones l gi cas, 55-57
Operador bi nari o, 36
Operador de rempl azo, 320
o R, 5 4
OR. AND- I NVERTI DO. 98
)
http://libreria-universitaria.blogspot.com
t NDt cE
635
OR+ ci us: r ' : . i 64i
conf r g; : ac: r : . . 1. 1r 3
. . 1: . c: one- { . ^+i - i :
Or ga: r l z: c: on ci e memor l a. 54
Or ganl zac: on del bus. 3i +
Or gan: zac : o: , dei cont r ol , 426- 31
Or ganr zac: on del pr ocesador , B?3
Pgi na cer o, 5. l l
.
Pgr na pr esent e, 541
Pal abr a. 188, 300
Pal abr a de cont r ol , 401. 430
Pal ab a de memor i a. Si F
Pal abr a l gi ca, 352'
l gi ca negati va, 64-66
l gi ca posi t i va,
64- 66
Pan!_al l a de si ete segmentos, 155
Par Dar l i nst on. Sgf
Par de regi stros.
529
Past i l l a. 3l
Pasti l l a de hi l era dobl e.
g1
Pasti l l a de mi crocomputador,
5l g
l ' ast l l l a pl ana,
31
Past i l l a RAM, Sb4- 55
Pi l a. 465. 544
Pi l a de memor i a. 544
Pi l a de r egi st r o. 46b
Pi l a i nser i ada
, 467. 549- 46
PLA, 195
Pol ar i dad
l ei ca. 65
Poner a cer o. ' 52?
Poner a uno el bi t P. 34g
Post ul ado. 36
Post ul ados
de Hunt i ngt on, 3g
. l ' r est ar . 127
Pr i mer s i mpl i cados,
105
esenci al es.
l l 2
Pr i or i dad
del oper ador . 43
P ocesador
de dat os, 424
Pr oduct o de sumas. bS- 86
Pr oduct o
de t r mi nos
mxi mo\ , b3
Pr oduct o nor mal i zado.
50
Pr oduct o vel oci dad pot enci a,
591
P om. 194
F*ll;r8:#
arrastre, 162
Puest a a cer o, 210
Pul sos de r el oi , 20g
Punt o f i i o. 334
Punto fl tante,
34b
nor mal i zado,
347
Punt o r adi cal , 334
Radi cal .
b
Ra z base, 5
Ral u, 376
Ra m. 5 1 9
Ram de CI , 306. 5bs
Reconoci mi ent o
de i nl er r upci n.
527, 550_51
r eouccl on
oe est ado. 231
Regi n act i va, 582
Regi n de sat u aci n.
5g3
Regi st r o, 23- 24, 266
^ . con
car ga en par al el o,
267
Kegr st r o acumul ador .
380- 490
di seo del , 406- 17
Regi st r o base. 543
Regi stro base (conf.
)
di ecci onami ent o
del , 548 r
Regi stro canal i zador. 4?0
Regi stro
de al macenami ento,
300
Regi st r o de condi ci n.
396
Regi stro de desp^l a-zami ento (s h i ft er r, 374
-7
orseno del . 3gg
Regi st r ode
despl azami ent o ( shi f t er
r egi st er ) ,
272
bi di ecci onal ,
2?6- 7g
^ . con
car ga en par al el o, 276_7g
Hegi st r o de di r ecci n.
B0l
Regi stro de di recci n
de control , 442
Regi stro de di recci ones de memri a,
g0.
g0
( egl st r o
de i nst r ucci n,
359, 491
egl sto cl e memori a,
800, 925
Regi stro de sal i da,
.f{i t -g2
Regi st r o i ndi ce. b42
Regi stro i ndi recto,
bg8. bSg
Regi st r o secuenci ador . ' 427
-- .ej empl o
de control , 452_60
Kegl stro separador de memori a. 903, 4g0
Kegstro acumul ador,
380, 480
di seo del . 406-17
Regi stros de entada, 481-g2
Regr eso de l a subr ut i na, 546- 49
Rel oi . 526
Rel oj ' maestro,
2Og. 478
Repr esent aci n
de si
gno
Requi s
i ci n de. i nt ' er r upci n.
522- bSO
equt sl cl on del bus. 570
Resi st enci a.
581
Ret ar do. de
pr opagaci n, 68, 5gl
Kever sl on de hl st r esl s. 309
Rom programabl e,
1g4
Rom
( uer
Memor i a de sl o l ect ur a)
RTL, 579
compuerta
bsi ca de, 5g5
Sac. ar de I a pi l a, 468. 544- 46
Sal l da en ser i e, 273
Secuenci a de t i empo. 2gb
Secuenci a
de t i empo y cont r ol , 489- g0
secuencl ado . 466
Secuenci ador
del mi cr opr ogr ama.
464
Segul ct or de emi sor . 600
Sel ecci n de memor i a, 306
Sel ecci on
de
past i l l a.
554
Sel ect or de dt os, 18i
l emi conduct or
de xi do de met al ( uer
MO S )
Seal bi nar i a, 28- 29
Seal de al t o ni vel . 63. 580
Seal de baj o ni vel , 63, 580
Seal de l i st o, 531
Seal es
de t i empo. 296. 49I
Separ ador de bus. 524- 25
Si gno compl ement o
de 1. 335
Si gno compl ement o
de 2. 33b
Si gno compl ement o
de
g,
331
Si gno compl ement o
de 1, 344
Si gno magni t ud, 432- 41. 33b
Si st ema di gi t al . l - 2
Sobr ecapaci dad,
339
bi t de condi ci n. 396- 97
Sondeo. 552
S S I , 3 2
Subr ut i na
de l l amado. b46- 4g
j
i''
1l
I'
http://libreria-universitaria.blogspot.com
636 I NDI CE
Suma aritmtica, 335-3E
Suma binaria, 336
Suma de productos, 55-86
Suma de trminos mnimos, 51
Suma en sei e. ' 278
Suma normalizada, S0
Sumador,
BDC, 167
binario, 160
complemento de 2, 340
completo, 125-27
decimal, 166
en serie.279
medio, 123-24
paralelo.
161
signo magnitud,432-41
Sumador BDC, 167-69
Sumador binario. 161
Sumador'compleo;126
Sumador decimal, 166
Sumador medio, 123
Sumador paralelo, 160-61
con arrastre posteior, 1&5-66
Sumador sustractor, 388-90, 432-41
Sumando, 6
Sustaccin,6, 387
con sigrro complemento de 2,
con signo magnitud,
Sustractor, l2?
completo, 128
medio, 128
Sustractor completo, 128
Sustactol medio, 128
Sustraendo, 6
Tabl a de est ado. 226
Tabla de estado de control, 499-461
' f abl a
de exci t aci n, 237-39
' Iabla
de verdad, 27
-28-43
Tabl a
del programa
del PLA, 198-2
f aDl ero de cl rcul t os rmpresos, 524
I el er mpr eso a. 481
TeletiDo. 568
Tmrema de De Morgan . 42-45-49
Trmi no del
product o.
i 96
Tr mi no mi i mo, 50
'
Tr mi no m ni mo, 50
Ti empo de acceso, 305
Tiempo de bit, 276
Ti po de ci rcui t o i nregrado:
10102 64
1010; 64
2901 379
2910 464
4002 64
4002 64
4022 299
7400 64
7404 64
7442 176
74i5 267
7485 r7l
74185 205
7487 418
7490 284
74138 174
; . 1148 180
Tipo de circuito integrado (cont.):'
74157 r82
74161 nr
74175 267
74182 165
74194 276
745281 501
74283 161
8080,/95 528
8X02 464
82583 169
825100 196
9408 4U
Toma de ci cl o, 5?0
Trasferencia, 56-57
Trasf erenci a de bus, 392
Trasf erenci a de memori a, 325
Trasf erenci a DMA, 559, 5?1-74
Trasferencia en paralelo, 26?-68
Trasferencia en ierie, 273
Trasferencia entre registros, 24-26,. B1g
Trasferencia I,/O directa, 563
Trasf brmaci n de cdi go, ' 469, 5 I I
Transi st o, 581
car act er i st i cas, 53l
cicuito del, 582-85
Transistor de
juntura,
581
Transistor M O S, 605-607
Transistor Schottky, 598
Tasmisin asincrnica, 567-68
Trasmi si n si ncrni ca, 566
Tri estado, 599
"t TL, 62, 579,
591
colector abierto, 592
Schottky, 70, 597
serie1400, 63
normal i zada, 70, 591
tres estados, 599
poste totmico, 600
TTL Schot t ky, 70, 592, 598
UART, 5O2
Un flip-flop por estad,o,427-29
ej empl o, 439-41
Uni dad ai t mt i ca l gi ca, (uer ALU)
Uni dad de cont rol , 503-512
l l ni dad de memori a, 300-306
ej empl os, 306-312
Uni dad mul t i pl i cadora, 452-460
Uni dad procesadora,
401
cont rol de, 447
Uni dad procesadora
cent ral , 37 2, 528
Uni pol ar , 581
Vari dbl e bi nari a, 2T
Vari abl e de Bool e, 38
Vari abl e de t i empo, 358
VL SI , 3 2
Vol t aj e, 582
\ ol t aj e
umbral , 605
XOI t
( r ' er
OR- Excl usi vo)
Ir
't
http://libreria-universitaria.blogspot.com

También podría gustarte