Está en la página 1de 21

1

Tutorial de Xilinx ISE


Texto original de Eduardo Magdaleno Castell y Manuel Rodrguez Valido Universidad de La Laguna Adaptaciones por J on Este!an Valencia y Mnica Valle"o para el curso de Electrnica #igital Universidad $acional de Colo%!ia &ede Medelln

1. Objetivos de este Tutorial


El objetivo de esta prctica guiada es presentar a grandes rasgos el entorno de diseo que ofrece el paquete informtico ISE de XILINX Inc. para la captura de esquemticos. En esta prctica se plantear el diseo de un bloque combinacional. La erramienta Xilin!"ISE #Integrated Soft$are Environment% es una erramienta de diseo de circuitos profesional que nos va a permitir& entre otras funciones& la reali'aci(n de esquemticos ) su posterior simulaci(n ) s*ntesis. El desarrollo de las prcticas se reali'ar siguiendo los siguientes puntos+ , En primer lugar& se estudiar la especificaci(n propuesta para determinar una posible soluci(n. Este estudio se debe reali'ar antes de utili'ar la erramienta informtica& cu)a introducci(n se describe en este te!to& )a que es la parte fundamental para asimilar los conocimientos sobre diseo l(gico. , - continuaci(n se ar un estudio asistido por ordenador utili'ando el paquete informtico ISE de XILINX Inc. Este paquete informtico est formado por un conjunto de erramientas que permiten disear circuitos digitales mediante esquemas l(gicos o utili'ando lenguajes de descripci(n de ard$are como ./0L o .erilog. 1ambi2n permite simular el comportamiento de los circuitos diseados& ) sinteti'arlos sobre dispositivos l(gicos programables de XILINX. La secuencia abitual de pasos se lleva a cabo del siguiente modo+ , Se introduce el diseo que se quiere simular en el entorno de captura de esquemas de la erramienta& llamado Xilin! E3S. La captura del diseo puede reali'arse mediante esquemticos o utili'ando lenguajes de descripci(n de ard$are. , Se crea un testbench para comprobar el funcionamiento del circuito& mediante la erramienta /0L 4enc er. , Se simula el funcionamiento del circuito llamando a la erramienta de simulaci(n ISE Simulator. , Se comprueba que el funcionamiento del circuito es el deseado& anali'ando las formas de onda de las seales pertinentes. En caso de que los resultados no sean los esperados& se debe revisar el diseo empe'ando por la especificaci(n de partida para locali'ar donde est el fallo& retocando entonces el esquema ) repitiendo el ciclo de diseo.

2. Descarga e instalacin
El soft$are ISE 5eb6ac7 89.8 puede ser descargado gratuitamente del enlace+ ttp+::$$$.!ilin!.com:ise:logic;design;prod:$ebpac7. tm. 6ara descargarlo usted debe

2 inscribirse #3reate -ccount%& una ve' inscrito autori'an la descarga del paquete ) le env*an a su correo la licencia del soft$are. Los requerimientos bsicos para la instalaci(n son+ <icrosoft 5indo$s X6 #s(lo => bit% ( <icrosoft 5indo$s .ista #s(lo => bit%. 6ara la instlaci(n se recomienda estar conectado a la red. 6ara obtener una informaci(n ms detallada consulte el manual del enlace+ ttp+::$$$.!ilin!.com:itp:!ilin!89:boo7s:docs:irn:irn.pdf

3. Diseo a i !le entar


La prctica consiste en el diseo mediante captura de esquemas de un multiple!or > a 8 con seal de abilitaci(n. ?n multiple!or > a 8 tiene dos entradas de datos #09& 08%& una entrada de control #S%& una seal de abilitaci(n E ) una salida #@%. La funci(n de conmutaci(n del multiple!or > a 8 es la siguiente+ 6ara implementar este circuito se necesitan una serie de puertas l(gicas& como son+ > puertas -N0 de = entradas& 8 puerta @A de > entradas ) 8 inversor. La idea de las erramientas de captura de esquemas consiste en que se pueda reproducir de forma grfica aquello que ar*amos sobre el papel& dibujando dic as puertas ) conectando todos los componentes entre s*

". Iniciando ISE


6ara usuarios 5indo$s& se arranca el programa desde el menB Inicio seleccionando+ Inicio # $rogra as # Xilinx ISE 1%.1 # $roject &avigator El ISE 6roject Navigator arranca. Aviso : 0ependiendo del proceso de instalaci(n& el pat del programa podr*a ser otro.

'. (reando un &uevo $ro)ecto en ISE


En esta secci(n& crears un pro)ecto en ISE. ?n pro)ecto es una colecci(n con todos los arc ivos necesarios para crear ) descargar un diseo a cualquier dispositivo C6D- o 36L0 de Xilin! 6ara crear un nuevo pro)ecto debes seguir los siguientes pasos+ 1. Selecciona *ile E &e+ $roject. -parecer el asistente de nuevos pro)ectos >. 6rimero& selecciona la locali'aci(n #o pat % del directorio en el que ubicars el pro)ecto 3. 1eclea ux2a1 en el campo 6roject Name. 3uando teclees mu!>a8 en este campo& un subdirectorio del mismo nombre se crear automticamente en la direcci(n que seleccionaste anteriormente. 4. Selecciona sc ematic en el campo 1op"Level <odule 1)pe& indicando que el arc ivo jerrquicamente superior ) que engloba los dems ser un esquemtico& en ve' de /0L o E0IC.

5. 6inc a Siguiente para avan'ar al siguiente paso F. Aellena las propiedades del dispositivo en que se va a reali'ar el diseo ) que aparecen a continuaci(n

7. 6inc a Siguiente para obviar los pasos de aadir arc ivos nuevos o )a creados al pro)ecto. Esto lo aremos posteriormente. G. Cinalmente& las propiedades de tu pro)ecto aparecern resumidas de la siguiente manera

9. 6inc ar en *inali,ar.

-. (a!tura de es.ue as
?na ve' creado el pro)ecto& a) que crear un nuevo diseo de esquemtico. 6ara ello acer doble clic en (reate &e+ Source

-parecer un cuadro de dilogo en donde debemos seleccionar el nombre del arc ivo #mux2a1% ) el tipo de arc ivo #Sc/e atic0.

?na ve' seleccionado el tipo de arc ivo ) el nombre& pulsar en Siguiente ) en el siguiente cuadro de dilogo& en que figura el arc ivo que se generar& pulsar en *inali,ar. ?na ve' ec o esto se abrir el editor de esquemticos.

En la parte i'quierda dar clic7 en la etiqueta S) bols1 para seleccionar los componentes que queremos aadir al diseo. -l pinc ar en ella presenta el siguiente aspecto& ) desde ella se pueden seleccionar los componentes.

El cuadro superior de S) bols est dividido en varios menBs. 0esde el primero de ellos& etiquetado como (ategories& se puede escoger el tipo de elementos que se quiere usar& como puertas l(gicas& comparadores& multiple!ores& memorias& etc. 1ambi2n aparece en la parte inferior una pestaa que nos proporciona Informaci(n sobre cada uno de los s*mbolos. 6ara nuestra prctica usaremos como elementos puertas l(gicas -N0 ) @A que estn en la categor*a 2ogic.

7 6ara empe'ar con el diseo aadiremos las dos puertas -N0 de tres entradas #and3% ) la puerta @A de dos entradas #or2%. 6ara ello seleccionaremos la opci(n 2ogic en (ategories& ) en S) bols buscaremos el componente and3. ?na ve' seleccionado pinc aremos en el rea de diseo > veces para insertar > puertas. ?na ve' colocados los > componentes& se pulsa la tecla ES3 para dejar de insertar componentes. Es conveniente acer un 'oom sobre la 'ona en la que vamos a trabajar para poder colocar mejor los componentes. 6ara acer 'oom sobre una 'ona a) que pinc ar en el icono de la barra de erramientas ) luego pinc ar ) dibujar un cuadrado sobre la 'ona donde queremos acer el 'oom. ?na ve' colocados las dos puertas -N0 buscamos el componente or21 el cual seleccionamos e insertamos en el esquemtico. ?na ve' colocado& se pulsa la tecla ES3. El aspecto del esquemtico deber*a ser el siguiente+

- continuaci(n completar el diseo para que quede como la figura de abajo. 6ara ello tendremos que colocar el componente inv& reali'ar el cableado de cone!i(n entre los componentes ) colocar los puertos de entrada ) salida. Estos Bltimos comandos se encuentran en la barra de erramientas.

El nombre de los puertos puede modificarse aciendo doble "clic7 en los mismos. <odificar el nombre de los puertos para tener 09& 08& E& S como puertos de entrada ) @ como puerto de salida.

3. (o !robacin del circuito


?na ve' terminado el diseo del circuito puede acerse una simple comprobaci(n sobre el mismo para asegurarnos de que todas las cone!iones estn bien ec as ) que no a) cables sueltos o puertas sin conectar. 6ara ello desde el menB principal se pinc a en Tools ) dentro de este menB desplegable en (/ec4 Sc/e atics. -parecer una ventana que nos informar si a) algBn Error o 5arning. En nuestro caso no abr ningBn tipo de problema6

7. Si ulacin del Diseo


(reacin de un banco de !ruebas !ara si ular ?na ve' que se a revisado el circuito ) est libre de errores abr que comprobar su correcto funcionamiento. 6ara ello abr que reali'ar una simulaci(n del circuito diseado. La etapa de verificaci(n funcional se va a reali'ar con la erramienta de simulaci(n ISE Si ulator. 6ara poder simular el circuito primero debemos crear un banco de pruebas #testbench waveform% donde se introducen los valores que se asignan a las entradas ) en funci(n de los cuales se obtendr una salida que deber coincidir con el valor esperado de acuerdo a la funcionalidad de nuestro circuito. 8. Selecciona el arc ivo mu!>a8 en la ventana Sources in 6roject. 2. 3rea un nuevo arc ivo seleccionando $roject E &e+ Source . 3. En la ventana emergente Ne$ Source& selecciona Test 8enc/ 5ave9or arc ivo ) escribe ux2a1:tb+ en el campo Cile Name.

como tipo de

10 4. 6ulsa Siguiente. 5. ?n cuadro de dilogo te muestra que asocias el tec benc o banco de pruebas con un fic ero fuente+ mu!>a8. 6ulsa Siguiente > veces. 6. 6ulsa *inali,ar Necesitars un conjunto de valores iniciales para tu banco de pruebas& por lo que se abrir el cuadro de dilogo Initiali'e 1iming antes de que puedas editar las entradas en el banco de pruebas.

7. En 3loc7 Information& seleccionamos la opci(n H3ombinatorialI cuando el sistema implementado es combinacional. En ese caso& se recomienda dejar los demas campos con los valores por defecto+ 3 ec7 @utputs+ '% ns& -ssign Inputs+ '% ns& Dlobal Sig nals+ ;S< =*$;>01 Inicial Lengt of 1est 4enc + 2%%% ns. 3uando el sistema implementado es secuencial seleccionamos la opci(n Single 3loc7I ) con la pestaa se selecciona la seal que se usar como reloj. 6ara este caso puede trabajar con la configuraci(n por defecto en H3loc7 1iming InformationI o el estudiante puede entrar a cambiar losvalores de los parmetros. Cinalmente pulsa *inis/ para abrir el editor de formas de onda. G. En este diseo& debemos simular teniendo todas las combinaciones posibles de est*mulos en los puertos de entrada. 6ulsa en las 'onas sombreadas de a'ul para modificar los valores ) obtener la combinaci(n de est*mulos de la figura. 9. Selecciona *ile E Save para guardar las formas de onda. En la ventana Sources in 6roject& se aade automticamente el arc ivo 145. 89. 3ierra la ventana del editor de est*mulos.

11

>adiendo la esti acin de los resultados es!erados al 8anco de $ruebas En este apartado crears automticamente un banco de pruebas con las salidas esperadas que corresponden a las entradas que pusiste anteriormente con el editor de est*mulos. Los retrasos en las entradas ) las salidas que introdujiste cuando comen'aste a usar el editor de est*mulos son evaluados cuando el diseo est siendo simulado. 6ara reali'ar esta prueba& debes ejecutar el comando Denerar Aesultados Esperados+ 8. Selecciona el arc ivo ux2a1:tb+.tb+ en la ventana Sources ) seleccionar 4e avioral Simulation en Sources. Jstas pestaas se encuentran en la parte superior i'quierda de la pantalla.

>. En la pestaa que se encuentra en la parte inferior i'quierda de la pantalla& pinc a en 6rocess& despliega el menB Xilin! ISE Simulator ) doble clic7 en 4e avioral <ode

12

=. Se abrir la ventana conte!tual Si ulation.

6odemos observar que el comportamiento de nuestro diseo es el deseado. Es de esperar que a)amos diseado correctamente el sistema.

?. (onectado 8uses
En el editor esquemtico un bus es simplemente una l*nea a la cual a sido asignada un nombre multi"bit. 6ara adicionar un bus a un diseo siga los siguientes pasos+

8. -dicione una l*nea ) coloque la etiqueta de entrada ( salida.

13 >. Luego adicione un nombre multi"bit. 0e doble clic7 sobre la etiqueta de entrada ) de un nombre de vector& asi+ a#=+9%. Inmediatamente la l*nea se pone grusa para indicar que )a es un vector. =. ?na ve' el bus a sido creado& usted puede adicionar HtappingI al bus para usar cada seal individualmente. ?se la opci(n de la ventana de procesos de direcci(n #rig t&left&etc% para ubicar el tap de tal forma que la figura triangular sea la que se conecte al bus& ) la l*nea al pin que usted quiere conectar al bus.

K. ?na ve' a)a conectado el tap al bus ) al pin& de doble clic7 en cada una de las l*neas ) de acuerdo al orden& de el nombre respectivo& as*+ a#9%&a#8%& a#>% ( a#=%. 1al como se muestra en la siguiente figura.

L. 6ara conectar por ejemplo este bus a#=+9% a la entrada de bloque decodificador 430 a M segmentos& reali'amos lo siguientes pasos+ a) 3onectamos un peda'o de l*nea al bloque decodificador ) 0amos clic7 en -dd Net Name& cu)o *cono se muestra en la figura

b% En la venta de procesos& colocamos el nombre del vector que ser la entrada del decodificador& para nuestro caso& escribimos a#=+9%& sealamos la opci(n H0ispla) de Name N.I ) finalmente damos clic7 sobre la l*nea de entrada del decodificador.

14

1%. (reando ) Editando <estricciones al Diseo


En todos los diseos& suelen especificarse una serie de restricciones f*sicas ) temporales para poder sinteti'ar el diseo de manera satisfactoria. En un pro)ecto con ISE e!iste una gran variedad de m2todos para aadir restricciones& aunque s(lo veremos las bsicas en este tutorial. 6or ejemplo& una de las placas de desarrollo que usaremos para sinteti'ar el diseo contiene la C6D- Spartan"=E que seleccionamos como dispositivo de s*ntesis al principio de diseo. 6ara informaci(n ms detallada a cerca de la placa de desarrollo por favor consultar el documento Ne!)s>;rm.pdf publicado en la pgina 5eb del curso. La C6D- est conectada f*sicamente a una serie de perif2ricos a trav2s de unos pines espec*ficos. Estos perif2ricos son los siguientes:

15 Nuestro diseo consta de K entradas ) 8 salida& luego ser*a mu) interesante poder modificar los valores de entrada por medio de K de los G interruptores de los que disponemos ) visuali'ar la salida por medio de uno de los G LE0s que trae la placa de desarrollo& con el fin de verificar visualmente que el diseo sinteti'ado funciona de manera correcta.

>signacin de $ines en la *$;>


6ara asociar pines de la C6D- con entradas ) salidas espec*ficas debes+ 8. En la ventana Sources seleccionar I !le entation. Jsta pestaas se encuentran en la parte superior i'quierda de la pantalla. /acer doble"clic7 en @ser (onstraints de la ventana de procesos ) luego doble"clic7 en *oor!lan >rea. 6ulsar SA en la ventana emergente que aparece. Inmediatamente& el subprograma Xilin! 6inout and -rea 3onstraints Editor #6-3E% se abrir ) podremos especificar los pines que usaremos para nuestro pro)ecto. >. 6uedes apreciar la lista de 6ines de Entrada:Salida que tiene tu diseo en la ventana 0esign @bject List. Introduce asignaci(n de pin por cada puerto de E:S que tienes en la columna Loc. #D8G&/8G&/8=&O8K&L8K%. En el ane!o 8& se encuentra la lista espec*fica de todos los pines para la C6D- en uso. =. 6ulsa en la pestaa $ac4age Bie+ en la parte inferior de la ventana para ver los pines que acabas de aadir #de color a'ul%. Si pasas con el rat(n por encima de los pines de color a'ul& puedes verificar las asignaciones que acabas de reali'ar.

K. Selecciona *ile # Save. Selecciona XST De9ault C# ) pulsa OD. L. 3ierra 6-3E.

16

11. SAntesis del Diseo e I !le entacin


- ora que as creado los esquemticos& verificado el diseo mediante una simulaci(n funcional ) aadido las restricciones pertinentes& ests listo para sinteti'ar e implementar tu diseo. I !le entando el Diseo 8. Selecciona el arc ivo ux2a1en la ventana de 6ro)ecto. >. En la ventana $rocesses& pulsa en el signo HEI junto a I !le ent Design. Estos procesos sern ejecutados durante la implementaci(n de tu diseo. =. /a' doble"clic7 en el proceso que engloba todo& es decir& en I !le ent Design. ISE determina el estado actual de tu diseo ) ejecuta los procesos necesarios para poder implementar tu diseo teniendo en cuenta las restricciones& la C6D- a usar ) otros muc os parmetros. ?na ve' que todos los procesos an finali'ado& puedes comprobar que an aparecido marcas de verificaci(n en los procesos que aparecen en la ventana 6rocesses.

Beri9icacin de la SAntesis
1u diseo se puede observar como un esquemtico en el denominado .isor de Nivel de 1ransferencia de Aegistros& o Aegister 1ransfer Level #A1L% .ie$er& en que se muestra las puertas ) elementos a implementar independientemente del dispositivo de Xilin! seleccionado para reali'ar el diseo. 8. En la ventana 6rocesses& a' doble"clic7 en Bie+ <T2 Sc/e atic situado en el grupo S)nt esi'e " XS1 process. -parecer el esquemtico de jerarqu*a superior de tu diseo& que engloba todo. >. /a' doble"clic7 en el esquema para observar niveles jerrquicos inferiores ) ver el esquemtico en detalle. Aviso: No puedes editar este arc ivo. =. 3ierra la ventana.

12. Beri9icacin del Diseo I !le entado


?na ve' que la implementaci(n se a completado& puedes verificar tu diseo antes de bajarlo al dispositivo C6D-. Ber el In9or e de <ecursos E !leados <uc os de los procesos que emos ejecutado para reali'ar la s*ntesis generan informaci(n acerca de tu diseo. -s*& estn disponibles informes detallados a trav2s de 0esign Summar). 6ulsa en la pestaa Design Su ar) en la parte inferior de la ventana.

17

13. (rear los Datos de (on9iguracin


La Bltima fase en el flujo de diseo consiste en generar un arc ivo de bits #biststream% ) configurar nuestro dispositivo. ;enerar el 8itstrea El bistream es un arc ivo binario que es el equivalente a nuestro diseo en un formato que puede bajarse a nuestra C6D-. 8. Selecciona ux2a1 en la ventana Sources in 6roject. >. Ejecuta el proceso ;enerate $rogra ing *ile locali'ado al final de la ventana 6rocesses. El programa 4itgen crea el bistream que es un arc ivo llamado mu!>a8.bit& que es el arc ivo de configuraci(n. =. Selecciona la pestaa Design Su ar). K. - ora& en la parte final& puedes seleccionar 8itgen <e!ort para ver el informe correspondiente. - ora ests preparado para configurar tu dispositivo.

18

1". (on9igurar el Dis!ositivo


6ara configurar nuestra C6D- #una Spartan"=E en este caso% usaremos la aplicaci(n E!6ort del soft$are de aplicaci(n -0E61. El cual nos permite programar la C6D- por ?S4. Esta aplicaci(n puede ser descargada gratuitamente de la pagina 5eb # ttp+::$$$.digilentinc.com:6roducts:0etail.cfmPNav1opQ>RNavSubQFSR6rodQ-0E61%) solo puede ser usada para placas de desarrollo de la empresa 0igilent. Este es el Bltimo paso en el proceso de diseo. En esta secci(n se e!plica de manera simple las instrucciones para configurar una Spartan"=e !c=sL99 conectada al 63 mediante el puerto ?S4. Aviso : La placa debe conectarse al 63 antes de proceder. Si el dispositivo de tu placa de desarrollo no coincide con el asignado al pro)ecto& se producirn errores. 8. 6ara usuarios 5indo$s& se arranca el programa desde el menB Inicio seleccionando+ Inicio # $rogra as # Digilent # >de!t#Ex$ort >. 3lic7 en >dd*ile ) buscas en el pat donde esta el pro)ecto el arc ivo mu!>a8.bit

=. ?na ve' conectada la tarjeta ) encendida& clic7 en Initiali,e (/ain

19

3omo se puede ver en la figura& la placa de desarrollo de la C6D- nos permite almacenar el arc ivo de implementaci(n tanto en la memoria A-< de la C6D- como en una memoria tipo A@< #Clas % que contiene la placa. 6ara el caso& solo almacenaremos el arc ivo en la C6D-. K. 6ara la C6D- seleccionamos la ruta del arc ivo mu!>a8.bit& decimos si al aviso que sale ) damos clic7 en $rogra (/ain. Sin tocar& ni mover la placa de desarrollo& esperamos asta que la programaci(n se a completada ) obtengamos un aviso de programaci(n e!itosa.

20

>&EXO 16 <E(OFE&D>(IO&ES ;E&E<>2ES $><> E2 DISEGO (O& E2 SO*T5><E ISEHXI2I&X


8. ?na ve' instalado el soft$are en su equipo& ) que usted crea un pro)ecto por primera ve'& es necesario dar las configuraciones de la C6D- que ser usada #para el caso& la configuraci(n dada en el numeral L de este tutorial%. 6ara creaciones de pro)ectos posteriores& esta configuraci(n aparecer por defecto. >. 6ara la creaci(n del pro)ecto ) de los diferentes arc ivos& tales como esquemticos& de simulaci(n ( v dl& N@ ?SE nombres que empiecen por nBmero o caracteres e!traos. -dicionalmente trate de no usar nombres con espacios. =. -segBrese que la ruta donde esta guardando el pro)ecto no contiene espacios ni caracteres e!traos. K. -segBrese que la ruta donde esta guardando el pro)ecto& no 2sta dentro de otro pro)ecto creando anteriormente. L. 1enga en cuenta que las versi(n 88 del Xilin! no permite reali'ar simulaci(n grfica. F. 1enga en cuenta que un diseo reali'ado en Xilin! versi(n 88 no permite ser abierto en en la versi(n 89.8 M. Si una ve' creado el pro)ecto& en algBn momento al crear un arc ivo esquemtico o ./0L& en la ventana HSourcesI& 2ste queda ubicado antes de la referencia de la tarjeta H!c=sL99e"Kfg=>9I& se recomienda crear un nuevo pro)ecto& copiar los arc ivos .T.sc ( T.v d al nuevo pro)ecto ) adicionarlos dando doble"clic7 en H-dd E!isting SourcesI de la ventana H6rocessI G. En algunas ocasiones& la ventana de diseo grfico no logra actuali'ar los cambios. 6ara ver los nuevo cambios& basta con dar CL. S. El soft$are tiene configurado por defecto >999ns como tiempo de simulaci(n. Si desea cambiar 2ste tiempo& realice el siguiente procedimiento+ a% Estando en el ambiente de simulaci(n& clic7 en HXilin! ISE SimulatorI ) clic7 derec o en HSimulate 4e avioral H para abrir una nueva ventana. b% 3lic7 en la HpropertiesI& ) se abre otra ventana c% En la nueva ventana buscar el parmetro H Simulator Aun 1imeI ) cambiar el tiempo de simulaci(n ) @U. d% Luego en la ventana donde aparecen las formas de onda& dar doble"clic7 en HEnd 1imeI ) se abre una ventana en la cual puede modificar el tiempo que desee que se realice la simulaci(n.

21

>&EXO 26 (O&*I;@<>(IO& ;E&E<>2 DE $I&ES $><> 2> *$;> S$><T>& 3EH'%% *;32%

Ele ento 3ristal oscilador de L9< ' @scilador e!terno #Soc7et% 4uttons+ 41N9& 41N8& 41N>& 41N= Slide S$itc es+ S59& S58& S5>& S5= Slide S$itc es+& S5K& S5L& S5F& S5M LE0s+ L09& L08& L0>& L0= LE0s+ L0K LE0s+ L0L LE0s+ L0F LE0s+ L0M -nodos+ -N9& -N8& -N>& -N= 0ispla) seg+ a& b& c& d& 0ispla) seg+ e& f& g 0ispla) seg+ punto

Ti!o entrada entrada entrada entrada entrada salida salida salida salida salida salida

$in 4G ?S 48G V 08G V E8G " /8= D8G V /8G V U8G " U8M L8K V L8= V N8M " A8M O8K V O8L V U8L& U8K E8M #E8FT% 68L #68FT% CK #EKT% AK #6KT% C8M V /8M V 38G " C8L L8G " C8G " 08M " 08F

salida salida

D8K V O8M " /8K 38M

También podría gustarte