Está en la página 1de 76

UNIVERSIDAD NACIONAL DE SAN ANTONIO

ABAD DE CUSCO
DEPARTAMENTO ACADÉMICO DE ING.
ELECTRONICA

ELECTRÓNICA Y DISEÑO DIGITAL


TEMA:
UNIDAD 4:
Introducción a los Sistemas secuenciales

RESUMEN: ING. CHRISTIAN VÁSQUEZ GAMARRA


INTRODUCCIÓN: Circuitos combinacionales y secuenciales.

SISTEMA COMBINACIONAL

Números de
Números
salida
de entrada SISTEMA
COMBINACIONAL

5,8,6,3,2,8,7,4,5,6,9 5,2,3,6,1,4,6,3,8,7,9,2,5,1
Las salidas dependen
101011100101101 única y exclusivamente
de las combinaciones de 1000101101010011101
las entradas

La salida obedece en el mismo instante a la entrada (idealmente) según una lógica combinacional
A B F

0 0 0

0 1 0

1 0 1

1 1 1
F(t+1)=A.B+A.F(t)+B.F(t)
Definición de Sistema Secuencial

Un circuito de conmutación secuencial se define como un circuito bivaluado


en el cual, la salida en cualquier instante depende de las entradas en dicho
instante y de la historia pasada (o secuencia) de entradas.

• Poseen uno o más caminos de realimentación


• Existe una dependencia explícita del tiempo. Esta dependencia se produce en los lazos
de realimentación
• Elementos de retraso, debido al retraso de la lógica combinacional. Este retraso
es fijo e independiente de cualquier señal.
• Elementos de memoria, que son dispositivos que almacena el valor de la entrada
en un instante determinado por una señal externa y lo mantiene hasta que dicha
señal ordene el almacenamiento de un nuevo valor.
MODELO CLÁSICO DE UN SISTEMA SECUENCIAL
• Consta de un bloque combinacional, que
generará la función lógica
• Elementos de memoria con una serie de
señales realimentadas
• Se distinguen 3 tipos de señales: señales de
entradas, señales de salida y señales de
estado
• Las señales de estado son aquellas que
mantienen la información de la historia
pasada del sistema
• Las señales de estado a la salida de los
elementos de memoria -> señales de estado
presente
• Las señales de estado a la entrada de los
elementos de memoria -> señales del
próximo estado
SISTEMA SECUENCIA SÍNCRONO Y ASÍNCRONO

¿En qué momento en el que el próximo estado debía pasar a estado presente?

SINCRONIZACIÓN

ASÍNCRONOS SÍNCRONOS

La sincronización depende exclusivamente La sincronización depende exclusivamente


de los retrasos de la lógica combinacional, de una señal externa al sistema, conocida
sin necesidad de ninguna señal externa al como señal de reloj (CLK)
sistema.

Operación del caso medio Operación del caso peor.


RELOJ, FRECUENCIA Y PERIODO

• Coordina la sincronización de biestables en sistemas digitales


• Se puede repetir con una frecuencia predefinida o también ser aperiódica
• La señal oscila entre un nivel alto y bajo, que se caracteriza por un período de
oscilación o bien por un valor de cambio, la frecuencia de reloj y el ciclo de
trabajo.
• Ritmo con el que se tramitan los datos en un ordenador T=1 / f

Generador de reloj de
Si está en GHz ---- periodo está en ns
50MHz, con salida de
niveles lógicos 3.3V
• El flujo de datos de un procesador resulta de la frecuencia de
reloj y del flujo de datos en su conexión con la memoria Rendimiento de una computadora
principal Nombre Unidad FLOPS
• MIPS  millones de instrucciones por segundo Kilo-FLOPS kFLOPS 10^3
• FLOPS  operaciones de coma flotante por segundo Mega-FLOPS MFLOPS 10^6
• Un ordenador que opera a mas de 3GHz, provee de un
Giga-FLOPS GFLOPS 10^9
desempeño computacional del rango de unos cuantos
Tera-FLOPS TFLOPS 10^12
GFLOPS
• La supercomputadora más rápida del mundo es “Summit”, Peta-FLOPS PFLOPS 10^15
con una capacidad de 200 petaflops Exa-FLOPS EFLOPS 10^18
• “Sunway TaihuLight”, cuya capacidad es de 93 petaflops. Zetta-FLOPS ZFLOPS 10^21
• El “Tianhe-2”, con 34 petaflops. Yotta-FLOPS YFLOPS 10^24
Tabla de verdad, de estado y de excitación

• Son una forma de representar la información obtenida de los sistemas secuenciales


• Al igual que los sistemas combinacionales (mediante tabla de combinaciones o de
verdad), los secuenciales también se representan con la ayuda de estados debido a la
dependencia temporal

Diagrama de estados, es un grafo orientado en el que cada circunferencia (nodo) es un


estado y cada transición indica el cambio, tanto de estado como de salida, respecto a un
cambio en las señales de entrada.

Tablas de estado y de salida, es una representación tabular del grafo anterior. Las
entradas se representan como columnas, y los estados presentes como filas; y en el
interior de cada celda, se indica el próximo estado y el valor que tomará la salida
cuando llegue la transición.
• Cuando el sistema está en el estado A y la señal de entrada vale ‘0’, pasaremos al estado B con un
valor de salida igual a ‘1’.
• Cuando el sistema está en el estado A y la señal de entrada vale ‘1’, pasaremos al estado C con un
valor de salida igual a ‘0’.
• Cuando el sistema está en el estado B y la señal de entrada vale ‘0’, no cambiaremos de estado pero
el valor de salida será igual a ‘1’.
• Cuando el sistema está en el estado B y la señal de entrada vale ‘1’, pasaremos al estado D con un
valor de salida igual a ‘0’.
• Cuando el sistema está en el estado C y la señal de entrada vale ‘0’, pasaremos al estado A con un
valor de salida igual a ‘0’.
• Cuando el sistema está en el estado C y la señal de entrada vale ‘1’, pasaremos al estado D con un
valor de salida igual a ‘0’.
• Cuando el sistema está en el estado D y la señal de entrada vale ‘0’, pasaremos al estado C con un
valor de salida igual a ‘1’.
• Cuando el sistema está en el estado D y la señal de entrada vale ‘1’, pasaremos al estado C con un
valor de salida igual a ‘0’.
Modelo de Mealy.- Las señales de salida son funciones
tanto de las señales de entrada como de estado presente

Modelo de Moore.- Las señales de salida son funciones


únicamente de las señales del estado presente
MEALY

MOORE
En un sistema secuencial:

Se dice que dos sistemas secuenciales son equivalentes cuando


generan la misma secuencia de salida para la misma secuencia de
entrada, con independencia de cómo sea ésta.
Otro ejemplo
MOORE
MOORE
APLICACIONES

• Almacenamiento de información o datos temporales


• Cantidad de dinero que se haya introducido
• Clave electrónica a nivel de estados
• Automatización de un proceso
• Encendido digital de un proceso
• Juegos electrónicos…
Unidades de control
Las entradas modifican el estado y el estado define la actuación sobre un sistema
externo (control de una barrera, control de temperatura, control de presencia,
control de nivel de líquidos, etc.)

Procesamiento secuencial
La secuencia de salida es el resultado de aplicar alguna operación a la secuencia de
entrada (cálculo de la paridad, suma de una constante, producto por una constante,
codificación/decodificación secuencial en general).

Cualquier elemento de programación que tenga que realizar más de una función,
necesita un sistema secuencial.
CIRCUITOS Y SISTEMAS DIGITALES
LATCHES

• Dispositivo de almacenamiento temporal de dos estados (biestable)


• Similares a los flip flop (FF)
• Se diferencian en la forma de cambio de estado

S R Q Q' Comentarios
0 0 No cambio No cambio No cambio
0 1 0 1 RESET
1 0 1 0 SET
1 1 ? ? Prohibido
S R Q(t) Q'(t) Comentario
0 0 Q(t) Q'(t) No cambio
0 1 0 1 Reset
1 0 1 0 Set
1 1 - - Prohibido
EQUIVALENTES
SIMBOLOGÍA
Ejm. Determinar la forma de onda de la salida Q, si las entradas son
las que se muestran. Suponiendo estado inicial Reset (0)
Ejm. Determinar la forma de onda de la salida Q, si las entradas son
las que se muestran. Suponiendo estado inicial Reset (0)
El latch S-R con entrada de habilitación
Ejm. Determinar la forma de onda de la salida Q, si las entradas son las que se
muestran. Suponiendo estado inicial Reset (0)
Latch D con entrada de habilitación
Determinar la forma de la salida Q, suponer estado inicial RESET
FLIP-FLOPS DISPARADOS POR FLANCO

Cambia de estado con el flanco positivo (flanco de subida) o con el flanco


negativo (flanco de bajada) del impulso de reloj y es sensible a sus
entradas sólo en esta transición del reloj
Circuitos básicos de los Flip Flop
Flip-flop S-R disparado por flanco

• Un flip-flop S-R no puede tener ambas entradas R y S a nivel ALTO al mismo tiempo.
• Las entradas S y R de un flip-flop S-R se denominan entradas síncronas (flanco de disparo del
impulso del reloj)
Las salidas actúan después del flanco de disparo del impulso de reloj
Determinar la salida Q y Q’ si las entradas S, R y clk se muestran en la figura. Suponer estado inicial
Reset
Flip-flop D disparado por flanco

• Útil cuando se necesita almacenar un único bit de datos (1 ó 0).


Determinar la salida Q si las entradas D y clk se muestran en la figura. Suponer estado inicial Reset
Flip-flop J-K (Jack Kilby) disparado por flanco

• Posee un nivel mas de realimentación


• No tiene condiciones no válidas
• Disparado por flancos de subida o bajada
Determinar la salida Q si las entradas J, K y clk se muestran en la figura. Suponer estado inicial Reset
• Observar que está en flanco de bajada
Determinar la salida Q si las entradas J, K y clk se muestran en la figura. Suponer estado inicial Reset
• Observar que está en flanco de subida

1 2 3 4 5 6 7
Entradas asíncronas de inicialización y borrado (PRESET Y CLEAR)

• Anulan el efecto de las entradas síncronas J, K y el reloj.


• Varían el estado del flip-flop independientemente del reloj
• Un nivel activo en la entrada de inicialización del flip-flop (preset) pone a SET el dispositivo, y un
nivel activo en la entrada de borrado (clear) lo pone en estado RESET

• PRESET = SET -> 1


• CLEAR = RESET -> 0
Determinar la salida Q si las entradas J, K =1. Suponer estado inicial Reset
• Observar que está en flanco de subida
74HC74
74HC112

Nombre del CI + “datasheet”


Diseñar un J-K a partir de un D

J KQ 00 01 11 10

0 J'.K'.Q' J'.K'.Q J'.K.Q J'.K.Q'

1 J.K'.Q' J.K'.Q J.K.Q J.K.Q'

J KQ 00 01 11 10

0 0 1 0 0

1 1 1 0 1

D=K'.Q+J.Q'
Diseño de un J-K a partir de un S-R

<<<<<<<<<<<<
<<<<<<<
MAPA1 MAPA2
J K Q(T) Q(T+1) S R
0 0 0 0 0 X
0 0 1 1 X 0
0 1 0 0 0 X
0 1 1 0 0 1
1 0 0 1 1 0
1 0 1 1 X 0
1 1 0 1 1 0
1 1 1 0 0 1

K.Q
J 00 01 11 10
0 J'.K'.Q' J'.K'.Q J'.K.Q J'.K.Q'
1 J.K'.Q' J.K'.Q J.K.Q J.K.Q'
TIPOS DE CONTADORES

Clasificación:

Según el comportamiento de la señal de reloj:


Asíncronos
Síncronos

Según el formato de salida:


Binario
BCD (decimal codificado en binario)
Arbitrario

Según el sentido de conteo:


Ascendente o progresivo
Descendente o regresivo
Funcionamiento del contador asíncrono
Contador asíncrono binario de 2 bits

Existe un retardo entre


las transiciones de CLK
y Q0

Número CLK Q1 Q0
0d 0 0 0
1d 1 0 1
2d 2 1 0
3d 3 1 1
Nuevo ciclo 4 0 0
Contadores Asíncronos

CLK Q2 Q1 Q0
Contador asíncrono binario de 3 bits
0 0 0 0
1 0 0 1
2 0 1 0
3 0 1 1
4 1 0 0
5 1 0 1
6 1 1 0
7 1 1 1
8 0 0 0
CLK Q3 Q2 Q1 Q0
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
10

Q3 Q2 Q1 Q0
1 0 1 0
CONTADOR BINARIO ASÍNCRONO DE 4 BITS 74LS93
CLK Q3 Q2 Q1 Q0
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
10 1 0 1 0
11 1 0 1 1
12 1 1 0 0
Contador síncrono binario de 2 bits
Contador síncrono binario de 3 bits
Contador de décadas síncrono de 4 bits

ANALIZAR
DISEÑO DE CONTADORES SÍNCRONOS

No todos los circuitos secuenciales tienen variables de entrada y salida, pero todos tienen variables
de excitación y variables de estado

Sólo con el impulso de reloj,


cambia a través de su secuencia

funciones de excitación = f(estado presente, entradas)


Diagrama de estados Tabla de estado siguiente Tabla de transición
REGISTROS DE DESPLAZAMIENTO

Son circuitos lógicos secuenciales que relacionados con los contadores que se
utilizan para:

• Almacenamiento de datos digitales, memorias -> FF-D


• No tiene una secuencia específica excepto en algunas aplicaciones
• Desplazamiento de datos (movimiento en función del reloj)
• La capacidad de almacenamiento es la cantidad de bits
REGISTROS DE DESPLAZAMIENTO

Registros de desplazamiento Entrada/Salida serie

Símbolo de un RD de 8
bits con entrada de datos
serie
REGISTROS DE DESPLAZAMIENTO CON ENTRADA SERIE Y SALIDA PARALELO
Mostrar los estados del registro de 4 bits (SRG 4) para las formas de onda de entrada y de reloj. Inicialmente, el
contenido del registro es todo 1s.
REGISTROS DE DESPLAZAMIENTO CON ENTRADA PARALELO Y SALIDA SERIE
REGISTROS DE DESPLAZAMIENTO CON ENTRADA Y SALIDA PARALELO
74HC195
• Se puede utilizar como E/S paralelo
• Dispone de una entrada serie
• Se puede trabajar con entrada y salida serie o entrada serie
y salida paralelo
• Si SH/LD’ =0, -> se introducen los datos
• Si SH/LD’ =1, -> los datos almacenados se desplazan
hacia la derecha con el reloj
• Las entradas J y K’ son entradas de datos de Q0, y Q3
puede utilizarse como salida de datos serie
• CLR’ se activa en nivel bajo -> asíncrona
REGISTROS DE DESPLAZAMIENTO BIDIRECCIONALES
Determinar la salidas en el circuito anterior Q0…Q3 si inicialmente Q0 = 1, Q1 = 1, Q2 = 0 y Q3 =
1, y que la línea de entrada de datos serie está a nivel BAJO.

1 2 3 4 5 6 7 8 9
CONTADORES BASADOS EN REGISTRO DE DESPLAZAMIENTO

JOHNSON: El complemento de la salida del último FF se conecta a la entrada D del primer secuencial. Para 4
bits se tienen 8 estados y para 5 bits 10 estados, es decir tiene un módulo 2n, para todo n = número de etapas
del contador
ANILLO

También podría gustarte