Está en la página 1de 14

UNMSM - FIE

Introduccin al curso
Diseo Digital

Ing. Alfredo Granados Ly

Temario
1. Introduccin a los FPGAs (Lgica programable)
2. Lenguaje de descripcin de hardware: VHDL
3. Estilo flujo de datos para la implementacin de circuitos
combinacionales
4. Estilo algortmico para la implementacin de los
elementos de memoria, registros, contadores, etc.
5. Implementacin de mquinas de estado.
6. Estilo estructural.

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Temario
7. Implementacin de un microprocesador de 8
bits: Ruta de datos (banco de registros, ALU,
modos de direccionamiento) y Unidad de
Control.
8. Proyecto de implementacin de un sistema
digital en un FPGA.

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Calificacin del curso


Examen Parcial (0 a 20 puntos)
Examen Final = Examen de (0 a 15 puntos) +
Proyecto Final de (5 puntos)
Promedio de Laboratorios (0 a 20 puntos)
PF = [ EP + EF + Prom Laborat] / 3
Nota: La presentacin del Proyecto Final se realizar una semana
antes del Examen Final y tendr una sustentacin de mximo 10
minutos por alumno.
Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Objetivos de la Bibliografa
Material de consulta a la teora impartida por el
profesor.
Diferentes puntos de vista sobre la misma teora.
Variedad de ejemplos para complementar a la teora
y laboratorio.
Ideas de proyectos para la sustentacin final.
Familiarizarnos con material tcnico en ingles.

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Bibliografa

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Bibliografa

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Bibliografa

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Bibliografa

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Bibliografa

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Bibliografa

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Bibliografa

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Publicaciones del profesor

Diseo de un microcontrolador de 8 bits en un FPGA.


Revista de investigacin UNMSM (2007).

Diseo de un analizador lgico en VHDL y su


implementacin enb un FPGA Spartan 3. XIII Workshop
Iberchip, Lima (2007).

Reproduccin de una Imagen en un Monitor VGA


Utilizando un FPGA. Revista de investigacin UNMSM
(2007).

Design of a spectrum analyzer for low frequencies. XV


Workshop Iberchip, Buenos Aires (2009).

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

Publicaciones del profesor

Control de mouse va IR aplicado en la medicin de


distancias. Intercon USMP

Implementacin del primer microprocesador 4004


de Intel en un FPGA. Revista de investigacin
UNMSM (2006)

Digitaldesign_2012_2@hotmail.com

Ing. Alfredo Granados Ly

También podría gustarte