Está en la página 1de 24

Circuitos Combinacionales I

Electrnica Digital
Ing. Mnica Patricia Ren_2010
Introduccin

Los sistemas digitales obtienen datos e informacin codificada en binario que se
utiliza para diferentes tipos de operaciones:

Codificacin y decodificacin.
Multiplexacin y demultiplexacin.
Comparacin.
Operaciones aritmticas y lgicas.
Conversin de cdigo.
Asignacin del bus de datos.
Otras, que no requieran de la memorizacin de los datos.

Las operaciones anteriores se realizan con circuitos combinacionales.

Ing. Mnica Patricia Ren

En la lgica combinacional los circuitos utilizados, denominados de manera general
circuitos combinacionales, tienen la caracterstica de que la salida depende
nicamente de la combinacin de valores presentes en la entrada en dicho
instante.

Los circuitos combinacionales pertenecen a la clase de CI (circuito integrado)
denominados MSI.

El uso de estos CI MSI a facilitado la realizacin de las operaciones realizadas por
los sistemas digitales.

La siguiente figura muestra un esquema de clasificacin de CIs.

Ing. Mnica Patricia Ren
Introduccin
Ing. Mnica Patricia Ren
Introduccin
Comparadores
Un comparador determina si dos nmeros binarios son iguales o distintos.

La compuerta OR-exclusiva (XOR) se puede emplear como un comparador bsico,
ya que su salida es 1 si dos bits de entrada son diferentes y es 0, si los dos bits son
iguales.






Para comparar nmeros binarios de dos bits, se necesita una puerta XOR adicional.
Adems para obtener un nico resultado de salida que indique la igualdad o
desigualdad entre los dos nmeros, se pueden utilizar dos inversores y una puerta
and, como se ve en el siguiente circuito.
Ing. Mnica Patricia Ren
0
0
0
0
1
1
1
1
1
1
0
0

Formato general para los nmeros binarios:
N
0
binario A= A
1
A
0
N
0
binario B= B
1
B
0




La salida ser alta cuando ambos nmeros(A y B) sean iguales.

Nota: esta es una compuerta de igualdad y su principal aplicacin es la de generar
los niveles lgicos que pierden potencia debido a su uso en los circuitos lgicos. Si
se aplican varias compuertas igualdad, la informacin se mantiene en nivel y en
lgica.
El smbolo lgico resumido para una compuerta inversora seguida de una
compuerta igualdad es el siguiente:
Ing. Mnica Patricia Ren
Comparadores
A1
B1
A0
B0
Ver nota
De manera ms especfica, un comparador es un circuito aritmtico que determina la
magnitud relativa entre dos nmeros binarios o palabras A y B en cdigos binarios o
BCD.

Se toman tres decisiones acerca de las palabras A y B, y se manifiestan en las tres
salidas a saber:
- A=B
- A>B
- A<B


Por ejemplo para determinar la desigualdad entre dos nmeros de digamos 3 bits cada
uno, A=A
2
A
1
A
0
y B=B
2
B
1
B
0
, en primer lugar se examina el bit de mayor peso de cada
nmero. Las posibles condiciones son:
1. Si A
2
=1 y B
2
=0 A>B
2. Si A
2
=0 y B
2
=1 B>A
3. Si A
2
= B
2
tenemos que examinar los siguientes bits de orden inmediatamente
inferior.



Ing. Mnica Patricia Ren
Comparadores

Las tres opciones anteriores, son vlidas para cada posicin que ocupen los bits
dentro del nmero y adems, la comparacin siempre se inicia desde los bits mas
significativos.

La siguiente figura muestra el diagrama lgico de un comparador simple de solo
dos bits.
Ing. Mnica Patricia Ren
Comparadores
A
B
enable
A>B
A=B
A<B
Comparadores
Algunas formas comerciales de CIs:
- 74x85: comparador de 4 bits.
- 4585:comparador de 4 bits.
- 74x682: comparador de 12 bits.


este tipo de comparador posee adems de sus salidas
A>B
out
,A<B
out
, A=B
out ,
tres entradas similares
para que se conecten en cascada y as poder ampliar
la cantidad de bits a comparar.




Por ejemplo si conectamos en cascada dos comparadores de 4 bits 74HC85, podemos obtener un
comparador para dos nmeros binarios de 8 bits, es decir podemos comparar 16 bits en total


Ing. Mnica Patricia Ren
1
2
3
4
5
6
7
8
16
15
14
13
12
11
10
9
74HC85
B3
A<BIN
A=BIN
A>BIN
A>BOUT
A=BOUT
A<BOUT
GND B0
A0
B1
A1
A2
B2
A3
VCC
Comparador de 16 bits con dos comparadores de 8 bits (en total).











Ing. Mnica Patricia Ren
74HC85 74HC85
LSBs MSBs
salidas
+5V
A>B A>B A>B
A>B
A=B
A=B
A=B
A<B
A<B A<B
A=B
A<B
B0
B1
B2
B3 B7
B6
B4
B5
A0
A1
A2
A3
A4
A5
A6
A7
Comparadores

En una computadora, la cach es una memoria intermedia muy rpida entre la
CPU y la memoria principal. La CPU solicita datos enviando la direccin en
memoria. Parte de esta direccin se denomina marcador.

El comparador de marcadores de direccin, compara el marcador de la CPU con el
marcador del directorio de la cache. S ambas direcciones son iguales, significa que
los datos direccionados se encuentran ya en la cach y se recuperan de forma
muy rpida. Caso contrario, los datos deben recuperarse de la memoria principal a
una velocidad mucho ms lenta.
Ing. Mnica Patricia Ren
Comparadores
Sumadores
Semisumador: Es un circuito aritmtico que admite dos dgitos binarios en sus
entradas y genera dos dgitos binarios en sus salidas: un bit de suma y un bit de
acarreo.








Observando la tabla de verdad del semisumador, se observa que la salida C
out
es 1
solo cando A y B son ambas 1; por lo tanto podemos expresar a C
out
como una
operacin AND de las variables de entrada.
C
out
=A.B
Ing. Mnica Patricia Ren
B
A
Cout
Bits de entrada
Bits de salida
Smbolo lgico
A B C
OUT

0 0 0 O
0 1 0 1
1 0 0 1
1 1 1 0
Tambin de la tabla de verdad se observa que la salida correspondiente a la suma
() es solo 1 cuando ambas variables A y B son distintas, por lo tanto la
operacin suma puede expresarse como una or-exclusiva (XOR) de las
variables de entrada.
=A B=AB+AB

A partir de todo lo anterior el diagrama lgico del semi-sumador resulta:
Ing. Mnica Patricia Ren
Sumadores
A
B
Cout
Sumador Completo: acepta dos bits de entrada y un acarreo de entrada y genera
una salida de suma y un acarreo de salida.

La diferencia entre un semisumador es que el sumador completo acepta un
acarreo de entrada.


Ing. Mnica Patricia Ren
Sumadores
B
A
Cout
Bits de entrada
suma
Smbolo lgico
Cin
Acarreo de
salida
Acarreo de
entrada
A B C
IN
C
OUT

0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
1 0 1 1 0
1 1 0 1 0
1 1 1 1 1
El sumador completo tiene que sumar dos bits de entrada y un acarreo de
entrada.
Del semi-sumador sabemos que la suma de los dos bits de entrada A y B es la
operacin XOR de esas dos variables.
Para sumar el acarreo de entrada C
in
a los bits de entrada hay que aplicar de
nuevo la operacin XOR.
En la siguiente figura se muestra el circuito lgico del sumador completo utilizando
dos semi-sumadores.
Ing. Mnica Patricia Ren
Sumadores
A
B
C
IN
A N B
OA N BOC
IN
AB
UOA N BON C
IN
C
OUT
UABOA N BOC
IN









Ing. Mnica Patricia Ren
Sumadores
B
A
Cout
B
A
Cout
UA N B OA N BON C
IN
OA N BOC
IN
AB
ABOA N BOC
IN
C
IN
Para sumar dos nmeros binarios se necesita un sumador completo por cada bit
que tengan los nmeros que se quieren sumar.
Es decir, para nmeros de dos bits se necesitan dos sumadores, para nmeros de 4
bits se necesitan cuatro sumadores.
Para lo anterior, la salida de acarreo de cada sumador se conecta a la entrada de
acarreo del sumador de orden inmediatamente superior.
Ing. Mnica Patricia Ren
Sumadores
A
Cout
B A
Cout
C
IN
C
IN
B
A
1 A
2
B
1 B
2

3
LSB MSB


A
2
A
1
B
2
B
1

3

2

1
En el diagrama anterior puede utilizarse un semi-sumador para la posicin menos
significativa, o bien se puede colocar a tierra (0 lgico) la entrada de acarreo de un
sumador completo, ya que no existe entrada de acarreo en la posicin del bit
menos significativo.
Tambin observe que el acarreo de salida del sumador completo de ms a la
izquierda se convierte en el bit ms significativo en la suma
3

La configuracin anterior puede ampliarse para implementar sumadores
completos de ms bits.
Un ejemplo lo muestra el CI 74LS283 que es un sumador completo para
dos nmeros binarios de 4 bits c/u.
Ing. Mnica Patricia Ren
Sumadores
A
Cout
B A
Cout
C
IN
C
IN
B
A
1 A
2
B
1 B
2

2
3
LSB


A
Cout
B A
Cout
C
IN
C
IN
B
MSB


A
3
A
4 B
3
B
4

4










Si se conectan en cascada dos 74LS283 se puede obtener un sumador para dos
nmeros de 8 bits.
Ing. Mnica Patricia Ren
Sumadores
74LS283
1
2
3
4
1
2
3
4
4
3
2
1
Cin
Cout
GND
Vcc
Nmero binario A
Nmero binario B
Suma de 4 bits
Acarreo de entrada
Acarreo de salida
(5)
(3)
(14)
(12)
(6)
(2)
(15)
(11)
(7)
(4)
(1)
(13)
(10)
(9)
(8)
(16)
Los sumadores pueden clasificarse en dos categoras, sumadores de acarreo serie
y sumadores de acarreo anticipado, dependiendo de la forma en que se manejan
los acarreos internos de una etapa a la otra.

Externamente ambos tipos son iguales en trminos de entradas y salidas, la
diferencia se encuentra en la velocidad a la que efectan la suma de los nmeros.

Debido a que los sumadores estn formados por compuertas lgicas existe un
retardo de propagacin o delay especificado, t
p
, desde una entrada a la salida.

Los sumadores de acarreo anticipado son ms rpidos que los sumadores de
acarreo serie, debido a que como su nombre lo indica este tipo de sumador
anticipa el acarreo de salida de cada etapa.
Ing. Mnica Patricia Ren
Sumadores
Restadores
La forma ms simple de efectuar la resta entre nmeros binarios sin signo es
utilizando complementos.

Recordemos que la resta A-B se efecta obteniendo el complemento a 2 de B y
luego efectuando la suma con A.

Adems recordemos que el complemento a 2 de B se puede obtener mediante el
complemento a 1 (que se implementa con inversores) y sumndole uno al
resultado anterior.

Tambin debemos de considerar si en estas operaciones se produce una condicin
de desbordamiento.

Es posible detectar la condicin de desbordamiento observando el acarreo que
llega a la posicin del bit ms significativo y el acarreo que sale de dicha posicin
para el caso de que los nmeros sean representado en formato binario con signo.

Ing. Mnica Patricia Ren
Para ejemplificar lo anterior supongamos que queremos sumar dos nmeros
binarios con signo, como 70+80=150




Como dijimos observando el acarreo que llega al MSB (en este ejemplo llega un 1)
y el que sale del MSB (en este ejemplo sale un 0), se detecta que existe una
condicin de desbordamiento.

Si estos dos desbordamientos se aplican a una compuerta XOR, se detectar un
desbordamiento cuando la salida de dicha compuerta sea 1.
Para el caso en el que los nmeros estn representados en formato binario sin
signo, el desbordamiento se detecta en el acarreo final de la posicin ms
significativa.


Ing. Mnica Patricia Ren
Restadores
0 1
0 1 0 0 0 1 1 0
0 1 0 1 0 0 0 0
1 0 0 1 0 1 1 0
1 0
1 1
1 0 1
El siguiente circuito muestra un sumador-restador de 4 bits utilizando sumadores
completos (SC).










La lnea de entrada M controla la operacin del circuito, si M=0 el circuito opera como
un sumador ya que B 0=B; si M=1 el circuito opera como un restador ya que B 1=B
y adems C
0
=1.
En el caso del restador estaramos sacando el complemento a 2 de B.









Ing. Mnica Patricia Ren
Restadores
SC SC SC SC
A
1
B
1 A
2
B
2 A
3
B
3
A
4
B
4
M

4
C
0 C
1
C
2 C
3 C
4 C
O


Si los dos nmeros binarios que se suman estn en formato sin signo, el bit de
salida marcado como C detectar un acarreo lo cual indicar desbordamiento.

Si los dos nmeros binarios que se suman estn en formato con signo el bit de
salida marcado con O detectar si existe o no desbordamiento ya que si O=0 no
existir desbordamiento, y si O=1 indicar desbordamiento.

Ing. Mnica Patricia Ren
Restadores

También podría gustarte