Está en la página 1de 11

Instituto Tecnolgico de Tijuana

Departamento de Computacin y Sistemas


SUBDIRECCIN ACADMICA _____________________________________________________________________________

PERIODO: Enero Junio 2012

Carrera: Ingeniera en Sistemas Computacionales

Materia: Principios Elctricos y Aplicacin Digital (6SC5C)

Tema: Tipos de Diodos

Unidad: 1 Integrantes: Flores Lomeli Laura Lorena Gonzlez Cruz Amy de Los ngeles Ventura Chacn Abel

Nombre del Maestro: M.C Jorge Carlos Rios

Aula: 303

Horario: 14:00-15:00

Instituto Tecnolgico de Tijuana


Departamento de Computacin y Sistemas
SUBDIRECCIN ACADMICA _____________________________________________________________________________

INTRODUCCION

Los circuitos de escala de integracin media y alta (MSI y LSI respectivamente) se disearon mediante la realizacin de un prototipo formado por mdulos ms sencillos y la comprobacin de su funcionamiento antes de proceder a la integracin. Esta forma de diseo recibe el nombre de abajo a arriba (bottom-up) porque se enlazan diversos mdulos para constituir un bloque funcional ms complejo. Pero en el caso de los circuitos integrados de complejidad VLSI y superiores no resulta prctica la realizacin fsica de un prototipo y por ello es necesario simular y verificar su correcto comportamiento antes de integrarlos.. As, mediante simulacin es posible una rpida deteccin de errores en fases tempranas del diseo, resulta factible la reutilizacin del mismo para diferentes tecnologas y se pueden utilizar las herramientas de sntesis actuales para obtener rpidamente un esquema lgico o estructural y, en definitiva, una netlist* de entrada para el trazado fsico (layout) del ASIC, MCM, etc. o la asignacin de recursos (mapping) en el caso de la lgica programable (PLDs y FPGAs).Todo ello, obviamente, incrementa la productividad y la eficacia del diseo.

Instituto Tecnolgico de Tijuana


Departamento de Computacin y Sistemas
SUBDIRECCIN ACADMICA _____________________________________________________________________________

LENGUAJES DE DESCRIPCIN DEL HARDWARE (HDL)


Estos lenguajes fueron desarrollados para hacer frente a la creciente complejidad de los diseos. Se puede hacer una analoga con los que se pueden llamar lenguajes de descripcin de software:

Los HDLs son usados para modelar la arquitectura y comportamiento de sistemas electrnicos discretos. Se utilizan en la fase de diseo Necesidad de Simulador lgico Herramienta necesaria para reproducir el comportamiento del sistema modelado Permite la verificacin del sistema diseado.

Instituto Tecnolgico de Tijuana


Departamento de Computacin y Sistemas
SUBDIRECCIN ACADMICA _____________________________________________________________________________

Lenguajes de descripcin de hardware (HDLs). Sntesis lgica


Sntesis lgica: convierte una descripcin de un sistema digital mediante un HDL en una implementacin tecnolgica.

El hecho de realizar una sntesis lgica obliga a ciertas restricciones en la tarea de realizar las descripciones con HDLs. No se pueden utilizar todas las construcciones de los lenguajes, y las que estn permitidas hay que utilizarlas adecuadamente.

Cada uno de los sintetizadores que existen actualmente en el mercado tienen unas restricciones distintas, si bien, son muy parecidas en cada uno de ellos.

Instituto Tecnolgico de Tijuana


Departamento de Computacin y Sistemas
SUBDIRECCIN ACADMICA _____________________________________________________________________________

Ventajas del uso de HLDs


El lenguaje es independiente de la tecnologa:

El mismo modelo puede ser sintetizado en libreras de distintos vendedores. Reduccin de la dependencia con el fabricante de ASICs, ya que la portabilidad a otra tecnologa es mucho ms rpida. Reutilizar el diseo en componentes tan distintos como ASICs o FPGAs con un esfuerzo mnimo.

Soportan tres estilos de descripcin bsicos:

Descripcin comportamental (behavioral) Descripcin de flujo de datos (data-flow) Descripcin estructural (estructural)

Se puede verificar la funcionalidad del diseo muy pronto en el proceso de diseo.

La simulacin del diseo a tan alto nivel, antes de la implementacin a nivel de puertas, permite testar la arquitectura y rectificar decisiones en las primeras fases de diseo, con un esfuerzo mucho menor que si se realizase en fases posteriores. Soporta modelos de tiempos sncronos y asncronos. Posibilidad de implementar distintas tcnicas de modelado digital (descripciones de mquinas de estados finitos (FSM), descripciones algortmicas, redes de Petri, y ecuaciones Booleanas El lenguaje es pblico y "not propietary" (especialmente en el caso del VHDL).

Instituto Tecnolgico de Tijuana


Departamento de Computacin y Sistemas
SUBDIRECCIN ACADMICA _____________________________________________________________________________

INCONVENIENTES DEL USO DE HDLS


Supone un esfuerzo de aprendizaje, ya que prcticamente se puede considerar como nueva metodologa. Necesaria la adquisicin de nuevas herramientas:

Simuladores Sintetizadores de HDL, teniendo que mantener el resto de las herramientas para otras fases del Diseo. El uso de estos lenguajes hace que involuntariamente se pierda un poco de control sobre el aspecto fsico del diseo, dndole una mayor importancia a la funcionalidad de dicho diseo.

LENGUAJES DE DESCRIPCIN DE HARDWARE


En la actualidad se utilizan fundamentalmente VHDL, Verilog y SystemC . Otro HDL, el UDI/L se utiliza exclusivamente en Japn. VHDL (Very High Speed Integrated Circuit Hardware Description Language). Nace como proyecto del Departamento de Defensa (DoD) de EEUU (ao 82) para disponer de una herramienta estndar, independiente para la especificacin (modelado y/o descripcin) y documentacin de los sistemas electrnicos. El IEEE lo adopta y estandariza. Verilog: Sw de la firma Gateway y posteriormente de Cadence. Estndar industrial hasta que apareci el VHDL como estndar IEEE. En 1990 Cadence lo hace pblico y el IEEE lo estandariza en 1995. SystemC: es una extensin del C++, que utiliza unas bibliotecas de clase para describir y simular circuitos digitales. Se public en 1999.

VHDL: CARACTERSTICAS GENERALES


VHDL: lenguaje orientado a la descripcin o modelado de Hw similar a lenguajes de alto nivel de propsito general (ADA en especial): de ellos hereda: Concepto de tipo de datos, con posibilidad de definir nuevos tipos facilita la descripcin de circuitos con diversos niveles de abstraccin. Sentencias de control de flujos (if, for while). Junto con la caracterstica anterior potencia para desarrollar algoritmos. Capacidad de estructurar el cdigo (subprogramas, funciones o procedimiento), permite afrontar algoritmos complejos.

Instituto Tecnolgico de Tijuana


Departamento de Computacin y Sistemas
SUBDIRECCIN ACADMICA _____________________________________________________________________________

MODELO DEL HW Modelo de tiempo: ciclo de simulacin

Instituto Tecnolgico de Tijuana


Departamento de Computacin y Sistemas
SUBDIRECCIN ACADMICA _____________________________________________________________________________

Para qu sirve el VHDL?

SISTEMAS DIGITALES

Instituto Tecnolgico de Tijuana


Departamento de Computacin y Sistemas
SUBDIRECCIN ACADMICA _____________________________________________________________________________

PORTS: Puertos de una entidad

PORTS: Modos de un puerto Una seal que es bidireccional, entrada/salida de la entidad.

Instituto Tecnolgico de Tijuana


Departamento de Computacin y Sistemas
SUBDIRECCIN ACADMICA _____________________________________________________________________________

El problema de la concurrencia del HW

Concurrencia: Una posible solucin

Instituto Tecnolgico de Tijuana


Departamento de Computacin y Sistemas
SUBDIRECCIN ACADMICA _____________________________________________________________________________

REFERENCIAS
http://arantxa.ii.uam.es/~jgonzale/fco/curso08-09/download/seminarios-vhdl.pdf http://dspace.ups.edu.ec/bitstream/123456789/40/7/Capitulo1.pdf

También podría gustarte