Está en la página 1de 2

FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

Carrera de Tecnologías de la Información


Carrera de Electrónica y Automatización
Carrera de Telecomunicaciones
Carrera de Electricidad

LABORATORIO SISTEMAS DIGITALES

PRÁCTICA N°9
1. TEMA

ARQUITECTURAS EN VHDL
2. OBJETIVOS

2.1. Relacionar conceptos de sistemas digitales con el funcionamiento de dispositivos lógicos


programables.
2.2. Familiarizar al estudiante con la sintaxis y funcionamiento de las sentencias concurrentes
para el control de flujo de datos en VHDL.
2.3. Familiarizar al estudiante con la arquitectura estructural en VHDL.
2.4. Codificar e implementar programas utilizando sentencias concurrentes y arquitectura
estructural para la resolución de circuitos combinacionales básicos escritos en VHDL.

3. TRABAJO PREPARATORIO

3.1. ¿Qué es la arquitectura Flujo de Datos en VHDL? (200 palabras).


3.2. ¿Qué es la arquitectura Estructural en VHDL? (200 palabras).
3.3. ¿Qué es una sentencia concurrente? (200 palabras).
3.4. Consultar cuál es la estructura básica y función de las siguientes sentencias concurrentes
en VHDL:
• When-Else
• With-Select-When
3.5. Consultar cuál es la función y la sintaxis básica en VHDL de:
• Port Map
• Signal
• Component
3.6. Utilizando una sentencia concurrente realizar un programa en VHDL que permita simular
el funcionamiento de un mux 4 a 1. Presentar el código implementado y la simulación del
correcto funcionamiento utilizando el University Program VWF.
3.7. Utilizando una sentencia concurrente realizar un programa en VHDL que permita convertir
un numero binario de 4 bits en su correspondiente número BCD. Emplee una variable de 4
bits para las unidades y una variable de 4 bits para las decenas. Presentar el código
implementado y la simulación del correcto funcionamiento utilizando el University
Program VWF.

4. EQUIPOS Y MATERIALES
• Computadora.
• Micrófono externos o integrados.

Período: 2021-B | detri.epn.edu.ec Página 1 de 2


CP - SISTEMAS DIGITALES

• Parlantes externos o integrados.


• Quartus Prime Lite 19.1.

5. PROCEDIMIENTO

5.1. Comprobar el funcionamiento de los programas codificados en los numerales 3.6 y 3.7 del
trabajo preparatorio y presentar los resultados al instructor de la sesión correspondiente.
5.2. Utilizando la ecuación F(a,b,c,d) asignada por el instructor en la práctica, realizar la tabla
de verdad que satisfaga la función planteada e implementar el circuito utilizando la
sentencia When-Else . Presentar la tabla de verdad, el código implementado y la simulación
del correcto funcionamiento utilizando el University Program VWF.
5.3. Utilizando la arquitectura estructural y el mux 4 a 1 realizado en el numeral 3.6 realizar un
programa en VHDL que permita simular el funcionamiento de un mux 16 a 1. Presentar los
códigos de cada componente, el código principal, la simulación del correcto
funcionamiento utilizando el University Program VWF.

6. INFORME

6.1. Realizar un programa que permita convertir de BCD (1 digito) a 7 segmentos (ánodo
común) utilizando sentencias concurrentes. Presentar el código implementado y la
simulación del correcto funcionamiento utilizando el University Program VWF.
6.2. Realizar un programa en VHDL que permita convertir un numero binario de 4 bits en su
correspondiente número BCD. Emplee una variable de 4 bits para las unidades y una
variable de 4 bits para las decenas. Los números obtenidos en BCD transformarlos a 7
segmentos, utilizando el código creado en el numeral anterior. Unir los dos programas
utilizando la arquitectura estructural. Presentar los códigos de cada componente, el código
principal, la simulación del correcto funcionamiento utilizando el University Program VWF.
6.3. Comparar el uso de la arquitectura flujo de datos con el uso de la arquitectura estructural.
¿En qué casos se recomendaría el uso de cada una?
6.4. Conclusiones y recomendaciones.
6.5. Bibliografía.

REFERENCIAS

[1] TOCCI/WIDMER/MOSS. “Sistemas Digitales. Principios y Aplicaciones”. Prentice Hall.


10ma. Edición. 2007.
[2] NOVILLO CARLOS A., "Sistemas Digitales" Quito, Escuela Politécnica Nacional,2010.
[3] MAXIMEZ DAVID, “VHDL El arte de programar sistemas digitales”, Editorial Continental,
2002.
[4] SÁNCHEZ MARCOS, “INTRODUCCIÓN A LA PROGRAMACIÓN EN VHDL”. [Online].
Disponible en: https://eprints.ucm.es/id/eprint/26200/1/intro_VHDL.pdf. Último acceso:
24/01/2022

Elaborado por: Ing. Elvis Espinosa / Ing. Aldrin Reyes

Revisado por: Ing. Ramiro Morejón, MSc. / Jefe de Laboratorio

Período: 2021-B | detri.epn.edu.ec Página 2 de 2

También podría gustarte