Está en la página 1de 10

1.- Describa en VDHL tres multiplexores utilizando la sentencia with-select.

Los tres
multiplexores deben tener un tamaño diferente (2 a 1, 8 a 1, etc.) y cada entrada un número
de bits diferente.
a. Un pantallazo de la descripción en VHDL (Ver la advertencia al final de la
guía, con respecto a los pantallazos válidos)
b. Un pantallazo del resultado (diagrama) de la simulación, en el cual se debe
evidenciar el correcto funcionamiento del diseño. NO se debe incluir el código
VHDL de la simulación.

Multiplexor (2 a 1)
Multiplexor (4 a 1)
Multiplexor (8 a 1)
2. Describa en VDHL un decodificador de 3 entradas utilizando la sentencia
with-select.
El diseño debe contener:

a. Un pantallazo de la descripción en VHDL.

b. Un pantallazo de la simulación, en el cual se debe evidenciar el correcto


funcionamiento del diseño.
3. Describa en VDHL un codificador de 4 entradas, sin prioridad, utilizando la
sentencia with-select.
El diseño debe contener:
a. Un pantallazo de la descripción en VHDL.
b. Un pantallazo de la simulación, en el cual se debe evidenciar el correcto
funcionamiento del diseño.

También podría gustarte