Está en la página 1de 5

LABORATORIO 4.

2: INTRODUCCIÓN AL VHDL: ESTILO DE DESCRIPCIÓN


FLUJO DE DATOS EN VHDL
Leonardo García 1161280
1. OBJETIVO
Aplicar a un sistema electrónico digital el estilo de descripción flujo de datos en lenguaje
VHDL, para describir su comportamiento, identificar sus componentes fundamentales al
interior de cada unidad básica de diseño y deducir sus ventajas y desventajas.

También podría gustarte