Está en la página 1de 4

Práctica 5.

Comparador de
magnitud
Profesor: DIAZ GUERRERO PRIMITIVO
EMANUEL
Alumno: MENDOZA RAMIREZ DIEGO
Código: 214584137
INTRODUCCIÓN

El objetivo del circuito digital comparador es realizar la comparación de dos palabras A y B de n


bits, e indica si son iguales o si uno es mayor que otro en una de las tres salidas (A=B, A>B y A<B)
Al finalizar el circuito una de estas salidas estará a 1 y las demás en 0, dependiendo de la entrada
de estas.

DESARROLLO
 Comparador 4 Bits

En un comparador de 4 bits el procedimiento es el siguiente:


A=B

Deben ser iguales cada uno de sus bits.


A>B

Recorremos todos los bits de A y B desde el mas significativo hasta que A sea 1 y B sea 0.
A<B

Recorremos todos los bits de A y B desde el mas significativo hasta que A sea 0 y B sea 1.

Ejemplo 1:

A(1 0 1 0). 1010


B(1 0 0 1) 1001

A>B

Ejemplo 2:

A(1 0 1 0). 1010


B(1 0 1 0) 1010

A=B

Ejemplo 3:

A(1 0 1 0). 1010


B(1 1 1 0) 1110

A<B
RESULTADOS

Fig 1. (A = B)
Fig. 2. (A > B)

Fig. 3. (B < A)

 Código VHDL

library ieee;
use IEEE.std_logic_1164.all;

entity profuente is
port(A,B: in std_logic_vector(3downto 0);
mayor, menor, igual: out std_logic);

END profuente;

architecture situacion of profuente is

begin

igual <= ‘1’ when (A=B)else ‘0’;


mayor <= '1' when (A>B)else '0';
menor <= '1' when (A<B)else '0';

END situacion;

También podría gustarte