Está en la página 1de 10

ESCUELA PROFESIONAL DE INGENIERIA DE SISTEMAS

ARQUITECTURA DE COMPUTADORAS

UNIVERSIDAD PRIVADA DE TACNA


FACULTAD DE INGENIERIA
ESCUELA PROFESIONAL DE INGENIERIA DE SISTEMAS

CUARTO SEMESTRE
ASIGNATURA: ÁREA DE CONOCIMIENTO:
Arquitectura de computadoras (Laboratorio) Infraestructura de Redes

Docente: Ing. Alex Yanqui Constancio

Práctica 1 Circuito integrado ALU

Alumno:

Grupo de Laboratorio: Calificación:


OBJETIVO(S):
 Reconocer el Circuito integrado de unidad Aritmética Lógica
 Configurar ALU 74LS181 en el virtualizador

1. DESCRIPCION:
a. OBJETIVO
 Complementar el estudio de los circuitos aritméticos.
 Estudio, implementación y comprobación de las características operativas
de la unidad aritmética y lógica ALU 74LS181.

b. MATERIALES Y SOFTWARE DE SIMULACIÓN REQUERIDOS

 Proteus Isis v.8.6 o superior


 Simulador de circuitos digitales

Ing. Alex Yanqui Constancio


1
ESCUELA PROFESIONAL DE INGENIERIA DE SISTEMAS
ARQUITECTURA DE COMPUTADORAS

2. MARCO TEORICO:
2.1 INTRODUCCIÓN

La unidad aritmética lógica (UAL o ALU) es el órgano operativo del


microprocesador puede realizar operaciones tanto aritméticas como lógicas entre
un par de operandos de N bits, se compone en dos módulos: la unidad aritmética
y la unidad lógica. Para seleccionar la operación que se quiere realizar
normalmente estos dispositivos poseen unas entradas de selección.

En la arquitectura de un microprocesador, la unidad aritmética y lógica opera con


datos de N bits el cual posee dos vías para el acceso de datos:

 Acceso directo desde el bus interno del microprocesador.


 Acceso al acumulador con el cual trabaja habitualmente.

Además tiene una comunicación con los diferentes bits de registro de estados.

2.2 CIRCUITOS INTEGRADOS ARITMETICOS


Los circuitos aritméticos básicos, debido a su gran uso en multiples aplicaciones,
los encontramos en forma de IC (en una pastilla). El circuito sumador total típico
lo encontramos en forma de 4 sumadores completos independientes o como un
sumador de 4 bits capaz de sumar dos palabras binarias de 4 bits.
Si combinamos los circuitos sumadores totales con otros circuitos lógicos,
obtendremos:
• Sumadores-restadores
• Multiplicadores
• ALU (unidades lógicas-aritméticas de multiples utilidades)

Ing. Alex Yanqui Constancio


2
ESCUELA PROFESIONAL DE INGENIERIA DE SISTEMAS
ARQUITECTURA DE COMPUTADORAS

2.3 CARACTERISTICAS DEL 7483

Es un circuito integrado que realiza la función de sumador total, efectúa la suma


de dos números binarios de 4 bits.

2.4 SUMADOR DE DOS PALABRAS DE 4 BITS


Los 4 bits de la palabra A se introducen por sus correspondientes entradas;
análogamente, se introduce la palabra B por sus correspondientes entradas.
El Carry de entrada lo ponemos a masa ya que no tenemos ningún acarreo
anterior.

Por las salidas obtendremos el resultado de la suma en binario. Si el resultado


llevase acarreo en el último miembro, en el carry de salida saldria un 1 lógico.
Este es el uso más corriente que tiene este circuito integrado.

2.5 RESTADOR DE DOS PALABRAS DE 4Bits


Los cuatro inversores convierten la palabra B a su forma en complemento a 1.
Poner el acarreo de entrada a 1 lógico es lo mismo que si sumamos +1 al
sustraendo, con esto conseguimos el complemento a 2 del sustraendo; luego, se
suman minuendo y sustraendo y hemos efectuado la resta; en este caso, el
acarreo de salida se descarta.

2.6 SUMADOR-RESTADOR DE DOS PALABRAS DE 4Bits


Hemos observado que los circuitos sumadores son bastante parecidos. Si los
combinamos de una forma adecuada, obtendremos un circuito versátil que podrá
sumar o restar a nuestra voluntad.

2.7 LA UNIDAD ARITMÉTICA LÓGICA: ALU (ARITHMETIC LOGIC UNIT)

En esta sección de la CPU se realizan las operaciones aritméticas, lógicas, de


desplazamiento, de rotación de incremento. Uno de los operandos que
intervienen en la operación a efectuar por la ALU, procede de un registro llamado
Acumulador.

El otro operando llega desde cualquier parte del sistema y se carga en un registro
auxiliar. En el común de los microprocesadores, el resultado de la operación de la
ALU se deposita en el Acumulador, que, por este motivo, se emplea doblemente.

Ing. Alex Yanqui Constancio


3
ESCUELA PROFESIONAL DE INGENIERIA DE SISTEMAS
ARQUITECTURA DE COMPUTADORAS

Un registro especial, denominado Registro de Estado, dispone de una serie de


bits que actúan como señalizadores de alguna característica especiales que se
haya producido en la última operación efectuada por la ALU.

La ALU necesita recibir 4 señales que seleccionan la operación, más otra (M) que
determina si es de tipo lógico o aritmético. Las señales que seleccionan la
operación proceden del código OP de la instrucción que entra desde el bus
externo de datos e instrucciones.

2.8 RESUMEN DE LAS CARACTERÍSTICAS DE OPERACIÓN DEL C.I.


74LS181

Programando adecuadamente las líneas de selección (S3 S2 S1 S0) y la de


modo (M) junto con la de acarreo previo (Cn), la ALU puede ejecutar 16
operaciones lógicas y 32 operaciones aritméticas diferentes con los datos A=A3
A2 A1 A0 B=B3 B2 B1 B0. Estas operaciones, con sus respectivos códigos de
selección, se relacionan en la siguiente tabla. Se consideran tanto las entradas
como las salidas son activas en alto. Para programar el dispositivo como
generador de funciones lógicas, la entrada se lectora de modo (M) debe estar a
nivel alto. La operación lógica deseada se programa mediante un código de 4 bits
de la forma S3 S2 S1 S0 aplicado a las entradas selectoras de función. El estado
de Cn es indiferente.

Por ejemplo, para realizar la operación lógica A XOR B, si A= 1011 y B = 0001, la


línea M debe estar en 1 lógico y las líneas S3 S2 S1 S0 deben tener el código
0110.

Ing. Alex Yanqui Constancio


4
ESCUELA PROFESIONAL DE INGENIERIA DE SISTEMAS
ARQUITECTURA DE COMPUTADORAS

Cada bit de la palabra de salida F = F3F2F1F0 es el resultado de la operación


XOR de cada bit de la palabra A con el correspondiente bit de la palabra B. Es
decir, F3 =A3 XOR B3, F2 = A2 XOR B2 y así sucesivamente. Por tanto, F =
1010.

Para programar la ALU como generadora de funciones aritméticas, la línea M


debe llevarse a nivel bajo con el fin de habilitar los acarreos internos. La suma de
A y B, por ejemplo, se realiza cuando el código de las entradas de selección de
función es 1001. La entrada de acarreo Cn es activa en bajo.

Si la suma produce un acarreo de salida (Cn+4) igual a 1, esté también será


activo en bajo. La ALU utiliza un sistema interno de generación de acarreos
conocido como carry look ahead (acarreo anticipado), que no requiere que la
suma sea calculada en su totalidad antes de establecer la naturaleza del acarreo
resultante.

A continuación se presenta la tabla de operaciones de este integrado.

Operación de ALU 74LS181 en Lógica positiva

Datos activos con nivel alto


Selectores de
Funciones Operaciones Aritméticas: M = 0
función S3 S2 S1 Lógicas
S0 M=1 CN = 1 (sin acarreo) CN = 0 (con acarreo)
0000 F = A’ F=A F = A plus 1
0001 F = (A + B)’ F=A+B F = (A + B) plus 1
0010 F = A’.B F = A + B’ F = (A + B’) plus 1
o
0011 F=0 F = -1 (C 2) F=0
0100 F = (A.B)’ F = A plus A.B’ F = A plus A.B’ plus 1
0101 F = B’ F = (A + B) plus A.B’ F = (A + B) plus A.B’ plus 1
0110 F=AB F=A–B-1 F=A–B
0111 F = A.B’ F = A.B’ - 1 F = A.B’
1000 F = A’ + B F = A plus A.B F = A plus A.B plus 1
1001 F = (A  B)’ F = A plus B F = A plus B plus 1
1010 F=B F = (A + B’) plus A.B F = (A + B’) plus A.B plus 1
1011 F = A.B F = A.B - 1 F = A.B
1100 F=1 F = A plus A F = A plus A plus 1
1101 F = A + B’ F = (A + B) plus A F = (A + B) plus A plus 1
1110 F=A+B F = (A + B’) plus A F = (A + B’) plus A plus 1
1111 F=A F=A-1 F=A

Ing. Alex Yanqui Constancio


5
ESCUELA PROFESIONAL DE INGENIERIA DE SISTEMAS
ARQUITECTURA DE COMPUTADORAS

La función de cada uno de los pines de este C.I. se describe a continuación:

A3:A2:A1:A0 Entradas del primer operando,


B3:B2:B1:B0 Entradas del segundo operando,
S3:S2:S1:S0 Selectores de función: mediante éstas se selecciona la función que ha de
realizar el circuito.
F3:F2:F1:F0 Salidas de la ALU, donde se tendrán los resultados.
M: Selector de Modo: sirve para determinar la operación a realizar, Si M=1
realiza operaciones lógicas y realiza operaciones aritméticas si M=0.
Cn: Entrada de acarreo activa en nivel bajo.
A=B: Es una salida de colector abierto e indica cuándo las cuatro salidas están a
nivel ALTO. Si se selecciona la operación aritmética de la resta, esta salida
se activará cuando ambos operandos son iguales.
G: Acarreo de generación. En operación aritmética de la suma, esta salida
indica que la salida F es mayor o igual a 16, y en la resta F es menor que
cero.
P: Acarreo de propagación. En la operación aritmética de la suma, esta salida
indica que F es mayor o igual a 15 y en la resta que F es menor que cero.
G y P se utilizan para acoplar varios circuitos integrados del tipo 74181 en
cascada empleando el método de propagación en paralelo.
Cn+4 Es el acarreo de salida.

3. MONTAJE
a) Semisumador y sumador completo

A
1 U2:A
1 S
B 3
2 ?
1
74LS386 C
?
U1:A
1
3
2

74LS08

A1
1 U3:A
1
B1 3
2
0 U2:B
74LS386 5 S1
C IN 4
6 ?
1
74LS386

U1:B
4 C OUT
6
5 ?
74LS08

Ing. Alex Yanqui Constancio


6
ESCUELA PROFESIONAL DE INGENIERIA DE SISTEMAS
ARQUITECTURA DE COMPUTADORAS

b) Sumador 7483

1
1 ?
U4
1 5
A0 S0
4
?
3 1
A1 S1 ?
14 13
0 12
A2
A3
S2
S3
10
?
6
B0
2
B1
15
0 11
B2
B3
7 9
0 C0 C4 ?
74283

0
1

c) RESTADOR CON 7483

0
?
0 U4
5 4 ?
0 3
A0 S0
1
14
A1 S1
13 ?
1 12
A2 S2
10
A3 S3 ?
6
B0
2
B1
15
B2
11
0 B3
7 9
0 C0 C4 ?
74283
1
0

d) SUMADOR BCD CON 7483

U6 U7
SW1 10 9 10 9
8
A1 S1
6 8
A1 S1
6 0
3
C 3
1
A2
A3
A4
S2
S3
S4
2
15
3
1
A2
A3
A4
S2
S3
S4
2
15 1
SW2 11 11 1
2

B1 B1
7 7
3
C 4
B2
B3 U4:A 4
B2
B3
0
16 74LS32 16
B4 B4
13 14 13 14
C0 C4 C0 C4 0
74LS83 74LS83
3

U5:A
1
3
2 U4:B
4
74LS08 6
5

74LS32

Ing. Alex Yanqui Constancio


7
ESCUELA PROFESIONAL DE INGENIERIA DE SISTEMAS
ARQUITECTURA DE COMPUTADORAS

e) SUMADOR BCD CON 7483

SW4 SW3

8
C 3
C VCC

U1 U2 U3
10 9 10 9 10 9
8
A1
A2
S1
S2
6 8
A1
A2
S1
S2
6 8
A1
A2
S1
S2
6 1
3 2 3 2 3 2
1
A3
A4
S3
S4
15 1
A3
A4
S3
S4
15 1
A3
A4
S3
S4
15 0
11
B1
11
B1
11
B1
0
7 7 7
4
B2
B3
4
B2
B3
4
B2
B3
0
16 16 16
B4 B4 B4
13 14 13 14 13 14
C0 C4 C0 C4 C0 C4 1
74LS83 74LS83 74LS83

f) SUMADOR/RESTADOR CON 7483


Dispositivos

8
C 10
U14
A1 S1
9 10
U16
A1 S1
9
8 6 8 6
A2 S2 A2 S2
3 2 3 2
A3 S3 A3 S3
1 15 1 15
3
C 11
A4

B1
S4
11
A4

B1
S4

7 U7:D 7
U15:A B2 B2
4 12 4
B3 B3
1 16 11 16
B4 B4
3 13
2 13 14 U8:C 13 14
C0 C4 C0 C4
74LS08 9
74LS86 74LS83 U17:A 8 74LS83
U4:B 1 10
4 3
6 2 74LS32
5
74LS08
74LS86
U8:D
U4:C 12
9 11 U17:B
8 13 4
10 6
74LS32 5
74LS86
U4:D 74LS08
12
11
13 U9:B
0-SUMA / 1-RESTA 74LS86 3 4

1 7404

Ing. Alex Yanqui Constancio


8
ESCUELA PROFESIONAL DE INGENIERIA DE SISTEMAS
ARQUITECTURA DE COMPUTADORAS

g) CONFIGURAR ALU 74181

Dispositivos usados

DSW1 U2
OFF ON
8 1 7 13
A QA
7 2 1 12
B QB
6 3 2 11
C QC
5 4 6 10
D QD
4 9
BI/RBO QE
DIPSW_4 5 15
RBI QF
3 14
LT QG
7447

DSW2 U3
OFF ON
8 1 7 13
A QA
7 2 1 12
B QB
6 3 2 11
C QC
5 4 6 10
D QD
4 9
BI/RBO QE
DIPSW_4 5 15
RBI QF
3 14
LT QG
7447

DSW4
OFF ON
4 1
3 2

DIPSW_2
U1 U4
2 9 7 13
A0 F0 A QA
23 10 1 12
A1 F1 B QB
21 11 2 11
A2 F2 C QC
19 13 6 10
A3 F3 D QD
4 9
BI/RBO QE
1 14 5 15
B0 A=B RBI QF
22 16 3 14
B1 CN+4 LT QG
20 17
B2 G
18 15 7447
B3 P
7
DSW3 CN
OFF ON
8 1 6
S0
7 2 5
S1
6 3 4
S2
5 4 3
S3
8
M
DIPSW_4
BAT1 74HC181 R
5V 220

 Desarrolle La Tabla De Verdad Del Alu 74181

Ing. Alex Yanqui Constancio


9
ESCUELA PROFESIONAL DE INGENIERIA DE SISTEMAS
ARQUITECTURA DE COMPUTADORAS

4. CUESTIONARIO

1. Realice un circuito sumador / restador de 8 bits


2. Modifique el circuito del alu 74181 con Thumbswitch hex.
3. Realice un circuito con alu 74181 de 8 bits.

Ing. Alex Yanqui Constancio


1
0

También podría gustarte