Está en la página 1de 2

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS

FACULTAD DE INGENIERÍA ELECTRÓNICA


ESCUELA DE INGENIERÍA ELECTRÓNICA

PROBLEMAS PROPUESTOS DE
DISEÑO DIGITAL
LABORATORIO No1y2

INTRODUCCIÓN A LAS HERRAMIENTAS DE


SÍNTESIS Y USO DEL ESTILO FLUJO DE DATOS
PARA EL DISEÑO E IMPLEMENTACIÓN EN FPGA DE
CIRCUITOS COMBINACIONALES

Profesor: Ing. Alfredo Granados Ly.


UNMSM – FIEE Diseño Digital

1. Implementar un sumador de dos números de 4 bits (A y B) con acarreo de entrada, cuya


salida tenga:

• Magnitud de 4 bits
• Acarreo de salida

2. Implementar un multiplicador de dos números de 8 bits c/u (A y B), la salida debe


mostrar el mayor resultado que se puede realizar con estos dos números.

3. Implemente un convertidor de binario de 4 bits a código gray.

4. Implemente un circuito demultiplexor de 3 a 8 con habilitador.

5. Implemente un circuito que detecte si un número ingresado (de 4 bits) es múltiplo de 3.

6. Implemente un codificador BCD (de 0 a 9) a binario(4 bits), debe tener una salida DV
(Dato válido) adicional que indique que se está activando una entrada. Nota, si se
activan más de una entrada, se debe codificar el de mayor magnitud).

7. Implemente un conversor de 8 bits de entrada a BCD (3 salidas de 4 bits c/u).

8. Implemente un sumador de dos dígitos en BCD

Ing. Alfredo Granados Ly 2 Laboratorio No1

También podría gustarte