Está en la página 1de 7

Tarea 2 – Circuitos combinacionales

Carlos Andrés Arias Fonseca Código: 1010182163

1. Describa en VHDL tres multiplexores utilizando la sentencia with-select. Los tres multiplexores deben
tener un tamaño diferente (2 a 1, 8 a 1, etc.) y cada entrada un número de bits diferente.
a. Una impresión de pantalla de la descripción en VHDL:
b. Una impresión de pantalla (diagrama) de la simulación, en la cual se debe evidenciar el correcto
funcionamiento del diseño. No se debe incluir el código VHDL de la simulación:
Multiplexor 1: 4 a 1 de 3 bits:
Multiplexor 2: 6 a 1 de 6 bits




Multiplexor 3: 5 a 1 de 5 bits
2. Describa en VHDL un decodificador de 3 entradas utilizando la sentencia with-select. El diseño debe
contener:
a. Una impresión de pantalla de la descripción en VHDL:
b. Una impresión de pantalla de la simulación, en la cual se debe evidenciar el correcto funcionamiento
del diseño:
3. Describa en VHDL un codificador de 4 entradas, sin prioridad, utilizando la sentencia with-select. El
diseño debe contener:
a. Una impresión de pantalla de la descripción en VHDL:
b. Una impresión de pantalla de la simulación, en la cual se debe evidenciar el correcto funcionamiento
del diseño:

También podría gustarte