Documentos de Académico
Documentos de Profesional
Documentos de Cultura
DISEÑO DIGITAL
LABORATORIO No4
1. Tenga en consideración los pines del módulo cuando implemente el circuito digital
Un tanque de agua abierto por la parte superior dispone de tres sensores de detección
de llenado (A, B, C) que determinan 4 posibles niveles de llenado (VACIO,
NORMAL,LLENO, ALARMA). El nivel del tanque se controla mediante dos válvulas (E,
entrada y S, salida).
Datos adicionales:
El funcionamiento de los sensores digitales y las válvulas E y S se encuentra resumido en
las tablas adjuntas:
Desde el punto de vista de nuestro diseño, es posible considerarlo como una caja
negra que presentará el siguiente aspecto:
• Entradas: Tres entradas asociadas para cada uno de los sensores de detección de
nivel más una señal de reloj (CLK) y otra de inicialización (ResetH), asociadas a la
parte secuencial.
• Salidas: Dos (E y S), encargadas de controlar las válvulas de llenado y vaciado.
Problema propuesto:
Problema proupesto:
library ieee ;
use ieee.std_logic_1164.all;
-----------------------------------------------------
entity seq_design is
port( a: in std_logic;
clock: in std_logic;
reset: in std_logic;
x: out std_logic
);
end seq_design;
-----------------------------------------------------
begin
if (reset='1') then
current_state <= S0;
elsif (clock'event and clock='1') then
current_state <= next_state;
end if;
end process;
case current_state is
end if;
end case;
end process;
end FSM;
Se pide diseñar un circuito que acepte una entrada de datos serie y presente una salida
que se activará (tomará el valor lógico ‘1’) cuando en los instantes de muestreo
aparezca la secuencia “1011”.
Descripción de la interfaz:
• Entradas: Una entrada de datos (sDataIn) más una señal de reloj (CLK) y otra de
inicialización (ResetH), asociadas a la parte secuencial.
• Salidas: Una sDetect, encargada de activarse cuando se ha detectado la
secuencia.
PROBLEMA:
• Implemente un detector para la siguiente secuencia: 11X011 (Moore) y considere
la ocurrencia de traslape.
• Implemente el siguiente contador: 0,4,7,1,5,9, 0,4,7,1,5,9, 0,4,7,1,5,9,…..
adicionalmente debe tener un control de cuenta UP (1: ASC/0:DESC)