Está en la página 1de 36

Instalación de VIVADO

https://www.xilinx.com/

Paso 1: Para descargar el programa se debe estar registrado.


Paso 2: Luego de hacer la inscripción, debe llegar al final de la página y buscar Downloads.
Paso 3: Para Windows.
Paso 4: Este es el ejecutable de VIVADO.exe
Paso 5:

Inicio de la instalación del programa


VIVADO en su versión 2017.3. El
asistente de instalación indica que
solo puede ser instalado en
computadoras que soporten
sistemas operativos de 64bits,
también se recomienda que se
deshabilite el antivirus durante la
instalación del programa, con el fin
de reducir el tiempo de instalación;
aunque no es estrictamente
necesario ya que solo seria para
deducir el tiempo de instalación.
Paso 6: Como ya se
encuentra registrado en
este paso debe ingresar
su Id y Password.
Paso 6: WebPACK Versión para estudiantes.
Paso 7: No desconectar del internet, ya que este paso requiere estar siempre conectado a Internet.
Paso 8: Escribir nombre de proyecto.
Paso 9: Para seleccionar el dispositivo se pueden utilizar los filtros disponibles con la información correspondiente al
FPGA utilizado en la tarjeta de desarrollo, en la figura que acompaña a este paso se muestra la información
correspondiente al FPGA de la tarjeta NEXYS 4DDR de DIGILENT
Paso 10: A continuación, seleccionar Add Source y luego la opción Add or Create desing source.
Paso 11: Se debe elegir la opción Create File, A continuación, aparece una ventana donde se debe escribir el nombre
del archivo.

 Create File (Crear Archivo): En esta opción creamos un nuevo archivo de código fuente.
 En la figura se muestra que el archivo que estamos creando tiene como nombre: HOLA, el lenguaje de
programación es VHDL y lo creamos como parte del proyecto.
Paso 12: Se despliega la opción Desing Source donde se encuentra el archivo “HOLA”. A continuación, se debe dar
doble clic a este archivo.
Paso 13: Cuando se hace doble clic sobre el icono del archivo fuente en el árbol de archivos del proyecto. Aparece la
ventana de código, en una pestaña al lado de la ventana de resumen del proyecto (Project Summary), en la ventana
de código es donde vamos a escribir el programa en VHDL.
Ejemplo:
library IEEE; Escriba este
use IEEE.STD_LOGIC_1164.ALL;
ejemplo en el
entity HOLA is área de trabajo.
Port (
-- Switch
A : in STD_LOGIC;
B : in STD_LOGIC;
C : in STD_LOGIC;
D : in STD_LOGIC;

-- Leds
X : out STD_LOGIC;
Y : out STD_LOGIC;
Z : out STD_LOGIC);

end HOLA;
FPGA Nexys 4DDR
architecture Behavioral of HOLA is

begin
X <= A and B; Z Y X
Y <= C or D;
Z <= A xor B ;

end Behavioral;

D C B A
Paso 14: Asignación de pines
Abrir el archivo PINES.xdc y escribir set_property PACKAGE_PIN numero de puerto [get_ports {nombre }]
set_property IOSTANDARD LVCMOS33 [get_ports {nombre }]

I/O Device Port Number


A Switch 0 (SW0) J15
set_property PACKAGE_PIN J15 [get_ports {A}]
B Switch 1 (SW1) L16
set_property IOSTANDARD LVCMOS33 [get_ports {A}] C Switch 2 (SW2) M13
set_property PACKAGE_PIN L16 [get_ports {B}] D Switch 3 (SW3) R15
set_property IOSTANDARD LVCMOS33 [get_ports {B}] X LED 0 (LD0) H17
set_property PACKAGE_PIN M13 [get_ports {C}] Y LED 1 (LD1) K15
Z LED 2 (LD2) J13
set_property IOSTANDARD LVCMOS33 [get_ports {C}]
set_property PACKAGE_PIN R15 [get_ports {D}]
set_property IOSTANDARD LVCMOS33 [get_ports {D}]

set_property PACKAGE_PIN H17 [get_ports {X}]


set_property IOSTANDARD LVCMOS33 [get_ports {X}]
set_property PACKAGE_PIN K15 [get_ports {Y}]
set_property IOSTANDARD LVCMOS33 [get_ports {Y}]
set_property PACKAGE_PIN J13 [get_ports {Z}]
set_property IOSTANDARD LVCMOS33 [get_ports {Z}]
Paso 15: Run synthesis.
Paso 16: Run Implementation.
Paso 17: Generate Bitstream
Paso 18: Auto Connet.
Paso 19: Program device.
Paso 20: Listo !!!

También podría gustarte