Está en la página 1de 10

Holger Andre Torrado Roa

Cristhian Camilo Carreño Acevedo

1. Describa en VHDL tres multiplexores utilizando la sentencia with-select. Los tres


multiplexores deben tener un tamaño diferente (2 a 1, 8 a 1, etc.).
a. Un pantallazo de la descripción en VHDL.

Multiplexor 2 a 1:
Multiplexor 8 a 1:

Multiplexor 4 a 1:
b. Un pantallazo del resultado (diagrama) de la simulación, en el cual se debe
evidenciar el correcto funcionamiento del diseño. No se debe incluir el código de
la simulación.

Multiplexor 4 a 1:
Multiplexores 2 a 1:

Multiplexores 8 a 1:
2. Describa en VHDL un decodificador de 3 entradas utilizando la sentencia with-select
El diseño debe contener:

a. Un pantallazo de la descripción en VHDL.


b. Un pantallazo de la simulación, en el cual se debe evidenciar el correcto
funcionamiento del diseño.

3. Describa en VDHL el circuito que se muestra en la siguiente figura, utilizando la sentencia


when –else

El diseño debe contener:


a. Un pantallazo de la descripción en VDHL.
b. Un pantallazo de la simulación, en el cual se debe evidenciar el correcto
funcionamiento del diseño.

También podría gustarte