Está en la página 1de 2

Eercicio numero # 1

1. Describa en VDHL tres multiplexores utilizando la sentencia with-


select. Los tres multiplexores deben tener un tamaño diferente (2 a
1, 8 a 1, etc.) y cada entrada un número de bits diferente.

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.NUMERIC_STD.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity DECO is

Port ( DECO : in STD_LOGIC;

ENTRADA : in STD_LOGIC_VECTOR (2 downto 0);

SALIDA : out STD_LOGIC_VECTOR (5 downto 0)


);

end DECO

architecture Behavioral of DECO is

begin

with ENTRADA select

SALIDA <= "000001" when "001",

"000010" when "010",

"000100" when "100",

"001000" when "101",

"010000" when "000",

"100000" when "111",

"100000" when others";

end Behavioral;

También podría gustarte