Está en la página 1de 152

NÚMERO DOBLE

Nº 326
JULIO/AGOSTO 2007
 Á
www.elektor.es

PROYECTOS ESPECIAL
O
JULIO/AGginOaSsT
Q SORPRENDIENDO – Sismógrafo y Magnetómetro
Q PROGRAMANDO – Interfaz Universal JTAG
Q TRANSMITIENDO – Prueba de transmisor RDS 152 Pá

radio
Q VOLANDO – FliteSim USB

DEFINIDA POR SOFTWARE


[PC + UNNUEVO CONCEPTO DE RECEPTOR]
POCO DE HARDWARE =

MÓDULO DE POTENCIA ELEGANTE


CONTROL DE MOTOR ASÍNCRONO FAIRCHILD ISSN 0211-397X


RECEPCIÓN E.L.F.
LA MADRE TIERRA EN LA RADIO
 

(:5A1=>-8 '=-5:1= )  !K0@8;  5? 01 <=I/?5/-> /;:
-.;=-?;=5; 01 !5/=;181/?=K:5/- D !5/=;<=;/1>-0;=1> 05><;>5?5A;> $ 
-
"@1A 
= > 5K :)
)1

Q 1: 75? 01>9;:?-0;

Q 9;:?-0; D /;9<=;.-0;
!K0@8;> 01 1C<1=591:?-/5K: /;:?1:51:0;
5? 01 9-?1=5-81> D  /;: 5:?=;0@//5K:
?1K=5/- D /;81//5K: 01 <=I/?5/-> ;:>?- 01 ?-=61?- <-=- 3=-.-/5K:
01 $  01>01 18 $ D %#! /;:
Módulo 1: Electrónica Digital 
Q
Módulo 2: Semiconductores   Q ?@?;=5-8 D 1619<8;> 01 -<85/-/5K:
Módulo 3: Electrónica Analógica  Q >;.=1 18 (:5A1=>-8 '=-5:1=
Módulo 4: Microcontroladores I 
Q
;: /-.81 <-=-818; 
Q
Módulo 5: Microcontroladores II   Q
Módulo 6: Microcontroladores III,PBASIC  Q ;: /-.81 (&  Q

5.85;3=-2J-P81/?=K:5/- 535?-8 D !5/=;<=;3=-9-.81P 0 '4;9>;:


 Q

$"' &  &"&#%& + '(#%& '##& #& ") &  !%##'&
&%   Q  

    
  
&1:>;= @8?=->K:5/;


 Q !$&   Q
%1/1<?;= $& ;9<I> 0535?-8
,%    Q
'=-:>/1<?;=  E


 
  
%

 Q
%  C   Q 5? /;9<81?; 01 ?=-//5K: 
   5.85;3=-2J-P:?=;0@//5K: - 8- %;.K?5/-P
$-:?-88- 3=I25/-
 CC
99
0 '4;9>;: Q
 D 9@/4; 9->
DESARROLLO DE PROYECTOS A MEDIDA
$%#$ %
8 <=;/1>-0;= 9@8?5:L/81; 9I>
<;01=;>; 018 9;91:?; -8 -8/-:/1 01 ?;0;>

'-=61?- 01 $ &/4;;8
019;>?=-/5K: &5>?19- 01 01>-==;88; D
018 $=;<1881= 3=-.-0;= <-=- ?;0-> 8->

 Q 2-9585-> 01 $

!K0@8;> ;</5;:-81>
 $=;<1881= O $
    Q
5? 10@/-/5;:-8 O $
* 3=-?5>
 Q O $
****  Q "()# 
O 0>$ 
 Q
 Q
Cada módulo consta de tutorial con:
  +% 75? <-=- • Colección de proyectos y programas en ensamblador y C
• Kit de materiales y componentes auxiliares.
18 01>-==;88; 01 6@13;> Próximos módulos: Bluetooth, USB, R.F, etc...
  Q
5.85;3=-2J- !-:@-8 1: /->?188-:; 1: :@1>?=- B1. 5.85;3=-2J- N!5/=;/;:?=;8-0;=1> $P 0 !/=-B 588 A-=5;> ?;9;>

&'%(#% # 


""%H  !%#&&'!& $%#%!#& & 
80- !-E-==10; "G  F
G <?; F    # &$"
;9<58-0;=1>  '18-C  
2=1:?1 -8 @331:4159
BBB95/=;/;:?=;8-0;=1>/;9 19-58 5:2;95/=;/;:?=;8-0;=1>/;9
;> <=1/5;> :; 5:/8@D1: ) 

 
   






 
  
 

"  


%"
 
 
!   !
 
()

"
#%
* 

&% 

 

'% # "
 "



"

 

$
"% 

   



 


1 "          )        0 * $      
           "
     
     
  
      
        "    (  
 )   
   ( 
  0  

    #  
      
/  " (  
  $  50333      0 :  
 "(
        
           0

 
    
  
      ! 
" # $
  


  
            #  
# % 
&
           '   
   ( 
     "    )   #  
 
  
     * "     
  

 
   
   
 


    +  (  +
          
  )
 


    (#
 
 
         # 
    "   

   
 
     



  

,       "   
 -.  -.    "      
/  " .
  ,,0!!0   
  0 1        "   
    


  0
2  
/  " 330 /       0 ,4567
83903
Los diez mandamientos
de la electrónica
1 – Guárdate del relámpago que se
esconde en un condensador cargado,
no sea que te golpee en las nalgas
Radio definida po
de la manera mas descortés.
2 – Cuida de que los interruptores
Los receptores radio SD utilizan un mínimo de circuitería, confian-
de las fuentes de alimentación que do las funciones a sus posibilidades de programación. Este pro-
suministran mucha chicha estén abiertos y
debidamente etiquetados, de lo contrario yecto SDR es una demostración de lo que podemos conseguir; en
puede que tus días en este valle de
lágrimas estén contados. este caso se trata de un receptor multi-propósito que cubre todas
3 – Comprueba por ti mismo que los las bandas desde 150 a 30 MHz. Ha sido optimizado para reci-
circuitos radiantes sobre los que has
de trabajar están conectados a tierra, bir transmisiones DRM y AM, pero también puede trabajar escu-
de lo contrario subirán al potencial de
alta frecuencia y radiarán también.
chando las transmisiones de radioaficionados en todo el mundo.
4 – Ten cuidado de usar el método
adecuado para hacer una medida
en un circuito de alta tensión para no
incinerarte ni tu ni el aparato de medida;
la realidad es que tu no eres más que un
número dentro de la empresa y puedes
26 Sismógrafo
ser fácilmente sustituido, pero sustituir el
aparato de medida trae muchos problemas Además de los sucesos naturales
para el presidente, contabilidad y como los terremotos, los seres
el departamento de compras. humanos también podemos producir
5 – No te unas a los enganchados a los temblores sísmicos, por ejemplo con las
calambrazos intencionados, no estarán extracciones de gas natural y las pruebas
mucho tiempo en este mundo. nucleares. Estos sucesos generalmente no
6 – Ten cuidado de no forzar son audibles o perceptibles a una gran
las protecciones y los dispositivos distancia, pero sí que
de seguridad, despertarás la cólera pueden detectarse
del Señor y la furia del responsable de con un sensor
seguridad de tu empresa que querrá
de vibración lo
cortarte la cabeza.
suficientemente
7 – No trabajes en un equipo sensible. El sis-
alimentado, porque si lo hace, tus mógrafo que
compañeros asistirán a tu funeral
se describe
y tratarán de consolar a tu viuda
de formas que no te gustarían. aquí hace esto
posible.
8 – En verdad te digo, nunca trabajes
en un equipo de alta tensión tu solo,
porque cocinar con electricidad es un Los teléfonos móviles, los dispositivos Wi-Fi y las comunicaciones por saté-
proceso muy lento y puede que tu grasa lite se están incrementando día a día, consiguiendo incluso que el abani-
chisporrotee durate horas antes de que co disponible de las frecuencias más elevadas se esté estrechando en las
tu Hacedor considere el momento
bandas de los gigahercios. Esto no significa que en el otro extremo del
adecuado para llevarte a su seno.
espectro de radio no esté sucediendo nada interesante. Vamos a construir
9 – Ni te acerques a sustancias y tubos un sencillo receptor que sintonizaremos sobre algunas de las señales más
radiactivos, a menos que quieras brillar extrañas en el dominio de las frecuencias extremadamente bajas (ELF, del
intensamente como una luciérnaga y que
inglés Extremely Low Frequency).
tu mujer se sienta frustrada cada noche
cuando lo único que pueda esperar
de ti sea tu salario.
30 Recepción ELF
10 – Confiate a la memoria de los
Profetas, que escriben los manuales
de instrucciones, que dan directamente
el remedio adecuado y que no pueden
incurrir en equivocaciones. Si, bien,
a veces, quizás.

(Anónimo)
CONTENIDO Julio/Agosto 2007
nº. 326 - 1ª Parte (págs. 06-80)

or programa sobre el terreno


16 Radio Definida por Programa
22 Gracias por Volar con

16
USB-FliteSim
26 Sismógrafo
34 ATtiny como Generador
de Señal RDS
52 Maestro de la Velocidad
60 Programador JTAG Universal
66 Magnetómetro
72 Temperatura a Distancia
76 Bloques Electrónicos:
Pantalla Gráfica

tecnología
60 Programador JTAG Universal 30 Recepción ELF
Este adaptador fue pensado originalmente para per- 38 Control de Motor Asíncrono
mitir la programación de la memoria y de la Usando la Placa de
CPLD de un dispositivo PSD 813. Una Evaluación de Atmel
vez que dicho dispositivo ha que-
dado obsoleto, el adapta- 44 Módulos de Potencia Inteligentes
dor se ha convertido
en un equipo más 46 Potencia sobre Diodos LEDs
universal. Nuestro 70 Nuevas Tecnologías,
adaptador se conec-
ta al puerto paralelo
Nuevas Herramientas
de un ordenador y
utiliza el protocolo JTAG
IEEE 1149.1. informática
y mercado
6 Colofón
52 Maestro de la velocidad
8 Cartas del lector
Aquí presentamos el circuito que 10 Noticias y Nuevos Productos
hemos declarado como gana-
dor del Concurso Internacional 58 Noticias y Nuevos Productos
de Diseño con R8C para los
lectores de Elektor Electronics:
Se trata de un acelerómetro 3D
inteligente que no solamente
agosto (2ª parte)
mide la aceleración en los tres
ejes espaciales, sino que tam- 83 Contenido
2ª Parte
AGOSTO 2007
www.elektor.es

bién calcula la distancia total ESPECIAL


SEMICONDUCTORES

Julio/Agosto
EN AGOSTO *

recorrida. Y, como prometimos,


ver pág. 146

¿Qué hay del entorno,


el mercado y las curiosidad

OByeloDtu-mismo
es?

¡una placa de circuito impreso Constrú

ya ensamblada! Analizador de esp


Las inmedi ectros aciones de los 2.4
GHz

El marcador15 multímetros con


conexión serie
Osciloscopio Linux
Medidor de inducta
μClinux y U-Boot
| Amplificador a válvula
s ese sonido nostálgico
ncia desde 100 nH a 100 |
AVR contador de componen un segundito |
Programador Flash mH en
Indicador de velocid tes = 7 |
ad vertical silbidos
desde la altura| (*) Disponible en tu
punto
y durante 3 meses. de venta desde agosto
No forma parte de la
suscripción.
Cartas del lector: redaccion@elektor.es
NÚMERO DOBLE

Nº 326
JULIO/AGOSTO 2007
Á
www.elektor.es

PROYECTOS
Q SORPRENDIENDO – Sismógrafo y Magnetómetro
Q PROGRAMANDO – Interfaz Universal JTAG
ESPECIAL
JULIO/AGOSTO
Páginas
Maquetación: Sitges Disseny: Carlos Scelzi, Paco López
Q TRANSMITIENDO – Prueba de transmisor RDS 152

radio
Q VOLANDO – FliteSim USB

DEFINIDA POR SOFTWARE


Imprime: Thieme Rotatie Zwolle (Holanda)
[PC + UNNUEVO CONCEPTO DE RECEPTOR]
POCO DE HARDWARE =

Distribución en España: S.G.E.L.


Número 326, Julio 2007 ISSN 0211-397X
Distribución en el Exterior:
MÓDULO DE POTENCIA ELEGANTE
CONTROL DE MOTOR ASÍNCRONO FAIRCHILD

RECEPCIÓN E.L.F.
ISSN 0211-397X

Elektor La electrónica que sorprende, es una edición que tiene por objetivo
LA MADRE TIERRA EN LA RADIO
 


inspirar a la gente a que utilice la electrónica a todo nivel, presentado proyectos y Argentina: Importador Edilogo S.A. Av. Belgrano 225, 1º B c1276ADB Buenos Aires
POSTER GRATIS

Nº 324
MAYO 2007
Á
www.elektor.es desarrollos electrónicos e información tecnológica. Distribución Capital: DISTRIRED
Distribución Interior: D.G.P
PROYECTOS
CARGADOR DE BATERIAS DE NIMH/INCD Y LIPO/LI-ION
INDICADOR DE FUERZA “G”
Jefe de Redacción internacional: Mat Heffels
TARJETA IDENTIFICATIVA CON LCD DE TELÉFONO MÓVIL
SENCILLO INVERSOR DE TENSIÓN
PROGRAMADOR PARA 68HC(9)08 Chile: Importador Iberoamericana de ediciones S.A. Calle Leonor de la Corte 6035
Redacción Internacional: Harry Baggen, Thijs Beckers, Jan Buiting, Guy Raedersdorf Quinta Normal Santiago de Chile

ENERGÍAS México: Importador Exclusivo Compañía Importadora de revistas S.A de C.V


ALTERNATIVAS Redacción ELEKTOR España: Videlec, S.L.: Eduardo Corral
CÉLULAS SOLARES, CALOR
CORPORAL Y LA BÚSQUEDA DE LA
ENERGÍA GRATUITA
(CIRSA) Negra modelo Nº 6 Col. Alce Blanco Municipio de Naulcalpan de Juárez
PRUEBA DEL K.O
BATERÍAS DE LI-ION REVELAN SU POTENCIA
LAS NUEVAS
Publicidad: Susanna Esclusa (publicidad@elektor.es) (53330) Estado de México Tel: (52-55) 5360-4167 - Fax (52-55) 5560-7774
HÁGALO USTED MISMO
ISSN 0211-397X
CÉLULAS SOLARES 

CON INGREDIENTES CASEROS


POSTER GRATUITO
 


Nº 324 Dirección: C/ Salavador Olivella, 17 – Local 79 A - 08870 Sitges (Barcelona) Distribución Estados: Citem
MAYO 2007
Á
www.elektor.es
Tel / Fax: 93 811 05 51
Distribución D.F.: Unión de Voceadores
e-mail: info@elektor.es
Portugal: Importador Edíber - Ediçao e distrb. De Public. L.D.A. Rua D. Carlos
AVR que soporta USB Delegación Cataluña: AD PRESS, S.L. Mascarenhas, 15-1000, Lisboa
USB WIRELESS
EN MINIATURA
SPYDER Y CODEWARRIOR
LA ENTRADA A LOS MICROS FREESCALE
Director: Isidro A. Iglesias Venezuela: Distribuidor Continental
MC9S08
PANTALLA LCD DE TELÉFONOS MÓVILES PARA PC
UN SENCILLO SUAVIZANTE DE AGUA
TRANSCEPTOR ZIGBEE

Banco de Pruebas ISSN 0211-397X


Publicidad: Annie Cabarubias Colombia: Disunidas S.A.
18 generadores de funciones 


 


Comte d’Urgell, 165-167, B-1º 3ª - 08036 Barcelona


Nº 323
ABRIL 2007
Á
Tel.: 93 451 89 07 - Fax: 93 451 83 23 Depósito Legal
www.elektor.es

email: acc@ad-press.com GU.3-1980


ISSN 0211 – 397X
Cohetes Editor: Elektor International Media Spain, S.L. 31 de Diciembre de 2006
hacia el cielo
El despegue de la electrónica
ABEJORROS
DE VIGILANCIA
Medidas y comprobaciones a distancia Director Internacional: Paul Snakkers Reservados todos los derechos de edición. Se prohíbe la reproducción total o parcial
del contenidode este número, ya sea por medio electrónico o mecánico de fotocopia,
Director Nacional: Hans Vervoort grabación u otro sistema de reproducción, sin la autorización expresa del editor. Las
EXPLORER -16
Paquete de evaluación y simulación opiniones expresadas a lo largo de los distintos artículos, así como el contenido de los
ISSN 0211-397X


Marketing: Carlo van Nistelrooy mismos, son responsabilidad exclusiva de los autores. Así mismo, del contenido de los
CONCURSO DE DISEÑO
Tu diseño a bordo del cohete Nero que batirá el record
 


mensajes publicitarios son responsables únicamente los anunciantes.


CD-ROM GRATIS

Nº 322
MARZO 2007
Á
www.elektor.es Suscripciones Internacionales: Margriet Debeij
Copyright = 1996 Segment BV
RELOJES Y MEDICIÓN
Suscripciones Nacionales: Susanna Esclusa (info@elektor.es) P.V.P. en Canarias: 7,10E(sobre tasa aérea)
DEL TIEMPO TUNING

Profiler

93 811 05 51
construye tu propia
máquina de fresar

¡EXTRA! ISSN 0211-397X



INFORMACIÓN
CD-ROM GRATIS

PUBLICIDAD publicidad@elektor.es
MPLAB IDE – MPLAB C30 – Proteus VSM
 


DATOS REVISTA relacionados con el mundo de la informática


TIRADA INTERNACIONAL: 150.000 ejemplares y la tecnología en general.
TIRADA ESPAÑA: 13.500 ejemplares
PERIOCIDAD: Mensual CONTENIDO:
PRECIO REVISTA: 5,50 E ELEKTOR es la revista internacional de electrónica
MEDIDAS: 21 x 29,7 cm práctica y ordenadores. En sus artículos, tratados
IMPRESIÓN: Offset (color) con rigor científico, analiza a nivel profesional
y en profundidad las nuevas tendencias en el
mundo de la electrónica y la informática.
INFORMACIÓN GENERAL
POSICIONAMIENTO EDITORIAL:
ELEKTOR va dirigida a técnicos y ejecutivos
Visita nuestra web e inscríbete
para poder recibir nuestro
¡ NUEVO ! boletín electrónico
A R G AT E
DESC
En los próximos meses, nos iremos sumando a las
ventajas de la globalización y abriremos nuevos

R T Í CSU L O
caminos para la divulgación de nuestros contenidos,
con más agilidad y ventajas para nuestros lectores.
10+1 0 A

ect
ed
Para que vayas comprobando estos cambios, te
recomendamos que visites nuestra nueva página
web en la dirección:

www.elektor.es GR AT I S m á s s o l i c i t a dos
10 artículosartículos del
Con solo facilitarnos tu correo electrónico, te +10 tor
enviaremos un código de descarga que te permitirá edi
bajarte los 10 artículos más demandados por los
lectores de todas las ediciones internacionales
de Elektor + los 10 artículos seleccionados por
nuestro editor Eduardo Corral. Aparte recibirás
mensualmente nuestro boletín electrónico e-news.
INFO & MERCADO CARTAS DE LOS LECTORES

Los lectores comenta


Recuerda que estamos esperando tus inventos, ideas
o lo que quieras compartir con nosotros u otros lectores.
Captura de Diodo Zéner
Onda Corta: de 1,4 V
foro de zumbido y Estimado Jan – Me
codificador óptico gustaría puntualizar
Una pequeña comunidad de que el diodo D2 está dibu-
aficionados a la radio se han jado incorrectamente en el
reunido alrededor de nuestro esquema eléctrico del circuito
último diseño sobre un receptor del Sencillo Cargador Solar,
de onda corta (en febrero de publicado en el número de
2006), y han participado acti- junio de 2007. El cátodo del
De MIDI a DMX tar trabajando a 4 MHz. vamente en el intercambio de diodo viene indicado por
Hola a todos. He Con tu mensaje no nos ha queda- ideas en respuesta a la publica- un anillo coloreado. Como
construido vuestro do claro si el programa de prue- ción que se puede leer en nues- los diodos zéner para bajas
proyecto MIDI _ bas suministrado, dmx_tester. tro foro bajo la cabecera “Mi tensiones no funcionan en su
DMX (publicado en octubre exe, llegó a funcionar ni si pro- circuito no funciona (todavía)”. modo inverso de trabajo, el
de 2001) utilizando una PCI gramas que la memoria EPROM anillo marcado debería estar
comprada a vosotros mismos. tu mismo o si compraste es nues- El codificador rotativo utilizado en el lado negativo del circui-
He verificado cada cosa con tro componente ya programado en el diseño no fue especifica- to, ya que, de otro modo, se
cuidado y el proyecto parece con referencia 010003-21. do en detalle en el artículo. Este tendrían que utilizar diodos
que no funciona. componente es del tipo ECW1J- con una tensión más elevada.
B24-AC0024, de la casa Bourns. Hans F. Kiefer (Alemania)
Los relojes de 4 MHz y 24 Este dispositivo suministra 24 pul-
8k2

8k2

22k
MHz están presentes, hay acti- Controladores sos por cada vuelta completa,
vidad en los buses de datos y USB para AVR permitiendo un tamaño de pasos R5
P1

de direcciones pero no hay sa- Un cierto número de de 24 kHz, si suponemos una 10k
T2
K2

lida desde la UART. Es posible lectores han expresado resolución de 1 kHz (la cual es 328 10k

que haya alguna errata en la su curiosidad sobre el código suficiente para una sintonía en
BC548B
documentación? Se agradece- fuente del programa interno AM y en FM). Para una escucha R6
D2
ría cualquier idea. USB utilizado por el autor de en SSB, en las bandas de radio-
100k

Phill MacDonald (por e-mail) este proyecto (mayo de 2007), aficionados, se trabaja con una 1V4

bajo una licencia “freeware” resolución de 100 Hz, lo que


Uno de nuestros prototipos fue un (gratuita durante un cierto tiem- proporciona un rango de sinto-
poco caprichoso en su arranque, po). Tanto la compañía como el nía de 2,4 kHz por vuelta com- La propiedad que mencionas ha
dándonos ciertos errores de fun- producto podemos encontrarlos pleta para el codificador. sido tratada extensamente en el
cionamiento en la UART. La única en Internet, en la página: texto (lo has leído?). Sin embar-
solución que encontramos para Si se utiliza un codificador rota- go, el componente es un diodo
que el circuito funcionase adecua- www.obdev.at/products/ tivo con un número de paradas zéner y debe ser representado
damente fue la de añadir un pul- avrusb/index.html mayor, sería incluso posible al- como se muestra en el esquema
sador en paralelo con el conden- canzar un paso de sintonía de eléctrico de la figura.
sador C1, de modo que fuésemos La licencia gratuita durante un cier- 100 Hz en todos los rangos. Los
capaces de reiniciar la placa de to tiempo es también la razón por modelos sugeridos incluyen el
modo manual, si fuese necesario. la que aparece el esquema eléctri- ENC1J-D28-L00128L (128 pa-
Una vez que montamos este pul- co del circuito en el artículo. radas) y el ENC1J-D28-L00256, DMM de 8 ` para
sador, la placa prototipo siempre con 256 paradas. Podemos en- el mercado de
funcionó correctamente. Incluso si contrar más información sobre montadores
este fenómeno apareció tan sólo estos codificadores en la pá- Estimado Editor –
en una de nuestros prototipos, de- gina web www.bourns. Me gustaría responder a su
beríamos haber mencionado com/com,ponents. artículo “Medidas Precisas
esta solución. Al final descu- aspx?cmsphid =76 por 8 `”, que leí en su entre-
brimos que el terminal BAUDOUT 31383l7163299l2 ga de abril del 2007.
del circuito integrado IC6 estaba 829252#oe
fijo a 66 Hz, cuando debería es- En la imagen del multímetro

8 07/2007 - elektor, la electrónica que sorprende


n…
he descubierto desafortu-
la lectura “Cat II 600 nadamente,
V”, la cual, para un uso esta sección
práctico significa que un me- estaría fuera del Gracias Malcolm por tu suge- uso del método descrito en el
didor como el que se muestra alcance de este artículo. rencia inspirada en el entorno artículo al que haces referen-
en la imagen puede ser utili- Independientemente de esto, medioambiental en respuesta a cia. Recomendamos que visites
zado para verificar tensiones nos gustaría saber que le ha nuestra publicación. nuestro foro “Freescale SpYder,
de red de 230 V sobre un en- gustado este artículo”. Code Warrior & Co” para más
chufe colocado en la pared. información al respecto.
Personalmente no me gustaría
tener uno de estos equipos en Muestras Podemos sugerir que primero
mis manos para esta función, Luchador contra de Freescale consigas algo de asistencia, por
tan sólo por los riesgos de depósitos – Estima editor – Me he medio de los envíos de este foro,
que uno de los terminales de “El camino verde” estado preguntando si para saber cómo lo han hecho
prueba pueda tocar, de modo Estimado Jan – Me podrían explicarme un tema otros lectores.
accidental, en la toma de 10 ha gustado mucho el encon- que está relacionado con el
A (independientemente de lo trar Mini Circuitos en vuestra artículo de vuestra revista en Las muestras se deben pedir direc-
que tenga que decir la norma entrega de mayo de 2007, donde la casa Freescale ofre- tamente a Freescale en lugar de
IEC 1010 al respecto). con un agradable diseño de ce muestras gratuitas (en sus a través de Farnell, como parece
un descalcificador para el publicaciones “Ataque de la ser que has intentado. Si aún así
Mi opinión realmente, es agua (Luchador Contra los araña (SpYder), de mayo de continúas teniendo problemas,
decir que este artículo es co- Depósitos de Suciedad en 2007 y “Fuerza g en diodos háznoslo saber y te asistiremos.
rrecto en cuanto a las utilida- Tuberías). Este tipo de circui- LEDs”, de junio de 2007).
des de este medidor barato, to ya me era conocido desde Gracias por vuestra explica-
pero nos hemos olvidado de hace algún tiempo y tengo He sido incapaz de conse- ción. Es de reconocer que
mencionar la información de uno instalado en la tubería guir estos componentes a pulsé sobre “Farnell” en la
cómo realizar las medidas de principal de agua de mi casa. pesar de que su página web página web de Freescale
forma segura. El equipo funciona bastante confirmó mi pedido (a través pensando que ellos me
B. van Linden (Holanda) bien para lo que había sido de la casa Farnell en el Reino lo suministrarían, ya que
diseñado, sin embargo, Unido), hace ya de esto unas realmente se nombraba a la
Responde el autor de este artícu- estoy menos contento en lo seis semanas. casa Farnell como el receptor
lo, Fons Jansen: “ Un uso típico que se refiere a lo que este de las muestras! Cuando he
de estos medidores es el de ve- equipo añade a mi factura Los autores de estos artículos vuelto al visitar la página web
rificar el conector de tensión de de electricidad, ya que debe explicaban que no era nece- también he pedido una placa
red, por lo que es correcto de- estar funcionando durante 24 sario ningún tipo de su subs- de demostración de 40 `,
cir que esta medida no debería horas, los 365 días del año. cripción con vuestra revista, con lo que creo que desapa-
hacerse con una toma de 10 A Aunque mi solución propues- pero las consecuencias han rece dicha ambigüedad.
sin fusible en el instrumento, ya ta consume algo menos de sido que ellos, al menos, han Sinceramente G.H.D.
que de otra manera, los fusibles potencia, la he “robado” de conseguido estos dispositivos.
de la instalación doméstica po- una fuente que siempre está Estamos encantados de ver que
drían fundirse. En consecuencia, funcionando: el transformador Así que me estoy preguntando los problemas se pueden solu-
el manual de usuario contiene del timbre. Con esta solución por qué yo no he recibido nin- cionar. Nos gustaría reiterar sin
un cierto número de indicacio- espero dar mi aportación guno o si alguien los tiene ya. embargo que el suministro de las
nes de seguridad para ayudar a al entorno ya que, de este Me gustaría oír que tiene que muestras gratuitas del circuito
evitar accidentes. modo, hay menos pérdidas en decir sobre esto la casa Farnell. integrado MC9S08QG8CPBE
el transformador del timbre. G.H. Davies (Reino Unido) queda a discreción sólo de la
Sin embargo, el interés de este Después de todo, si el des- casa Freescale, ni de Elektor ni
artículo es mostrar a nuestros calcificador de agua hubiese Las informaciones recibidas de de Farnell. El suministro ha sido
lectores la electrónica interior tenido su propio transforma- la casa Freescale indican que, establecido como un acuerdo
de estos medidores de bajo cos- dor, tendría unas pérdidas de siguiendo las indicaciones de especial en el soporte para los
te y de la precisión que llegan energía dos veces mayor. Tal nuestra publicación de mayo proyectos del Acelerómetro de
a alcanzar. Aunque podría ha- y como lo pensé, he decidido de 2007, varios cientos de elec- Elektor y del SpYder, y puede
ber sido interesante incluir una enviaros este truco. tores ya han obtenido, sin pro- que ya haya acabado cuando
pequeña sección sobre seguri- Malcolm Fabre (Reino Unido) blemas, muestras de su micro- esta edición haya sido publica-
dad, hemos considerado que, procesador MC9S08 haciendo da (junio de 2007).

07/2007 - elektor, la electrónica que sorprende 9


INFORMÁTICA Y MERCADO NOTICIAS

Filtro digital monocanal de precisión para monitorización industrial


El QF1D512 ofrece una introducido, implementa un interface analógico o ADC, o la incorporación (WSN).
fácil actualización para analógico completo, el QF1D512 de un filtro digital al sistema usando El kit de desarrollo QF1D512-DK
convertidores de analógico a ofrece una actualización sencilla un micro con ADC embebido. permite configurar el dispositivo
digital o microprocesadores y para los sistemas existentes. El QF1D512 está especialmente para trabajar con prácticamente
microcontroladores con ADC El nuevo filtro SavFIRe, que indicado para aplicaciones de cualquier ADC de hasta 24 bit y
embebidos. complementa al QF4A512, también monitorización industrial, como diseñar rápidamente una amplia
Quickfilter Technologies, Inc., se caracteriza por un interface sensores de vibración, medición de variedad de filtros de precisión sin
empresa representada en España analógico completo que incluye flujo y detección de fugas, sanidad la necesidad de escribir código.
por Anatronic, S.A., anuncia el acondicionamiento de señal y ADC, (monitorización y diagnóstico de Este kit posee la última versión del
QF1D512, un nuevo filtro digital así como filtros digitales, permitiendo pacientes), sismógrafos y sonares. software Quickfilter Pro y emplea
SavFIRe simple y sencillo que se a los clientes la implementación de un Además, su baja potencia hace el mismo motor de diseño que
caracteriza por su elevada precisión. filtrado digital de precisión, al mismo que también sea ideal para tareas versiones previas.
El chip QF1D512 SavFIRe permite tiempo que mantienen sus front end con redes de sensor inalámbrico El QF1D512 se presenta en un
a los diseñadores de sistema añadir encapsulado QFN de 3 x 3 mm y
rápida y fácilmente filtrado digital de puede operar sobre todo el rango
precisión en múltiples aplicaciones. de temperatura industrial de -40
Este filtro se puede incorporar entre a +85 °C.
un convertidor analógico a digital
(ADC) existente y el controlador host Para más información:
(microcontrolador, microprocesador, ANATRONIC, S.A.
procesador de señal digital o array Tel: 913660159
de puerta programable en campo) o Fax: 913655095
conectar como un coprocesador para e-mail: info@anatronic.com
controladores con ADC embebidos. web: http://www.anatronic.com
Mientras que el modelo QF4A512
de cuatro canales, recientemente (0707PL01)

Nuevas cámaras mini domo con rango dinámico extendido


PELCO anuncia que su familia de imágenes discernibles y claras
cámara integrada de la serie Pel- en entornos muy diferentes des-
co Camclosure, compuestos por de vestíbulos a muelles de carga
cámaras mini-domo fijas con cá- y grandes naves, donde las so-
mara / lente / cubierta, ahora se luciones tradicionales no pueden
encuentran disponibles en versio- alcanzar estas prestaciones.
nes de Rango Dinámico Extendi- La combinación exclusiva de precio,
do (WDR), siendo ideales para conveniencia, estética y facilidad
aplicaciones con situaciones de de instalación hace que los sistemas
contrastes de luz extremos. Camclosure sean la elección per-
Cuando se habla de una instala- fecta para múltiples aplicaciones y
ción de seguridad de vídeo, se ne- ofrezcan una presencia discreta de
cesita conveniencia, estética, dura- seguridad de vídeo que se adapta
bilidad, rugerizada y fácil instala- a cualquier entorno, proporcionan-
ción, y la serie Camclosure cumple do el rendimiento y la elevada cali-
todos estos requerimientos. dad que los profesionales esperan
Además, estas versiones Camclosure de la compañía líder en tecnologías
WDR proporcionan imágenes muy de seguridad de vídeo.
fiables, solventando las dificultades
asociadas con deslumbramientos, Para más información:
luz del sol directa o sombras. PELCO EUROPE B.V.
Ideal para tiendas, centros comer- Tel: 902 EPELCO / 902 373526
ciales, restaurantes, campus, es- Fax: 911 832 597
cuelas, oficinas y cualquier lugar creta de seguridad, la serie Ca- sátiles de cubierta de tipo domo. e-mail: pelco-iberica@pelco.com
que requiera una presencia dis- mclosure ofrece tres diseños ver- Las cámaras Pelco WDR capturan (0707PL03)

10 07/2007 - elektor, la electrónica que sorprende


Nuevo microcontrolador con función de display LCD
Con hasta El HT49RA0 tiene un oscilador RTC de 12 k7 en el pin OSC1, se pue-
nueve pines interno que seguirá funcionando in- de implementar un reloj de sistema
de I/O y has- cluso si el dispositivo se encuentra con una tolerancia de ±3%, simpli-
ta ocho pines en modo ‘Power Down’, continuan- ficando así la circuitería de produc-
de entrada, el do con las tareas de sincronización tos de control remoto mediante in-
HT49RA0 se y operaciones de programa de ele- frarrojos y reduciendo costes.
puede conec- vada velocidad y ofreciendo al sis- Este nuevo microcontrolador con fun-
tar a un tecla- tema funciones de display. ción de display LCD, como el resto
do numérico El diseño LCD se puede seleccio- de MCU de Holtek, ofrece una exce-
con escanea- nar mediante diversas opciones de lente inmunidad al ruido, temporiza-
do de matriz configuración, como la elección de dor watchdog y funciones de reset
de 72 teclas. una salida de drive compatible. La de bajo voltaje, que reducen la posi-
Además de iluminación se implementa usando bilidad de errores operativos.
Holtek Semiconductor Inc., empresa estos pines, el nuevo modelo posee RAM mapping para facilitar el con-
representada en España por Anatro- un amplio rango de opciones de fre- trol de sistema del panel LCD. Para más información:
nic, S.A., introduce el HT49RA0, un cuencia de carrier y de ciclo, convir- El HT49RA0, que se presenta en ANATRONIC, S.A.
nuevo microcontrolador con función tiéndose en una solución muy válida un encapsulado QFP de 52 pines, Tel: 913660159 - Fax: 913655095
de display LCD. para cualquier aplicación de control puede operar con una tensión de e-mail: info@anatronic.com
El nuevo dispositivo incluye capaci- remoto, como controladores universa- tan sólo 3.0 V y trabaja en el ran- web: http://www.anatronic.com
dad de memoria de programa 2K x les, así como para un gran número de go de 0 a +50 °C. Además, con
14 y memoria de datos de 96 bytes. electrodomésticos. la incorporación de una resistencia (0707PL06)

Radiall sigue con su programa de adaptación a la normativa RoHS


Los clientes con aplicaciones em- MHz, almacenamiento principal
bebidas se pueden beneficiar de DDR2-SDRAM con secuencia de
las ventajas de un rendimiento de 667 MHz ampliable a 4 GBytes,
64 bit high-end. rendimiento de datos de 10.6
Kontron anuncia la introducción Gbit y diversos interfaces de co-
de tecnología de 64 bit de Intel® municaciones. Además, existen
para el mercado embebido con el dos conectores Gbit Ethernet, has-
nuevo diseño de la tarjeta Com- ta seis puertos USB 2.0, un máxi-
pactPCI 3U CP307 con los últimos mo de cuatro interfaces SATA-300
procesadores Core™ 2 Duo. y una toma CompactFlash.
Integrada con el chipset Intel® Mo- Para completar la arquitectura de
bile 945GM Express y el ICH7- CPU, PCI-Express se usa como el
R Southbridge, la tarjeta CP307 bus de datos a los canales Ether-
ahora ofrece un rendimiento por net para anchos de banda supe-
vatio sin precedentes en un for- riores de red. El acelerador grá-
mato 3U en comparación con las fico integrado en el Mobile Intel®
opciones existentes de procesa- 945GM Express garantiza una
dor con CPU Core™ Duo. El Intel excelentes características de ví- túan en el panel trasero. en un pequeño formato, el CP307
Core 2 Duo eleva las característi- deo, 2D y 3D para VGA y DVI, El modelo CP30764 es la solución también es idóneo para requeri-
cas de la tarjeta con el doble de que sólo se encuentran con ope- ideal para una amplia gama de mientos de elevado rendimiento
caché L2 (4 Mbytes), mejora la ración dual. aplicaciones embebidas. Con un de proceso de imagen y sistemas
arquitectura interna y soporta co- La nueva tarjeta Kontron CP30764 procesador soldado y almacena- de recogida de datos.
nectividad de 64 bit sin aumentar se presenta en opciones mono-slot miento soldado de hasta 2 GB- El CP30764 soporta encapsulados
la disipación de potencia. (4HP) y slot dual (8HP), ofrecien- ytes, esta tarjeta se puede utilizar de software Windows XP, XP Em-
El modelo Kontron CP30764 pue- do soporte adicional para LPC, en las condiciones ambientales bedded, Linux y VxWorks.
de generar un elevado nivel de COM, DVI y medios SATA de más adversas, tales como líneas
atención al cliente con magnífi- 2.5”. Las versiones con I/O trase- de ensamblaje y aplicaciones en Para más información:
cas características, incluyendo ras con módulos en la parte poste- aeronaves, trenes y barcos, así KONTRON Embedded Computers, AG.
opciones para procesadores de rior hacen posible una estructuras como en dispositivos de medi- Tel: 917102020 - Fax: 917102152
1.5 GHz (L7400) o 2.16 GHz de sistema en las que el cableado ción y bancos de prueba. Con un
(T7400), bus front side de 667 y la conexiones de interface se si- rendimiento excepcional de CPU (0707PL05)

07/2007 - elektor, la electrónica que sorprende 11


INFORMÁTICA Y MERCADO NOTICIAS

Nueva gama de Panel PC de hasta 17”


Macroservice, S.A., empresa líder es RS-232/422/488), un LAN
en la fabricación y distribución 10/100/1000 Mbps, control
de puntos de información, de brillo del monitor en la parte
monitores y pantallas táctiles, posterior, hueco para disco
anuncia una nueva gama de duro de 2.5 o 3.5” y entradas
Panel PC de Quaytech. /salidas digitales.
Esta serie, que se compone de Por lo tanto, todos los nuevos
cinco modelos con tamaños de Panel PC responden a los
monitor de 8.4, 10.4, 12.1, 15 requerimientos de un gran número
y 17”, cumple con la normativa de aplicaciones, destacando
RoHS y tiene la certificación CE y entornos industriales, paneles de
FCC. Los Panel PC de 8.4 y 10.4” control, TPV, kioscos de fotografía
no utilizan ventilador, ya que su digital, puntos de información
chasis y radiador posterior de multimedia, cajeros y ATM.
aluminio disipa el calor generado
por la CPU y el monitor. Para más información:
Otras características son pantalla MACROSERVICE, S.A.
táctil resistiva de cinco y siete Tel: 915715200
hilos con interface USB, marco Fax: 915711911
frontal de aluminio, open frame que posee procesador VIA Eden entrada de teclado y ratón, e-mail: informacion@macroservice.es
opcional, protección frontal IP65/ (8.4”), Intel Celeron e Intel entrada / salida de audio y web: www.macroservice.es
NEMA4 y anclajes posteriores Pentium 4, ofrece magníficas entrada de micrófono, un puerto
VESA (excepto modelo de 17”). capacidades de conexión, paralelo, puertos RS-232 (COM1 (0707PL10)

La gama Panel PC de Quaytech, incluyendo puertos USB 2.0, soporta +5 V o 12 V y COM2

Renesas Technology presenta su primer microcontrolador


de tarjeta inteligente sin contacto de 16 bit
La memoria integrada, la fun- Tipo B. Esto permite la implemen- ra la velocidad
cionalidad y los interfaces del tación de una tarjeta IC de bajo de escritura en un
AE41R facilitan implementacio- coste en un sistema sin contacto, 25% con respecto
nes de bajo coste. fácil de operar por el usuario y de a otras soluciones
Renesas Technology Corp. anuncia mantenimiento sencillo. del mercado, con-
el microcontrolador de tarjeta in- El AE41R, disponible en forma tribuyendo a redu-
teligente sin contacto AE41R, que de oblea, posee un núcleo CPU cir los periodos de
se caracteriza por un núcleo CPU de 16 bit AE-4 de bajo consumo proceso.
de 16 bit y elevado rendimiento, y alta seguridad, EEPROM de 2 Las tarjetas IC sin contacto son gran potencia y excelente rendi-
EEPROM de 2 Kbyte y ROM en Kbyte y ROM en máscara de 36 cada vez más usadas como sis- miento para aplicaciones sin con-
máscara de 36 Kbyte para tarjetas Kbyte configuradas para adecuar- temas de pago anti-falsificación, tacto. Como herramienta de desa-
IC sin contacto en los campos de se a aplicaciones financieras e como tarjetas de crédito y pre- rrollo se encuentra disponible el
pago e identificación (ID). identificación sin contacto. pago, así como en aplicaciones emulador E6000.
El AE41R, que es miembro de El nuevo microprocesador tam- de entrada a edificios u oficinas La compañía continuará diseñan-
la serie AE-4, integra un micro- bién se beneficia de la tecnología para prevenir problemas de fuga do microcontroladores de tarjeta
controlador de tarjeta IC basado MONOS EEPROM y un coproce- de información. inteligente para responder a las
en el núcleo CPU AE-4 y ofrece sador que soporta el estándar de Renesas Technology ofrece pro- tendencias y las necesidades del
magníficas características para encriptación DES, ampliamente ductos con interfaces con y sin mercado de seguridad y ampliar
llevar a cabo una implementa- usado en el campo financiero, contacto en su serie AE-4 equipa- su línea AE-4 para aplicaciones
ción reduciendo coste y tiempos para desarrollar una sofisticada da con un núcleo CPU de 16 bit. financieras.
de proceso. tarjeta IC de elevada seguridad. Ahora, para cumplir la necesidad
Este microcontrolador smart card El AE41R es el primer producto de diversificarse en los campos fi- Para más información:
para uso con tarjetas IC sin con- de Renesas con un interface sin nancieros y de identificación, ha Renesas Technology Corp
tacto incluye un interface compa- contacto que emplea un proceso desarrollado el microcontrolador http://www.renesas.com
tible con el estándar ISO 14443 de difusión 0.18 μm, que mejo- smart card de 16 bit AE41R, de (0707PL08)

12 07/2007 - elektor, la electrónica que sorprende


Medidores de panel digital con display LED auto-dimming
Los modelos DMS-30DR se pue- la luz ambiental, mientras que los án- calibra en la fá-
den leer a plena luz del sol. gulos de visión se extienden a casi brica antes del
C&D Technologies, empresa repre- 180° vertical y horizontalmente. encapsulado.
sentada en España por Lober, S.A., Todos los modelos operan con una El pequeño ta-
ha lanzado su serie DATEL DMS- tensión de alimentación de +5 V y maño (2.17 x
30DR de 3.5 dígitos, que es la pri- se reduce a 7 mA al operar con os- 0.92 x 0.56”)
mera del mercado con un medidor curidad total, que es menos de 1/10 de los medidores
de panel digital con display LED de W. El consumo con máximo brillo se se consigue al integrar su display, bisel y se puede montar fácilmente
14.2 mm legible a plena luz del sol sitúa en menos de 200 mA (1 W). drivers Autodim, sensor de luz, cir- en paneles o tarjetas de PC.
y función auto-dimming, eliminando Los voltímetros DMS-30DR se en- cuito de referencia y convertidor Por lo tanto, estos medidores de
la necesidad de filtros especiales o cuentran disponibles en cuatro ran- A/D en un ensamblaje rugerizado panel digital con LED dotan de
dispositivos de protección. gos de tensión de entrada: ±200 con encapsulado de epoxy. beneficios significativos en com-
El circuito Autodim ajusta automá- mV, ±2 V, ±20 V y ±200 V. La El encapsulado DIP de 12 pines paración con los displays LCD
ticamente el brillo del display para impedancia es de 1000 M7 para es resistente a la vibración y la tradicionales.
adecuarse al entorno de visualiza- ±200 mV y ±2 V y de 1 M7para humedad, convirtiéndose en una
ción, desde oscuridad total a luz del las entradas de ±20 y ±200 V. solución ideal para entornos ad- Para más información:
sol. Además, un pin de ajuste de bri- Todos los dispositivos tienen un versos, como automoción y aplica- LOBER, S.A.
llo permite establecer la intensidad CMRR de 86 dB y protección de so- ciones marítimas e industriales. Tel: 913589875
en múltiples aplicaciones. bretensión a ±250 V, e incorporan Cada unidad, que opera en el ran- Fax: 913589710
El consumo de energía de los dis- una referencia de tensión de eleva- go de temperatura de 0 a +60 °C,
plays DMS-30DR cae en función de da precisión y estabilidad, que se incorpora un filtro de color y un (0707PL09)

Nuevos transductores de par T12 para el sector de la automoción


ponentes para la medida de magni- • Desviación estándar relativa de
tudes mecánicas y pesaje, ha senta- la reproducibilidad ±0,01 %
do nuevas bases en este campo con • Efecto de la temperatura sobre el
sus últimos transductores de par T12, valor nominal por ±0,03 % / 10 K
que ofrecen alta precisión, dinámica • Efecto de la temperatura sobre
y resolución junto a una sencilla inte- la señal de cero por ±0,02 % /
gración en los sistemas de automati- 10 K, opcional ±0,01 % / 10 K
zación y máxima seguridad propor- El amplio rango de frecuencia de
cionada por numerosas funciones de medida del T12, de 0 a 6.000
monitorización y diagnóstico. Hz (-3dB), aporta gran dinamis-
El T12 mide con toda precisión mo a los bancos de ensayos y a
magnitudes como momento de giro, la medición, especialmente duran-
revoluciones, rotación, rendimiento te la simulación. Las conmutacio-
y temperatura. El transductor inte- nes del campo de medida son in-
gra el completo acondicionamien- necesarias, ya que la resolución
to de la señal medida, lo cual pro- de la medición del par alcanza
porciona una calidad alta y clara desde la aplicación hasta los lími-
de la señal, así como una escasa tes físicos de la tecnología de las
incertidumbre de medición. bandas extensométricas.
La flexibilidad del tratamiento de La distorsión interna por repliegue
señal digital y la alta exactitud de del espectro se impide mediante
medida convergen en el T12 en un alto ratio de sondeo, mientras
combinación con un rango de fre- que la parametrización CAN, en
cuencia de medida. Las especifica- combinación con el software de
ciones de las señales del momento control del asistente T12, aporta
Alta precisión de medición del cia dentro de sector de la indus- de par en buses de campo y en sencillez al uso y servicio.
momento de giro, velocidad de tria automovilística. La simulación la salida de frecuencia prueban la
rotación, rendimiento y tempera- los hace posibles, dado que tam- exactitud del transductor de par: Para más información:
tura en un mismo dispositivo. bién exige alta precisión en los • Desviación de la linealidad in- HBM Ibérica, S.L.
Los tiempos de desarrollo cortos aparatos de medición. cluida Histéresis ±0,02 %, opcio- web: http://www.hbm.com/par
cobran cada vez más importan- HBM, fabricante de equipos y com- nal ±0,01 % (0707PL07)

07/2007 - elektor, la electrónica que sorprende 13


INFORMÁTICA Y MERCADO NOTICIAS

Herramienta de crimpado para todo tipo de conectores Scotchlok


3M presenta su nueva La nueva herramienta, Con una esperanza de ciclo toda la gama de modelos de
herramienta de crimpado E9J que que contribuye a disminuir de vida de más de 50.000 las series 1 + 2 Scotchlok sin
ha sido diseñada para trabajar drásticamente el número de crimpados, esta solución necesidad de herramientas
con toda la gama de conectores conexiones erróneas, se caracteriza puede acelerar los procesos adicionales.
Scotchlok (UY, UYF, UR, UY2, por una acción de crimpado de instalación y reparación de Además, los mangos están
UY2D, UR2, UR2-D, UP2, UAL, paralelo en un solo golpe. cable, ya que puede conectar recubier tos con una capa
UP3, UPB, 211, UB2A, UB2A-D, antideslizante de color rojo /
UCC, UG y UPC). negro para poder trabajar en
La herramienta de crimpado empalmes con cables rellenos
supone una ventaja mecánicas de gelatina o lubricante.
con respecto al trabajo
manual, requiriendo menos Para más información:
esfuerzo para operar. Ligera 3M España, S.A.
y ergonómica, esta nueva Tel: 913216155
solución para conectores Fax: 913216204
Scotchlok reduce la fatiga del
usuario y los errores provocados (0707PL04)

por el cansancio, especialmente


cuando se tiene que crimpar un
cable de gran calibre.

Nueva fuente de alimentación ininterrumpida de corriente continua estabilizada


un máximo de 5 A, aunque la estado de funcionamiento o aviso
suma de las intensidades de am- de alarma y un relé que propor-
bas salidas no superará nunca ciona un circuito libre de potencial
simultáneamente los 5 A. con un contacto abierto y cerrado,
También posee una tercera salida y maniobra en el caso de que se
para la conexión de baterías, que produzca alguna anomalía en el
puede suministrar una intensidad funcionamiento normal del equipo.
máxima de carga de 0.7 A. Esta Además, todas las salidas tienen
salida admite la carga a baterías el negativo común, siendo flotan-
de 24 V con una capacidad de 7 tes respecto al conector de tierra
a 17Ah. Por este motivo, el carga- (chasis del equipo conectado a co-
dor ha sido diseñado para traba- nector de tierra).
jar con baterías de plomo hermé- El modelo rhp-245C, que tiene
ticas sin mantenimiento. un año de garantía, se halla en
La tensión de las salidas del con- conformidad con la directiva de
vertidor queda regulada en fun- baja tensión 73/23/CEE y con la
ción del estado de carga de los directiva de compatibilidad elec-
El modelo rhp-245C, diseñada rhp-245C,24V-5A. acumuladores, ya que el nuevo tromagnética 89/336/CEE y su
y desarrollada para sistemas de El nuevo equipo ha sido desarro- equipo posee un dispositivo que modificación 92/31/CEE.
Detección de Incendios, según la llado para ser alimentado con dependiendo del nivel de carga, Para más info: pgomez@ecomsa.
normativa EN-54-4. tensiones de entrada de red com- determina que se pase automáti- com o 639761808.
ECOMSA RES, S.L., empresa prendidas entre 110 y 230 Vca camente de la tensión de carga
fabricante de protecciones con- o 50 y 60 Hz con PFC (Corrector a la tensión de flotación o stan- Para más información:
tra sobretensiones permanen- del Factor de Potencias), sin nece- dby, y a la inversa. La corriente Ecomsa Res, S.L.
tes, fuentes de alimentación, sidad de selección previa. de salida está protegida electró- Tel: +34 932 896 785
transformadores, resistencias El modelo rhp-245C, que puede nicamente contra sobrecargas y Fax: +34 932 896 786
bobinadas, etc. anuncia el lan- suministrar una potencia máxi- cortocircuitos. e-mail: ecomsa@ecomsa.com
zamiento de su nueva fuente de ma de salida de 150 W, dis- Otras características de la nueva web: www.ecomsa.com
alimentación ininterrumpida de pone de dos salidas que pue- fuente de alimentación ininterrum-
corriente continua estabilizada den entregar cada una de ellas pida son ocho LED indicadores de (0707PL12)

14 07/2007 - elektor, la electrónica que sorprende


Convertidores A/D de 14 bit duales con prestaciones de 20 MSPS
Ideales para aplicaciones comer- cia con un precio muy competitivo.
ciales, industriales y militares. Los nuevos convertidores A/D
C&D Technologies, empresa repre- dual sampling, optimizados para
sentada en España por Lober, S.A., tareas que demandan bajo ruido,
ha incorporado los modelos ADSD- se dirigen a sistemas de adquisi-
1420S y ADSD-1420EX a su gama ción de datos de elevada veloci-
de convertidores A/D duales. dad, instrumentación médica, ra-
Estos módulos con resolución de 14 dares I/Q, DSP y ATE para apli-
bit y funcionalidad completa ofrecen caciones comerciales y militares.
un ratio sampling de 20 Mega Sam-
ples por Segundo (MSPS), ausencia Para más información:
de pérdida de código en todo su ran- LOBER, S.A.
go de temperatura operativa, mejo- Tel: 913589875 - Fax: 913589710
ras en DNL, INL y SNR y baja poten- (0707PL02)

Nuevos sistemas de validación MicroTCA de Kontron y Schroff


Ahora, AdvancedMC está listo Kontron ofrece su posición de li- do chasis, ventiladores, potencia μTCA con mecanismos, backplanes
para trabajar con MicroTCA. derazgo en AdvancedMC en esta y backplanes. Por consiguiente, y unidades de ventilación. Por lo
Kontron anuncia nuevos sistemas colaboración, incluyendo Advan- Schroff es la primera opción para tanto, necesitamos validar nuestros
de validación MicroTCA como cedMC de procesador single y MicroTCA. componentes junto con los módu-
consecuencia de su estrecha co- dual-core, AdvancedMC Gigabit Kontron y Schroff han coopera- los Kontron AdvancedMC, y esta-
operación con Schroff.. Ethernet o AdvancedMC de Alma- do para obtener una validación mos seguros de que podemos crear
Como una tecnología de producto cenamiento. Los sistemas también conjunta de MicroTCA. “Ahora es una plataforma completa para una
derivado (spin-off) del último Advan- poseen un Hub de Controlador el momento de moverse”, afirma gran variedad de aplicaciones Mi-
cedTCA estándar de la industria PI- MicroTCA (MCH) validado. Tom Meyer, Director de Kontron croTCA”, destaca Volker Haag, Di-
CMG, MicroTCA está ganando im- Para conocer todos los beneficios Communication. “Estamos ofre- rector de Enginiería de Schroff.
portancia en soluciones de comuni- de MicroTCA, los sistemas nece- ciendo un entorno estable para
caciones a menor escala, así como sitan ser capaces de adaptarse a validar y calificar esta nueva tec- Para más información:
en aplicaciones de otros sectores, un amplio rango de topologías nología. Junto a nuestros partners, KONTRON Embedded Computers, AG.
como industria y sanidad. de cubierta y backplane. Este es dotamos de una base sólida para Tel: 917102020
MicroTCA está usando Tarjetas Ad- el “dominio” de Schroof, líder esta certificación MicroTCA”. Fax: 917102152
vanced Mezanine estándares (Ad- mundial en tecnologías Advan- “Ya hemos desarrollado una gama
vancedMC) del mundo AdvancedT- cedTCA y MicroTCA, incluyen- completa de soluciones de chasis (0707PL11)

CA en una configuración “directa


al backplane”. El primer lanzamien-
to del estándar PICMG para Micro-
TCA se produjo el pasado mes de
julio y se espera que este año la
tecnología se “mueva” desde el di-
seño a los productos actuales.
Con el objetivo de facilitar la eva-
luación de esta nueva tecnología,
Kontron y Schroff han cooperado
para ofrecer sistemas probados y
listos para usar. Estos sistemas se
encuentran disponibles para dos
tipos de aplicaciones: diseños re-
dundantes con disponibilidad gra-
do carrier en telecomunicaciones u
otras aplicaciones (no telecomunica-
ciones) sin redundancia, pero con
elevada demanda de rendimiento
de proceso con baja latencia.

07/2007 - elektor, la electrónica que sorprende 15


SOBRE EL TERRENO SDR

Radio definida por pr


Con interfaz USB
Burkhard Kainka

Los receptores radio SD (del inglés Software


Defined, es decir, Definido por Programa),
utilizan un mínimo de circuitería,
confiando las funciones en sus posibilidades de programación. Este proyecto SDR demuestra lo que podemos
conseguir, en este caso se trata de un receptor multi-propósito que cubre todas las bandas desde 150 KHz a
30 MHz. Ha sido optimizado para recibir transmisiones DRM y AM, pero también puede trabajar escuchando
las transmisiones de radioaficionados en todo el mundo.

El objetivo del diseñador de este proyecto un programa decodificador que corre so- llado un pequeño circuito para verificar
era crear un receptor con una alta lineali- bre un ordenador, nos permite determinar las tarjetas de sonido, que se describe
dad y una buena precisión de fase. El de- el ancho de banda deseado como filtros en otro apartado de esta entrega bajo el
sarrollo se ha enfocado a las caracterís- “notch” para la configuración del control título “Consejos para desarrolladores”.
ticas más importantes para un receptor de nivel automático (ALC), junto con los ¡No tiene ningún sentido fabricar el re-
DRM de primera clase y el resultado final modos usuales de recepción para AM por ceptor SDR sin hacer esta prueba!
es un receptor con unas características de medio de DRM y BLU (Banda Lateral Úni-
rechazo a interferencias sobresalientes. La ca) en OC (Onda Corta).
recepción de las estaciones DRM, utilizan- Pueden añadirse refinamientos adiciona-
Todo sobre USB
do el programa DREAM, proporcionó unos les para aplicaciones SWL (escuchas de Una conexión USB controla al receptor,
valores de relación señal/ruido (S/NR) en onda corta). Si, por ejemplo, deseamos que está alimentado de la misma mane-
torno a los 30 dB. El principio de diseño aumentar la sensibilidad en las bandas ra con una tensión de + 5 V (no necesi-
del receptor garantiza una respuesta de la superiores de radioaficionados, podemos ta una tensión de red adicional). Para la
curva de filtrado extremadamente plana, conseguirlo fácilmente utilizando dos en- interfaz USB, situada en el circuito re-
lo cual no sólo se aprecia muy bien sobre tradas de antena conmutables y propor- ceptor (ver Figura 1), hemos selecciona-
la señal DRM, sino también en la calidad cionando un circuito preselector optimiza- do el módulo FT 232R de nuestros ami-
de audio de las transmisiones recibidas de do o un preamplificador en una de ellas. gos escoceses de la empresa FTDI. Este
AM, cuyo sonido es casi tan bueno como La propia placa de circuito impreso del re- moderno conversor USB a Serie trabaja
el de una estación de FM en VHF. Mere- ceptor proporciona un conjunto de entra- sin necesidad de un cristal de cuarzo, ya
ce la pena destacar que algunos transmi- das de RF bastante básico que, sin embar- que está equipado con un oscilador RC
sores que no cumplen con los anchos de go, es perfectamente adecuado para una interno que le proporciona la estabilidad
banda normales, al menos tan rígidamente recepción de estaciones de radio. Una an- adecuada. El módulo (IC4) se utiliza aquí
como deberían hacerlo, llegan a caer has- tena de hilo de la longitud adecuada au- en su modo “bit-bang” (un tipo de trans-
ta la onda media (9 kHz) y la onda corta mentará la fuerza de las señales recibidas misión de datos serie que intercambia
(10 kHz). Estas estaciones producen una por encima del nivel de ruido atmosférico, rápidamente un único bit de salida, “dis-
mejora de sonido que los oyentes que uti- para asegurar que no perdemos práctica- paro de bit”), junto con las líneas de un
lizan receptores normales apenas aprecian mente información. puerto paralelo rápido. Pueden usarse
(ya que sus filtros de IF limitan el ancho ocho líneas de datos que se controlan
de banda y, en dicho proceso, hacen lo en cualquiera de los modos que se de-
propio con la respuesta en frecuencia), al
Requerimientos del circuito see. Dos de las líneas se utilizan como un
contrario que en el caso de las estaciones La mayoría de los programas SDR [1] ne- bus I2C y controlan la frecuencia del re-
SDR, donde no supone ningún problema cesitan la plataforma Windows XP para ceptor. Tres hilos conectan la entrada del
seleccionar un ancho de banda mayor. En trabajar satisfactoriamente. Por lo tan- multiplexor a una de las ocho entradas
los receptores definidos por programa to- to, la parte más importante del circuito de antena, con y sin filtrado. Hay dos en-
davía es mejor, ya que las posibilidades es una tarjeta de sonido capaz de tra- tradas adicionales que sirven para con-
de una sintonía fina, proporcionadas por bajar con señales SDR. Hemos desarro- trolar la amplificación de FI (Frecuencia

16 07/2007 - elektor, la electrónica que sorprende


ograma

VCC 3V3 VCC VCC


L2 L1
IC1B
10

10uH
S

C8 C1 C4 C2 C3 C7 12 9
D C5 14 C6 14

4u7 4u7 IC1C IC2E


19
14

10n 100n 100n 100n 11 8


2

16V 16V C 100n 7 100n 7


VDD
VDD
VDD

GND GND GND GND GND GND


20

13

K1 4 11
R2 AVDD VDDL
VCC

1 330
GND VCC
1 4 TXD IC3 VCC
VCCIO 5 10 8 IC1 = 74AC74
2 16 RXD R3 PDM/OE CLOCK1 R1
USBDM 23 330 9 IC2 = 74HC4066
3 15 CBUS0 CLOCK2

100
USBDP 22 5 12 IC5 = TL084CN
4 CBUS1 SDA CLOCK3
13 13 15 IC7 = 74HC4066
CBUS2 SCL CLOCK4 VCC
19 14 18
5
6

RESET CBUS3 CLOCK5


27 IC4 12 17 3 IC1A
OSCI CBUS4 VCXO/WP CLOCK6 4 14
4

USB-B connector 28 2 C9 C10 C11


S

OSCO DTR CY27EE16ZE 2 5 IC5E IC7E


11 6 7 D
GND FT232R CTS AVSS VSSL 11 7
4u7
XOUT

17 3 100n 100n
VSS

16V
XIN

3V3OUT RTS 3 C 6
L3 9
DSR
R
1

20

16

10 X1 GND VCC_HF
1

3V3 DCD
26 6 VCC R4
AGND

C16 TEST RI
GND
GND
GND

C12 C13 100


VCC
C14 C15 C17 C18
100n
25
7
18
21

10p 10MHz 10p


470u 4u7 100n 10n
16V 16V
GND GND
TEST_CLK
GND

Q_SW_N
ANT
GND

Q_SW
K3 I_SW_N
I_SW

GND C19 R6 R5
C23 I3 10 C20
VCC_HF 10k 100k
VCC_HF IC2B 8
100n IC5C
4

C22 9
100n 5 100n
C25 C21 L4
R12 L5 2n2 R8
6
470 100k
3

R7
47uH 100n
10uH I2 C27 7
100n 100 IC5B IC7A
C29 GND 5 R10 R11
16

GND 1 2
2

220p 100n 27k


10k
VCC

C26 13 C24
13 IC2A R9
4k7

GND
13

A0 11
C32 14 A 100n 2n2 C28
C31 IC7B
1

A1 10
15 IC6 B I1 K2
A2 9 GND 100n 4 3
12 C T1
L6 100p 100n A3
1 C30
GND
5

R15 A4
5
470

A5
2 3 100n BF245 C33 R14 R13
2200uH A6 COM Q3 3 C34
4 R16 10k 100k
A7 1
74HC4051 1M IC2C 100n IC5A
8

GND GND 6 C35 2


C36 INH 6 100n
GND
VEE

PC1 2n2 R20


R17 R18 13
100n GND
9

R19 100k
Q2
7

C39 14
100k

470

100 IC5D IC7C


12 R22 R23
10

R24 C38 GND 8 9


TEST_CLK 100n 27k
10k

1k 12 C37
IC2D R21
4k7

100n
6

R25 GND GND 2n2 C40 IC7D


11
4.7

Q1
100n 11 10

GND
12

GND 070039 - 11

Figura 1. Esquema eléctrico del circuito receptor que tan sólo comprende la parte del oscilador de sintonía y un mezclador.

07/2007 - elektor, la electrónica que sorprende 17


SOBRE EL TERRENO SDR

Intermedia) en el receptor. De este modo, el CY27EE16ZE de nuestra entrega de cual es tolerable porque estas frecuen-
el receptor trabaja completamente bajo abril de 2005. Este reloj oscilador, desa- cias no están altamente ocupadas).
control remoto. ¡Adiós a todos los man- rrollado especialmente para aplicaciones
dos y controles de las radios! digitales, funciona con igual exactitud en
Debemos atender especialmente al des- circuitos de RF. La resolución de frecuen-
Procesamiento de la señal
acoplo de la tensión de alimentación, cia no es tan buena como la de los oscila- El receptor está equipado con varias entra-
porque el circuito integrado USB FT dores DDS, pero la precisión de fase de la das seleccionadas por el multiplexador de
232R trabaja internamente en el mismo señal de salida alcanza resultados com- entrada 74HC4051 (IC6). La entrada de an-
rango de frecuencia que la señal que es- parables. La reducción del consumo de tena “ANT” es llevada, a través de filtros, a
tamos recibiendo a través de la antena, potencia a una cantidad relativamente las tres primeras entradas. La selección del
y no es deseable que parte de esta se- modesta es importante en este proyecto, primer conmutador (ancho de banda) utili-
ñal de RF pueda pasar de una etapa a ya que no podemos consumir demasiada za tan sólo una bobina de choque de entra-
otra, a pesar de que el desacoplo dentro corriente desde un puerto USB. da (L6), la cual elimina cualquier señal de
del propio circuito integrado es particu- El circuito integrado se programa a tra- entrada en la banda de audio. En la segun-
larmente bueno y que la señal residual vés del bus I2C utilizando las líneas SCL da posición del conmutador (Onda Media)
de RF en las líneas del puerto de control y SDA. El VCO interno trabaja en el ran- hay un filtro paso/bajo con una frecuencia
apenas es detectable. En consecuencia go de frecuencias de 100 a 400 MHz, y límite de 1,6 MHz , que utiliza la resistencia
podemos controlar la entrada de RF del está estabilizado por medio de un cris- R12 para atenuar la resonancia excesiva.
multiplexor en el circuito integrado HC tal de 10 MHz y un PLL. Así, su señal de Este filtro suprime las interferencias en la
4051directamente desde las líneas del salida pasa a través de los contadores recepción de Onda Media producidas por
puerto de control, sin que haya restos hacia las salidas deseadas. En nuestro la mezcla de sobre-tonos con las estacio-
de la señal de reloj del procesador en la caso, hemos seleccionado la salida de nes en el rango de la Onda Corta. En la
zona de la señal deseada. reloj Clock5, donde podemos obtener tercera posición el circuito hace uso de un
Utilizando su regulador de tensión inter- una salida VFO comprendida entre 600 sencillo filtro paso/alto RC que atenúa las
no de 3,3 V, el FT 232R proporciona la kHz y 120 MHz para su procesamiento señales fuertes de Onda Media.
tensión de trabajo para el generador de posterior en el contador 74AC74. También se puede seleccionar una señal
reloj programable CY27EE16ZE, evitando El principio de funcionamiento del mez- de entrada adicional (PC1) si deseamos
la necesidad de un regulador de tensión clador I-Q se ha descrito en la entrega de conectar circuitos de entrada externos
adicional. El resto del circuito (Figura Elektor Electronics de febrero del 2007. sintonizados o preamplificadores. Por úl-
1) opera exclusivamente con la tensión Aquí hemos creado un mezclador de dos timo, se han dejado libres tres entradas
de + 5 V. Además, dentro del circuito se etapas a partir de un total de cuatro con- más para posibles desarrollos futuros (A4,
producen una serie de tensiones filtradas mutadores analógicos situados en el inte- A5 y A6). Los filtros de entrada que hay
con su rizado atenuado que por un lado rior del circuito integrado HC4066. Este sobre la placa de circuito impreso son bas-
garantizan un buen desacoplo de RF, y circuito está controlado por dos señales tante buenos y adecuados para la mayoría
por el otro aseguran una supresión de la del oscilador, desplazadas en fase, que se de las aplicaciones. Por supuesto, pode-
interferencia de la frecuencia de audio. producen con un contador 74HC74. Si su- mos introducir un filtro paso/bajo delante
Este punto es particularmente crucial en ponemos que el reloj oscilador programa- de los filtros, siempre y cuando queramos
la etapa de entrada de RF del receptor, ble produce una señal de 24 MHz, enton- realmente bloquear la mezcla de sobre-to-
desde donde se envía la señal, a través ces el mezclador necesitaría una señal de nos en cualquier situación posible. Tam-
del mezclador, a la circuitería de FI. Por control de 6 MHz. Así, el receptor podría bién podemos optar por montar circuitos
esta razón se ha colocado un condensa- en este caso trabajar en la región de unos resonantes diferentes seleccionados me-
dor electrolítico de gran valor en este lu- ±24 kHz, a ambos lados de la frecuencia diante la electrónica de conmutación de
gar (VCC_HF), de modo que se asegure central de 6 MHz. entrada.
una adecuada “paz y tranquilidad”. Lo importante aquí es que el desplaza- La entrada particular que está activa en
miento de fase sea exactamente de 90° un momento dado se conecta a la salida
entre las dos señales del oscilador. Cual- de un puerto COM (terminal 3). A ambos
VFO programable quier desviación afectará a la reducida lados del conmutador se proporcionan con-
La radio definida por programa (SDR) supresión de las frecuencias imagen. En densadores de desacoplo, además de una
hace una llamada a un oscilador de fre- nuestro caso, cambiar el dispositivo de línea de tensión de alimentación de 2,5 V
cuencia que se ejecuta cuatro veces más conmutación analógico por un 74HC4053 para conmutar la conexión de la fuente del
rápido que la señal recibida, de manera o un 74HC4052 no sería una buena elec- transistor BF 245 a través de una resisten-
que el filtrado de fase necesario puede ción, ya que el retardo producido por el cia de 1 M7. Esto elimina cualquier dis-
dividirse entre cuatro. Si nuestro objeti- paso de la señal en los decodificadores torsión proveniente de señales de entrada
vo es recibir señales de hasta 30 MHz, el internos podría producir diferentes erro- fuertes, que pueden aparecer cuando los
oscilador necesita llegar hasta una fre- res de fase que aparecerían en cualquier diodos de protección en las entradas ana-
cuencia de 120 MHz. Actualmente los rango de frecuencia. La solución que he- lógicas de los circuitos integrados limitan
osciladores DDS son muy populares en mos elegido utiliza conmutadores más las señales.
los proyectos de HF, pero a 120 MHz un básicos, como el HC4066, que mantiene La entrada A7 facilita una señal de calibra-
DDS es bastante más caro, demanda un las cuatro fases en sincronismo. Con el ción en la salida “Output 3” (Test-Clk) del
mayor consumo y es mucho menos con- contador 74AC74 no deberíamos encon- oscilador de cristal programable. El oscila-
trolable. Por este motivo hemos buscado trar ningún error de fase en dicho circui- dor produce una señal de onda cuadrada
una alternativa al oscilador DDS, optan- to, de hecho el receptor presenta una su- de 3,3 V pico a pico a una frecuencia de 5
do por utilizar un reloj oscilador progra- presión de la frecuencia imagen de unos MHz. En el divisor de tensión se produce
mable con un PLL interno. Muchos lec- 40 dB hasta los 15 MHz, aunque este va- una señal de tensión de unos 5 mV, a una
tores de Elektor Electronics recordarán lor disminuye más allá de los 20 MHz (lo frecuencia de 5 MHz, que se corresponde

18 07/2007 - elektor, la electrónica que sorprende


LISTA DE
MATERIALES
Resistencias
R1,R7,R19 = 1007
R2,R3 = 3307
R4 = 1007
R5,R8,R13,R17,R20 = 100k7
R6,R10,R14,R22 = 10k7
R9,R21 = 4k77
R11,R23 = 27k7
R12,R15,R18 = 4707
R16 = 1M7
R24 = 1k7
R25 = 477

Condensadores
C1,C2,C5,C6,C7,C10,C11,C16,C17,C1
9,C20,C21,C25-C28,C30,C32,C33,C
34,C36,C38,C39,C40 = 100nF
C3,C4,C9,C15 = 4μF7 condensador
electrolítico de 16 V radial
C8,C18 = 10nF
C12,C13 = 10pF
C14 = 470μF condensador electrolítico
de 16 V radial
C22,C24,C35,C37 = 2nF2
C29 = 220pF
C31 = 100pF

Semiconductores
IC1 = 74AC74
IC2,IC7 = 74HC4066
IC3 = CY27EE16 (Cypress)
IC4 = FT232R (FTDI)
IC5 = TL084CN con zócalo (ver texto)
IC6 = 74HC4051
T1 = BF245

Inductores
L1-L4 = 10μH
L5 = 47μH
L6 = 2.2mH

Varios
K1 = conector USB-B para montaje en
placa de circuito impreso (PCI)
K2 = conector tipo “jack” estéreo, para
montaje en placa de circuito impreso (PCI)
K3 = conector bloque terminal de 2 hilos para
montaje en placa de circuito impreso (PCI),
con separación entre terminales de 5 mm
PC1 = terminal de soldadura
PCI = Placa de Circuito Impreso totalmente
montada y verificada con código de pedido
nº 070039-91. Programas Ficheros con los
programas del proyecto para su descarga
gratuita con código nº 070039-11.
Documentación complementaria, descar-
ga gratuita de la placa de circuito impre-
so desnuda con código nº 070039-1,
desde www.thepcbshop.com

con una fuerza de señal de entrada de S9


de + 40 dB. Esto permite que el medidor
de intensidad de campo creado en el pro-
grama pueda calibrarse sin ningún ele-
mento adicional.
El transistor JFET BF 245 a la salida del

Figura 2. Placa de circuito impreso del receptor SDR.

07/2007 - elektor, la electrónica que sorprende 19


SOBRE EL TERRENO SDR

de la carga de 4,7 k7 en la entrada no in-


versora, a diferencia de la resistencia de 10
k7 en la entrada inversora. Esto es correc-
to, ya que la señal que pasa a través de di-
cha entrada es atenuada con una antifase
exacta por la realimentación inversa, lo que
divide la resistencia de entrada hasta los 5
k7. De este modo, ambas entradas ofrecen
la misma resistencia de entrada, que era lo
que se pretendía.
Los condensadores de 2,2 nF, junto con la
resistencia interna del mezclador y la re-
sistencia serie de 100 7, forman un sen-
cillo filtro paso/bajo con una frecuencia de
limitación que llega hasta los 100 kHz, de
forma que se elimine cualquier vestigio de
RF en las etapas de audiofrecuencia. La li-
mitación de frecuencia está bastante por
encima del rango de frecuencia de trans-
ferencia, lo que significa que las toleran-
cias del condensador no producen ningún
error de fase apreciable. Incluso podemos
utilizar un condensador cerámico de disco.
Las tolerancias de entre un 10% y un 20% no
son ningún problema con cualquiera de los
condensadores que están en el camino de
Figura 3. Esta placa ejemplo de laboratorio no es lo bastante equivalente para la inversión de producción suministrada a través de la tienda de Elektor. la señal y que actúan como elementos de
paso/alto con una frecuencia de limitación
multiplexador de entrada sirve como un de intercambio. La tensión de los mismos de unos 300 Hz.
transformador de impedancia. Esto propor- se selecciona también en torno a los 2,5 V, La etapa final tiene una ganancia (dividida
ciona una impedancia final relativamente lo que permite controlarlos sin ningún tipo en 10 partes) de 20 dB, la cual, sin embargo,
alta de 100 k7 para la señal de RF, lo que de sobrecarga hasta los 5 V pico a pico. puede reducirse a la ganancia unidad por
permite, por ejemplo, que pueda conectar- El amplificador de FI (Frecuencia Inter- los conmutadores analógicos. Se proporcio-
se incluso un circuito resonante de alta Q media) está formado por dos ramas exac- nan un total de tres pasos de atenuación: 0,
a la entrada “In2”. Hemos intentado tener tamente iguales que juntas producen una - 10 y - 20 dB. Para evitar que este control de
una tensión de 2,5 V aproximadamente a atenuación de hasta 40 dB en todo momen- ganancia sea demasiado duro, la ganancia
la salida de baja impedancia del seguidor to. Cuando estamos utilizando una tensión también puede reducirse en el propio pro-
fuente, la cual pasa a través del mezclador de alimentación de 5 V, la ganancia de an- grama. Como la entrada del receptor pre-
y del siguiente amplificador operacional y cho de banda (GBW) del amplificador ope- senta una alta resistencia, con lo que podría
va directamente hacia la salida. Es impor- racional seleccionado es bastante impor- verse saturada, el atenuador se coloca en la
tante que no aparezcan restos de señales tante, de manera que se pueda conseguir la etapa final, de manera que se evite una sa-
en la frecuencia de audio en la conexión amplificación (dividida en diez partes), sin turación de la salida. Esto se corresponde
fuente, razón por la cual también se filtra errores de fase para señales que están en con un control de ganancia en un amplifi-
minuciosamente la tensión de alimenta- torno a los 20 kHz. En las muestras de las cador de FI.
ción “crítica” Vcc_HF. El propio transistor pruebas realizadas por el autor de este artí-
FET proporciona el desacoplo adicional de culo se comprobó que un amplificador ope-
la tensión de alimentación, pero no desea- racional TL 084 era adecuado para este tra-
Montaje
mos que ninguna señal escape de la puerta bajo. Si proporcionamos un zócalo para IC5 La placa de circuito impreso que se mues-
de dicho transistor, ya que dicha señal pue- podríamos intentar probar con otros ampli- tra en la Figura 2 utiliza componentes lo
de caer en la región de la FI, por debajo de ficadores operacionales más rápidos. más estándar posible, con la excepción
los 24 kHz. Por este motivo se ha conectado La etapa de entrada trabaja como un am- de los circuitos integrados LSI (Large Sca-
una bobina de choque de RF directamente plificador diferencial. Los valores de las re- le Integration, es decir, gran escala de
a la entrada de antena de forma que, por sistencias que buscamos no son los más integración) FT 232RL y CY27EE16, los
ejemplo, se elimine cualquier zumbido re- aptos para una mejor supresión en el modo cuales, por desgracia, solamente están
sidual de la señal de red de 50 Hz. común, sino los que hacen la resistencia disponibles en encapsulado con formato
Saliendo de la conexión fuente del transistor de entrada lo más igual posible entre las SSOP, con un espaciado entre terminales
nos encontramos con dos resistencias de entradas inversoras y no inversoras de los de 0,65 mm. La Figura 3 muestra la pla-
100 7 que van a los dos mezcladores para amplificadores operacionales. Las pruebas ca de circuito impreso (PCI) prototipo de
las señales I y Q. Dichas resistencias mejo- demuestran que una buena precisión de nuestro laboratorio, con todos los compo-
ran la simetría de los mezcladores, ya que fase (y en consecuencia una alta supresión nentes montados.
la resistencia de activación de los mismos de la frecuencia imagen) depende de que La mejor manera de comenzar es sol-
proporciona una cierta cantidad de fugas. exista la misma impedancia en las cuatro dando los dos componentes de montaje
Los propios mezcladores son circuitos in- fases del mezclador. La impedancia de en- superficial (SMD) en su lugar corres-
tegrados conmutadores analógicos del tipo trada sube hasta los 5 k7 para todas las pondiente. Se recomienda empezar con
HC4066, configurados como conmutadores entradas. Debemos remarcar la resistencia los cuatro terminales de las esquinas

20 07/2007 - elektor, la electrónica que sorprende


antes de soldar el resto de ellos. El esta- fuente para Delphi. También
ño que sobre puede retirarse fácilmente está disponible para su des-
con una trencilla para desoldar, revisan- carga un documento com-
do a continuación con una buena lupa plementario, en formato pdf,
que no haya ningún cortocircuito que que describe la inicialización
nos dé una desagradable sorpresa. y la puesta en funcionamien-
Los componentes con terminales pasan- to del equipo.
tes no deben presentar ninguna dificultad.
El circuito no dispone de ningún compo-
nente de RF especial o de puntos de prue-
Programa decodificador
ba. En un principio no debemos montar Prácticamente todas las ca-
los condensadores C12 y C13, ya que el racterísticas significativas
circuito integrado CY27EE16 dispone de del receptor vienen deter-
unos condensadores internos que pue- minadas por la configura-
den configurarse y que nos permitirán al- ción realizada en el progra-
canzar una frecuencia de exactamente 10 ma decodificador de nues-
MHz sin ninguna dificultad. Los conden- tro ordenador. Como indica
sadores C12 y C13 solamente serán nece- nuestra investigación [1], Figura 4. Programa de control de Sintonía SDR de Elektor Electronics.
sarios si el cristal que estamos utilizando
requiere una capacidad de carga mayor.
Una vez montados todos los compo-
nentes es necesario examinar todo el
circuito con un multímetro, comproban-
do que no haya ningún cortocircuito al-
rededor de las conexiones USB, para
evitar dañar nuestro ordenador.

Conexión y alineación
Antes de conectar la primera vez el recep-
tor al puerto USB del ordenador, necesita-
mos instalar el programa controlador para
el circuito integrado FT 232R. Podemos
encontrar dicho controlador en la página
web del fabricante (www.ftdichip.com/
FTDrivers.htm) o, como alternativa, en la
sección de programas de descarga de este
artículo. La instalación del controlador me-
diante el programa CDM_Setup.exe elimi- Figura 5. Cuatro estaciones de AM en sintonía dentro del rango del espectro, tal y como se muestra en el programa SDRadio.
na automáticamente cualquier resto de
viejos controladores FTDI de nuestro orde- disponemos de una colección de progra-
nador. Una vez que hayamos hecho esto, mas diferentes entre los que elegir. Por
Windows encontrará de forma automática
el controlador correcto en cuanto conecte-
ejemplo, podemos realizar nuestras pri-
meras pruebas con el programa SDRadio
Enlaces en
mos el receptor. El mismo proceso propor-
ciona al ordenador, de manera automática,
[2]. Después de esto descubriremos posi-
bilidades adicionales con los programas
Internet:
un puerto COM virtual adicional, para lo DREAM [3] y G8JCFSDR [4]. [1] www.nti-online.de/diraboxsdr.htm
que no necesitamos conocer qué número Cualquiera que sea el programa que ha- [2] www.sdradio.org/
de puerto COM ha sido asignado al dispo- yamos elegido, es de vital importancia [3] http://sourceforge.net/projects/drm
sitivo, ya que el equipo configura su propia configurar la tarjeta de sonido correc- [4] www.g8jcf.dyndns.org/
conexión directa con el FT 232R. El fichero tamente (esto se describe en el docu-
FTD2XX.dll controla las ocho líneas de da- mento complementario). La información
tos del circuito integrado como si se tratase sobre los programas se halla en las pá- Bibliografía:
de un puerto paralelo, eliminando al mis- ginas web correspondientes y en los ar-
Burkhard Kainka: DREAM Team – Progra-
mo tiempo cualquier problema de tempo- tículos de Elektor Electronics que se lis- ma para Recepción DRM, publicado en
rización. Para ahorrar tiempo, los múltiples tan más abajo. En la página web del au- Elektor Electronics en abril de 2004.
cambios de nivel involucrados en el control tor de este artículo (wwwb-kainka.de)
Wolfgang Hartmann and Burkhard Kainka:
del bus I2C son convenientemente alma- podemos encontrar consejos adiciona-
‘Escucha de Radio con Matlab – Programa
cenados en un “buffer” y, posteriormente, les, los cuales también aparecerán más Diorama para el receptor DRM’, publicado
llevados a las líneas de datos de forma or- adelante en la página del proyecto en en Elektor Electronics en mayo de 2006.
denada. El programa ElektorSDR.exe nos www.elektor–electronics.co.uk y, si fue-
Burkhard Kainka: I-Q: una aproximación
permite controlar todas las funciones del se necesario, en el foro correspondiente
inteligente a la calidad radio, publicado
receptor (vea la Figura 4). Dicho fichero se de la misma página web. en Elektor Electronics en febrero de 2007.
encuentra en el archivo de descarga como
un fichero ejecutable, junto con el código (070039-1)

07/2007 - elektor, la electrónica que sorprende 21


SOBRE EL TERRENO INTERFAZ PARA TRANSMISOR RC

Gr

A lo
largo de
los años se han publicado
un buen número de diseños que permitían
que un transmisor de radio control (RC) tuviese una interfaz con un ordenador
personal. Esta interfaz mejora los conocimientos y destrezas de los pilotos
de aviones de modelismo mediante el uso de un programa de simulación,
evitando echar a volar su orgullo y caer en picado en el jardín del vecino.

Muchos aficionados al modelismo con a las maniobras que realizamos sobre el


radio control preferirían ver “estrellarse” mando del control y los botones que pre-
a un ordenador que a su último modelo sionamos. No perderemos ningún modelo
construido con sangre, sudor y lágrimas, de avión entre rocas, árboles, tejados de
sin olvidar la gran cantidad de tiempo y iglesias, etc. Si nos estrellamos sencilla-
dinero. Para ello, lo mejor es lo que está mente iniciaremos de nuevo el simulador
de moda en la electrónica moderna: la si- e intentaremos hacerlo mejor.
mulación. La simulación de vuelos, aterri-
zajes y despegues para un determinado
modelo de avión es una buena manera de
¡Adiós al puerto de juegos
familiarizarnos con su respuesta a nues- y bienvenidos al USB!
tras acciones (y errores) con un transmi- La mayoría de los diseños cuya interfaz
sor de radio control (RC). Actualmente con el ordenador era a través del puerto
existen en el mercado excelentes simu- de juegos se han quedado obsoletos, de-
ladores de vuelo que proporcionan unos bido a que dicho puerto ya no es habitual
resultados muy realistas en el aire y que en los nuevos ordenadores y ha desapa-
ayudan al usuario cuando comienza a su- recido completamente en los ordenado-
dar y a cansarse, intentando mantener su res portátiles. El diseño que proponemos
modelo de avión donde debería estar. en este artículo utiliza el puerto USB, el
El circuito que se describe en este artícu- cual tiene una gran precisión. Algunos
lo es la conexión del transmisor de RC de diseños comerciales ofrecen prestacio-
modelismo y el modelo de avión virtual, nes similares, la mayoría tan sólo tienen
coche, barco o incluso helicóptero, donde seis bits de precisión en el eje lineal, de
podemos ver su graciosa figura a través manera que en la realidad un pequeño
de la pantalla del ordenador en respuesta cambio no tendría ningún efecto.

22 07/2007 - elektor, la electrónica que sorprende


racias por volar con
USB-FliteSim Brendan Hughes

Una interfaz transmisora de RC a USB


Posibilidades y limitaciones conector tipo “B”. VDD K1

Como ya publicamos anteriormente, se


R1
han previsto cuatro controles lineales y C1 C2
El programa

100k
VDD
cuatro controles conmutados, que po- 100u
25V
100n

drían emplearse en un transmisor de ocho La siguiente descrip- C3 GND GND


K2
canales, por ejemplo, un manto de juegos ción del programa per-
10n

20
de dos ejes y cuatro entradas conmuta- tenece al microcon- GND
K3
K9
das. Las entradas preliminares se miden trolador PIC 16C745. 1
1
MCLR/Vpp RB7
28
27
K4
RB6
con una precisión de 12 bits, aunque en Recomendamos a 2 15
D- RB5
26
K5
3 16 25
realidad con este programa tan sólo se nuestros lectores que 4
D+ IC1 RB4
RB3
24
K6
2 23
consigue una precisión de 11 bits sobre lean el apartado “His- 5 RA0 PIC18F2550 RB2
6
3 22
RA1 RB1 K7
un equipo de radio control típico. Con toria del Proyecto” para USB-B
4
RA2 RB0
21
VDD 5 18
connector GND RA3 RC7 K8
este nivel de resolución, puede medirse adquirir una visión glo- 6
RA4 RC6
17
7 12
fácilmente el pobre centrado del man- bal de las diferencias R2 R3
13
RA5 RC1
11
K10
RC2 RC0
100k

10k
do de juegos utilizando el programa de con el programa actual Vusb
14

OSC1

OSC2
calibración del mismo sobre el entorno del microcontrolador

19

10

8
C4 T1
R4 X1
Windows (basta con seleccionar la op- 18F2550. K11 2k2
100u C5 C6 C7
ción “Display raw data”, es decir, “Mos- El programa dedicado 25V BC547
22p 8MHz 22p 220n
trar Fila de Datos”). Podrían añadirse sin al puerto USB está dis-
mayores problemas más canales, pero he- ponible en su totalidad GND
060378 - 11

mos pensado que ocho serían suficientes en la página web de la


para la mayoría de los usuarios. casa Microchip e
Figura 1. Esquema eléctrico del circuito de la interfaz de transmisor de RC a USB.
incluye los fiche-
ros fuentes sumi-
Circuito súper sencillo nistrados de forma gratuita a través de cuatro bloques de doce bits que represen-
El circuito es sencillo en su conjunto, tal la página web de Elektor, con el núme- tan los cuatro ejes del mando de juegos,
y como puede verse en la Figura 1. El co- ro de fichero 060378-11.zip (vea el mes seguidos por cuatro bits que representan
razón del circuito es un microcontrolador correspondiente de publicación). En el los cuatro conmutadores. Esto hace un to-
PIC 18F2550 que funciona a una frecuen- Listado 1 se muestra una pequeña parte tal de 52 bits de los 56 bits disponibles en
cia de 8 MHz, con un sencillo transistor a del código fuente, asombrosamente bien los siete bytes totales, por lo que se envían
la entrada que trabaja como “buffer”/in- comentado, donde se muestra de forma cuatro bits adicionales de relleno.
versor. Se han incluido ocho puentes para muy útil la descripción de los puentes. El fichero fuente RC_USB.ASM tiene un
seleccionar las diferentes opciones, aun- De los ficheros suministrados por la casa gran número de comentarios de forma
que en este circuito sólo se emplean cua- Microchip, tanto el fichero DESCRIPT. que parece relativamente fácil seguirlo y
tro. El resto facilitará futuras mejoras. ASM como el fichero USB_CH9.ASM de- entenderlo. Como las funciones USB ha-
Cuando el circuito se conecta sobre un ben modificarse. El fichero USB_CH9. cen un uso impredecible de las interrup-
puerto USB de un ordenador el progra- ASM necesita que se coloque (o se supri- ciones, éstas no se utilizan para las me-
ma interno del microcontrolador 18F2550 ma) en comentario la siguiente directiva didas de ancho de pulso. Por lo tanto, el
permite reconocer el circuito como un de compilación, de manera que el puerto único usuario de las interrupciones es la
mando de juegos con cuatro ejes y cua- B esté disponible para nuestro uso: rutina USB.
tro botones, de manera que no se nece- Las medidas de los anchos de pulso se
sita ningún controlador adicional. #define SHOW_ENUM_STATUS realizan empleando el módulo Captura/
Debemos señalar que debido al progra- Compara/PWM. El registro de Captura
ma utilizado para el PIC, el circuito se co- El fichero DESCRIPT.ASM requiere una CCPR1 es un registro de 16 bits configu-
rresponde con un dispositivo USB de baja edición mucho más seria de varios elemen- rado para capturar el contenido del tempo-
velocidad y las especificaciones USB 1.1, tos de descripción para permitir la enume- rizador Timer1, tanto en transmisiones de
en su Capítulo 6.4.4 establecen que los ración y el funcionamiento adecuado de nivel “alto a bajo” como de “bajo a alto”
cables USB deberían estar conectados al las funciones USB. Cada 10 ms se envían (según se seleccione en el puente K10 de
periférico y no utilizar un conector USB del al ordenador siete bytes. La configuración RB0). El temporizador Timer1 trabaja con-
tipo “B”. Sin embargo, considerando que de los datos dentro de estos siete bytes se tinuamente con un “preescaler” (divisor
el circuito está pensado para un uso espe- muestra en la parte de descripción del do- de frecuencia) ÷2 a 3 MHz y, por lo tanto,
cífico y personal, se ha decidido utilizar un cumento. En esencia, lo que se envía son se incrementa cada 333 ns. Así, el ancho

07/2007 - elektor, la electrónica que sorprende 23


SOBRE EL TERRENO INTERFAZ PARA TRANSMISOR RC

del pulso puede detectarse con una preci-


Montaje
sión que está dentro de los 666 ns. Debi- La interfaz se construye sobre una peque-
do al modo en que los servos se controlan, ña placa de circuito impreso cuyos pla-
los anchos de los pulsos varían entre 1 y nos de pistas y de instalación de compo-
2 ms para cada canal, por lo que tenemos nentes a tamaño real se reproducen en
un rango total de, aproximadamente, 0 a la Figura 2. Esta placa está disponible a
3.000. través de la página web de Elektor, The
Cuando comienza el programa, se lla- PCBShop, en www.thepcbshop.com.
ma a la rutina InitRC_USB para con- Con tan pocos componentes en la placa
figurar los puertos y el registro CCPR de circuito impreso (y todos ellos con ter-
para capturar sobre un flanco ascen- minales normales en lugar de componen-
dente e iniciar el temporizador Timer1. tes SMD), no debe haber ningún proble-
A continuación se hace llamada a la ru- ma en la construcción de la interfaz, si
tina InitUSB y se asigna el dispositivo. ponemos el cuidado habitual a la hora de
El programa interno espera hasta que montar los componentes de forma que
dicha asignación se complete. se adapten a la forma dibujada sobre la
La rutina LOOP es el cuerpo principal del placa. También debemos tener las mis-
programa. Si se detecta un pulso (selec- mas precauciones a la hora de realizar
ción del bit CCP1IF), verificamos si se tra- las soldaduras. Recomendamos montar
ta de un pulso asíncrono (> 2,7 ms) o uno el microcontrolador PIC (IC1) sobre un
de los pulsos de canal, el cual varía entre zócalo DIL estrecho de 28 terminales.
un ancho de pulso de 1 y 2 ms. El último Pensamos que hay mucho que apren-
valor de CCPR1 (Tmr1Lo y Tmr1Hi) se res- der, además de divertirnos y de ahorrar,
ta de CCPR1 para dar el ancho de pulso además de que el proyecto puede ser
en unidades de 333s. Si se trata de un pul- un reto para los miembros de cualquier
so de sincronismo, se envía el dato sobre club de modelismo de radio control. Se
la rutina BUFFER para que la rutina USB pueden asignar diferentes tareas como
lo transmita hacia el ordenador. En caso la compra de los componentes o de la
contrario, si se detecta un pulso de canal placa de circuito impreso, la soldadu-
normal, restamos 4.500 (4.500 veces 333 ra de los mismos, la programación y los
Figura 2. Diagrama de las pistas de cobre y el plano de ns = 1,5 ms) para centrar el pulso sobre programas, siempre pensando en aque-
montaje de componentes de la placa del pequeño circuito 1,5 ms , de manera que los números posi- llos que tienen mayores conocimientos
impreso diseñada para la interfaz. tivos indican un desplazamiento positivo o que son zurdos.
desde la zona neutral del mando de jue-
gos y los números negativos indican un
Calibración
LISTA DE desplazamiento negativo. A continuación,
la información del ancho de pulso se al- Cuando la interfaz se conecta sobre el
MATERIALES macena en un lugar adecuado dentro del puerto USB de un ordenador, aparecerá
BUFFER, donde estará direccionada por un mensaje indicando que se ha encon-
Resistencias
R1,R2 = 100k7 la variable Pulse_Count. La variable Temp- trado una nueva “Interfaz RC/USB”. Debe-
R3 = 10k7 Count es una copia de trabajo de la varia- remos abrir el panel de control de nuestro
R4 = 2k72 ble Pulse_Count que puede manipularse sistema operativo Windows y seleccionar
Condensadores sin perder la traza del número de canal. “Dispositivos de juegos”. En la ventana
C1,C4 = 100μF condensador electrolítico de diálogo que aparece debe estar pre-
de 25V radial (de bajo perfil) sente la “Interfaz RC/USB” o simplemen-
C2 = 100nF Puentes y situaciones inusuales te “RC/U”. Seleccionamos el controlador
C3 = 10nF
C5,C6 = 22pF Los que trabajan en modelismo y son zur- correspondiente y pulsamos con el botón
dos es posible que deseen que el mando derecho del ratón sobre la opción “Propie-
Semiconductores del alerón/elevador del control esté si- dades”. Los movimientos de los distintos
IC1 = PIC18F2550-I/S programado, con
código de pedido 060378-41 (compo- tuado en su izquierda. Para hacer esto, elementos del mando de juegos deben re-
nente SMA; de la casa STMicroelectronics) el puente K8 de la línea del puerto RB1 producir los movimientos requeridos sobre
T1 = BC547 ajusta el valor de la variable Temp_Count la pantalla. Si no se observa ningún movi-
de modo que el dato se almacena en la miento, cambiaremos de posición el puen-
Varios
K1 = conector tipo “pinheader” de 5 ter- parte correcta de la rutina BUFFER. te K10, es decir, si el puente está montado
minales SIL Ciertos transmisores de RC utilizan un lo tendremos que retirar y sino lo tendre-
K2-K10 = conector tipo “pinheader” de 2 pulso de sincronismo que no es estándar. mos que montar. De forma similar, si hace-
terminales SIL con puente
K11 = conector tipo “pinheader” de 2 Esto puede afectar al funcionamiento del mos la misma manipulación sobre el puen-
terminales SIL dispositivo. La instalación de un puente te K8 intercambiaremos los dos mandos
K9 = conector USB-B, para montaje en sobre la línea RB0 provoca que el registro del “joystick”. Cuando todo funcione como
placa de circuito impreso (PCI) CCPR1 realice su captura en el flanco de queremos, deberemos calibrar el sistema.
X1 = cristal de cuarzo de 8 MHz
PCI = Placa de Circuito Impreso con código bajada del tren de pulsos. Por desgracia, Así, seleccionamos “Settings” (es decir
de pedido nº 060378-1 en The PCBShop no hemos tenido acceso a ninguno de es- “Configuración”) y en la nueva ventana
Ficheros con el código fuente, con descarga tos equipos de radio control no estándar, de diálogo elegimos la opción “Calibrate”
gratuita, con código nº 060378-01 en la
página www.elektor-electronics.co.uk de manera que no podemos garantizar (“Calibración”). A partir de aquí seguire-
que esto sea una buena ayuda. mos las instrucciones que se dan en pan-

24 07/2007 - elektor, la electrónica que sorprende


Listado 1. Extracto de Código Fuente
;******************************************************************
; Nombre de fichero: RC_USB_18F2550.ASM Ver 1.0 - 01 Dic 2006
;
; Este fichero implementa la convesión de una salida modulada
talla. Esto completa la instalación.
en PPM de un transmisor de radio control a un mando de
juegos por USB con 3 ejes más acelerador y cuatro botones.
Reconocimiento erróneo ; el terminal PORTB,0 se selecciona como entrada invertida,
por ejemplo, los pulsos están activos a nivel bajo
Por alguna razón es posible que el dis- ; el terminal PORTB,1 selecciona el intercambio de joystick
positivo se reconozca como un “RC/U” ; el terminal PORTB,2 selecciona la opción Airtronics
aunque intentemos que Windows uti- ; el terminal PORTB,3 selecciona la opción JR
; los terminales PORTB,4..7 no son usados
lice el nombre completo de “RC/USB ; El código ha sido escrito para un transmisor Futaba pero
Interface” durante su reconocimiento e instalando BIEN los terminales del puerto PORTB,2 o 3,así
instalación. Si nos sucede esto bastará puede ser configurado para una radio Airtronics o para una JR
con editar el registro (con el comando ; El puerto USB ha sido configurado para interrumpir cada 10 ms y
“Regedit”) y seleccionar: enviar 7 bytes de datos (el máximo es 8). Los 4 canales del mando
de juegos se envían como valores de 12 bits y los 4 conmutadores
HKEY_LOCAL_MACHINE\SYSTEM\ como valores booleanos. Por lo tanto, se requieren 52 para
ControlSet\Control\MediaProper- ser enviados y el 7º byte se rellena con 4 bits de ‘paja’
ties\PrivateProperties\ ; Lo que sigue muestra cómo se salvan los bits en el “buffer”
Joystick\OEM\VID_04D8&PID_FE70 antes de que sean enviados hacia el puerto USB
; Acelerador = T Timón = R Alerón = A Elevador = E
Conmutadores = S Relleno = P
Cada fabricante de dispositivos USB tiene ; MSB LSB
; Buffer0 A7 A6 A5 A4 A3 A2 A1 A0
asignado un único código identificador de
; Buffer1 E3 E2 E1 E0 A11 A10 A9 A8
vendedor denominado “Vendor ID” (VID), ; Buffer2 E11 E10 E9 E8 E7 E6 E5 E4
de manera que cada modelo de dispositi- ; Buffer3 T7 T6 T5 T4 T3 T2 T1 T0
vo que el fabricante produce está asignado ; Buffer4 R3 R2 R1 R0 T11 T10 T9 T8
con su correspondiente código identifica- ; Buffer5 R11 R10 R9 R8 R7 R6 R5 R4
tivo de producto, es decir, el “Produtc ID” ; Buffer6 P P P P S4 S3 S2 S1
(PID). Nosotros hemos obtenido una “sub- ;********************************************
; Todas las rutinas USB han sido proporcionadas
licencia” de la casa Microchip para utilizar amablemente por Bradley
el VID de Microchip 04D8, con un código ;A. Minch del Colegio de Ingeniería Franklin W. Olin
PID de FE70. Esto debería evitar que este y el código fuente original se puede obtener de
dispositivo entrara en conflicto con cual- http://pe.ece.olin.edu/ece/projects.html.
quier otro dispositivo USB comercial. ; El origen ha sido el proyecto Lab2, que modifique con el
permiso del autor para distribuirlo según se necesite.
Las principales zonas que cambian son las descripciones
Interludio. Productos variados hasta la línea 265 y todo el código después de la línea
1178 es nuevo. En el resto hay muy pocos cambios.
Debemos señalar que la Interfaz sólo ;
descodifica la Modulación de Posición de ;Histórico de Revisiones:
Pulso (PPM) y no la Modulación de Códi- ; 2006-12-01 Versión 1.0 Brendan Hughes
go de Pulso (PCM), por lo que el transmi- ;******************************************************************
#include <p18F2550.inc>
sor debe configurarse en modo PPM.
#include <usb_defs.inc>
En las referencias [1] y [2] podemos en- #include <ENGR2210.inc>
contrar una lista de la distribución de
terminales exteriores de varios fabrican-
tes de transmisores de radio control.
En las referencias [3] y [4] también hay go también debería ejecutarse sobre el C745, es necesario realizar algunos cam-
una buena relación de documentos sobre microprocesador 18F2455 sin ningún tipo bios sobre el circuito: cambiar el cristal de
los principios de la modulación PPM. de ajuste adicional. cuarzo a un valor de 6 MHz y montar una
La ventaja de los dispositivos 18F es resistencia de 1,5 k7 entre las líneas Vusb
que disponen de una memoria flash y D-line del bus USB.
Historia del proyecto programable y muy rápida de borrar. (060378-I)
En un principio el programa se escribió El conector K5, del tipo “pinheader” de
para el microcontrolador PIC 16C745 y 5 terminales, permite la programación
más tarde se modificó para trabajar con del dispositivo sobre el propio circuito
un PIC 18F2550. La casa Microchip ya no con el adecuado programador, como el Enlaces en Internet
produce código USB para el PIC 18F2550 PICkit2 de la casa Microchip (el termi- [1] http://users.belgacom.net/TX2TX/tx2tx/
en formato ensamblador. Afortunada- nal 1 del módulo PICkit no se usa). english/tx2txgb3.htm
mente, Brad Minch, del Colegio Olin, ha A quienes estén interesados en aprender [2] www.rc-circuits.com/
generado un código de programa reutili- más sobre la interfaz USB les recomen- Transmitter%20Connector%20Pinout.htm
zable en ensamblador que está disponi- damos que realicen una visita a las pági- [3] www.mh.ttu.ee/risto/rc/electronics/radio/
ble gratuitamente [5]. Este código ha sido nas web de las referencias [6] a [9]. signal.htm
adaptado y acompañado por el fichero rc_ A través de la página web de Elektor se [4] http://rc-circuits.com/PPM%20signal.htm
usb.asm, que ha sido modificado para el suministra un conjunto completo de fiche- [5] http://pe.ece.olin.edu/ece/projects.html
código del 18F2550 de forma que se pro- ros fuente, tanto para los procesadores [6] www.usb.org
duzca el fichero RC_USB_18F2550.asm, el 16C745 como para los 18F2550. Debemos [7] www.lvr.com/
cual tiene que compilarse con los ficheros señalar que, en aquellos casos donde se [8] www.beyondlogic.org/usbnutshell/usb1.htm
ENGR2210.inc y usb_defs.inc. Este códi- necesite trabajar con el microcontrolador [9] http://pe.ece.olin.edu/ece/projects.html

07/2007 - elektor, la electrónica que sorprende 25


SOBRE EL TERRENO MEDIDA

Sismógrafo
Altavoz como sensor de vibración
Gert Baars

Los grandes terremotos son, afortunadamente, acontecimientos raros,


pero de vez en cuando hay pequeñas sacudidas que nos asustan,
aunque normalmente no tienen ninguna consecuencia seria.
Con un ordenador personal y el circuito que describiremos a
continuación podemos vigilar fácilmente todos los terremotos.

Los fenómenos naturales como los terre- amortigüe (por ejemplo un anillo en un
motos, las erupciones volcánicas, los de- baño de aceite) ya que el sistema masa-
rrumbamientos e impactos de meteoritos muelle tiende a seguir vibrando durante
generan temblores sísmicos que suelen mucho tiempo. adecuado para que
propagarse a través de la superficie de la El autor pensó en una solución mucho más podamos utilizarlo como un
Tierra. Con acontecimientos violentos, sencilla: un altavoz. Un altavoz contiene sensor de vibración sísmico.
como un gran terremoto en el otro lado una bobina conectada en la parte trasera
del mundo, estos temblores pueden viajar del cono. La bobina está centrada en el
varias veces alrededor de la Tierra antes hueco de un imán permanente. Cuando
El principio
de que se desvanezcan completamente. esta bobina se mueve se genera una ten- En primer lugar la señal del altavoz se
Los seres humanos también podemos sión. La colocación de un peso sobre el amplifica y luego se pasa por un filtro
causar temblores sísmicos, por ejemplo cono del altavoz hace que se convierta en para eliminar el zumbido y reducir el
al extraer el gas natural o mediante un sensor de vibración. Cuando el altavoz ruido. A continuación la señal se pre-
pruebas nucleares. Estos generalmen- sube y baja debido a vibraciones en la su- senta a la entrada de un conversor A/D
te no se oyen ni se sienten en largas perficie subyacente, la masa, debido a su de un microcontrolador de ATtiny. Una
distancias, aunque pueden detectarse inercia (primera ley de Newton) intentará vez terminada la conversión, el micro-
con un sensor de vibración sensible. El quedarse en el mismo lugar ejerciendo controlador envía la señal al ordenador
sismógrafo que vamos a describir en una fuerza sobre el cono. De este modo se a través de un enlace serie. Un progra-
este artículo hace esto posible. genera tensión a través de la bobina. ma que corre sobre el ordenador o el
El altavoz que utilizamos es un peque- portátil, convierte estos datos en una
ño modelo de 0,5 W/8 7, con un diáme- representación gráfica, que nos permite
El sensor tro de, aproximadamente, 8 a 12 cm, leer el tiempo y la fuerza de la actividad
Normalmente el sensor de un sismógra- preferentemente con una suspensión sísmica. En dos pequeñas ventanas po-
fo usa un muelle con un peso conecta- flexible, además de una masa de acero, demos ver en tiempo real la amplitud y
do. El peso solamente aprieta el muelle como puede ser un tornillo de M10x25, el espectro de frecuencia de la señal.
un poco. A causa de la inercia del siste- que se utiliza para sobrecargar el cono. Cuando se diseñaba el circuito, una de las
ma masa-muelle, las vibraciones provo- Unas pocas tuercas adicionales sobre exigencias era que debía ser alimentado a
can cambios en la elongación del mue- el tornillo proporcionan un buen resul- través del puerto serie del ordenador per-
lle, los cuales pueden detectarse y mos- tado sin atascar el cono contra el imán. sonal (o el ordenador portátil), lo que su-
trarse de forma electrónica. Esto baja la frecuencia resonante del pone la necesidad de una batería o fuente
Este tipo de sensor es bastante caro y su altavoz y la cantidad de amortigua- de alimentación externa. Sin embargo esto
montaje no es nada sencillo. También te- miento no es demasiado grande. Éstas significa que el consumo de corriente no
nemos la necesidad de un mecanismo que propiedades son muy útiles y lo hacen puede ser muy elevado, lo que se consi-

26 07/2007 - elektor, la electrónica que sorprende


Especificaciones
- Ancho de banda de 0,5 a 25 Hz (50 S/s).
- Sensibilidad desde unos pocos μm.
- La circuitería del sensor se alimenta desde el ordenador.
- Puerto serie: 2.400 buadios, 8 bits de transmisión de datos.

IC1 +5V
LP2950CZ-5.0
D1 R6
1 3
1M
1N4148 R2
C2 C17 C1

2
+5V +5V

100k
220u 100n 10u
25V 25V
R1 C18
C19

1M
GND
100n
100n
K1 GND
GND

4
1 IC3

8
1

VDD
VCC
7
6 2 6 7

5
OS SHDN
2 6 5 1
IC4 PB0 AIN0 PB5 RESET C3 R3 IC2
7 C22 3 6 7 5 2
PB1 INT0/AIN1 PB2 T0 22k OUT IN
11 3

8 4
100n ATtiny45 2u2 MAX7400CPA
8 1 8

PB3 X1

PB4 X2
COM CLK

GND
10 4 TL081ACN

GND
9

3
4

3
5 X1
R5 R4
C5 C6 C4

220k
100k
SUB-D9
C8 C7 220n 4u7 15n
GND
22p 4MHz 22p
C10
GND GND GND
C9 470n

R8 1n R10

10k
C12 R7

15k
GND GND 4M7
220u 25V C11
4u7 R9
2
47k
C13 C21 C14 8 C20 1 5
25V IC5A
IC5C 3 7
220u 100n 10u 100n IC5B
4 6
5

25V 25V
K2
GND

D2 1 4
Vin SENSE R13 C15 R11 R12
8 3
Vin IC6 OUT 22k 47k 4M7
2
1N4148 ILIM2 4u7 C16
Figura 1. Primero se amplifica la señal del sensor 7
ILIM4 SHDN
6 25V
1n 060307 - 11
lo suficiente y, posteriormente, se filtra y digitaliza LT1175CN8-5
mediante un microcontrolador ATtiny que pasa
la señal hacia un ordenador.

gue, principalmente, haciendo trabajar al versor AD del microcontrolador, un AT- ajuste de continua de los amplificadores
microcontrolador a una frecuencia de reloj TINY45 de la casa Atmel, convierte esta operacionales.
más lenta y seleccionando dispositivos señal en un resultado de 8 bit, suficiente Para el circuito se ha diseñado una placa
con bajos consumos de corriente para los para la utilidad que vamos a darle. de circuito impreso (PCI), la cual se
reguladores de tensión. Usamos un único amplificador operacio- muestran en la Figura 2. No tenemos
nal de baja potencia, del tipo TL081 nada especial que decir sobre la cons-
(IC4), como cambiador de nivel, convir- trucción ya que, en este caso, se trata de
Esquema eléctrico tiendo los datos que el ATtiny transmite un trabajo bastante sencillo.
El esquema eléctrico del circuito se mues- de niveles TTL a niveles RS 232.
tra en la Figura 1. Para el preamplificador La tensión de alimentación se obtiene de
se seleccionó un amplificador operacional las líneas RS 232 con la ayuda de los dio-
El Programa
dual del tipo TL082. El beneficio total, de dos D1 y D2. El programa escrito en ensamblador en
aproximadamente 10.000 veces (80 dB), Dos económicos reguladores de tensión el microcontrolador tiene la sencilla ta-
está dividida entre dos amplificadores de baja caída (un LP2950 para la tensión rea de transmitir, cuando se solicite, el
operacionales para evitar que el efecto de positiva y un LT1175 resultado obteni-
la tensión de compensación de entrada para la tensión ne- do en el conversor
del amplificador operacional tenga dema- gativa) proporcio- A/D. Como este
siada influencia. Por la misma razón, la ga- nan las tensiones Programación particular contro-
nancia total de continua (DC) se seleccio- reguladas de + 5 V del controlador lador no tiene un
na a 1x mediante los condensadores C11 y de - 5 V. En una UART, esto se rea-
Si programamos nuestro propio
y C15. La señal del preamplificador es pos- tentativa de exten- microprocesador para este liza con un progra-
teriormente filtrada por un filtro paso/bajo der la carga aproxi- proyecto tenemos las siguientes ma adicional.
de octavo orden para suprimir el zumbido madamente igual opciones: La aplicación para
y reducir el ruido. Este filtro es un circuito entre las líneas de • Oscilador a cristal: oscilador de cris-
ordenador ha sido
integrado de la casa Maxim; el MAX 7400 positivo y negativo, tal externo de 3 a 8 MHz. programada en el
(un denominado filtro de condensadores el filtro y el micro- lenguaje de pro-
conmutados, SCF). Con el condensador controlador están gramación del Del-
C4 conectado al terminal 8 de este circuito alimentados desde phi. Una desven-
integrado, la frecuencia de corte se coloca el lado positivo, mientras los dos amplifi- taja de Windows es que no es un siste-
a un valor fijo de, aproximadamente, 25 cadores operacionales en IC5 están ali- ma operativo en tiempo real. Comandos
Hz. Esto provoca una gama de frecuencia mentados de la tensión de - 5 V. También desde la interfaz de usuario, como el ra-
total de entre 0.5 a 25 Hz, la cual es ade- se ha añadido un divisor de tensión, for- tón y el teclado, así como las tareas del
cuada para la grabación sísmica. El con- mado por las resistencias R8/R13, para el sistema que tienen que hacerse, no se

07/2007 - elektor, la electrónica que sorprende 27


SOBRE EL TERRENO MEDIDA

bargo, cuando lee- nera es como se consigue proveer al cir-


X1
mos o enviamos da- cuito con su fuente de alimentación. En
C7 IC3
C19 tos, la temporización la esquina superior derecha de la ven-
D1 para controlar el pro- tana aparecen dos gráficos que mues-
C8
gramador es exacta. tran el estado actual del sensor. La ven-
IC1 R1 R4
Para este tipo de me- tana izquierda indica la amplitud del
K1 C17 1 C3 C16 R11
3 C5 C15 dida un espectro de sensor sobre un período de tiempo de
R10
C2 aproximadamente 0.5 tres segundos. La ventana de la dere-
R3
C1
C4 R12 a 25 Hz es muy apro- cha ilustra el análisis de frecuencia de
R8
5

C22 C20 piado. Esto quiere la señal que se muestra en la ventana


9

K2
IC4 IC2 C18 IC5 decir que las medi- izquierda (DFT), con una ancho de ban-
das tienen que hacer- da comprendido entre 0 y 25 Hz.
6

R2 R9
1

R5 C6 R7 se a 50 muestras/s La grabación de datos comienza al pul-


C9 C11
C14 C10
(Teorema de Ny- sar sobre el botón “Start” (“Iniciar) de
C21 R6 C12 quist). En este caso, la aplicación. Entonces aparecerá una
D2 R13 el circuito puede ha- ventana de grabación grande que mos-
IC6
C13
cer la temporización, trará la historia de la amplitud del sen-
de modo que la tem- sor sobre múltiples líneas. El número
porización por pro- de líneas por ventana y la duración de
grama del ordenador cada línea pueden ajustarse.
no tiene que ser tan Por defecto existen 24 líneas de una
exacta. Las muestras hora cada una, pero podemos cambiar
que el programa lee esto introduciendo otros valores antes
lo suficientemente de pulsar el botón “Start”. Si la graba-
rápido se muestran ción ya está en marcha debemos parar-
en tres ventanas, la pulsando el botón “Start” otra vez.
cada una de las cua- La grabación también puede iniciarse
les contiene un tipo en un momento específico con un tem-
diferente de gráfico. porizador, pulsando sobre la opción
Existe un oscilosco- “Start at” (es decir, “Comenzar en“) y
pio ‘en tiempo real’ comenzando el tiempo de registro a
para mostrar los de- partir de dicho tiempo. El formato para
talles de la historia esto es HH:MM:SS AM/PM. Si quere-
de la amplitud de la mos comenzar a las 10 en punto de la
vibración sísmica; mañana, el dato sería 10:00:00 AM (AM
una pantalla con un en mayúsculas).
espectro para las Una vez que la grabación ha comenza-
componentes de fre- do, la medición seguirá indefinidamen-
cuencia y una panta- te y la ventana se refrescará de manera
lla gráfica mayor para automática cada vez que esté llena.
un registro de gran Utilizando la opción de menú “File” (“Ar-
duración. En este úl- chivo”) podemos salvar esta ventana
timos caso, podemos como un mapa de bits (una imagen).
ajustar el número de En la opción de menú “Settings” (“Con-
líneas por ventana y figuración”) del puerto COM, podemos
la duración de cada ajustar una ampliación del gráfico al-
línea. Una selección macenado, salvar los datos de forma
obvia es la de 24 lí- automática y ajustar el audio. El ajuste
neas de una hora de la ampliación del gráfico (opción
cada una, para un pe- “Magnify”, es decir, “Ampliación”) per-
ríodo de 24 horas por mite una ampliación de 1, 2 ó 4 veces
ventana. Sin embar- en vertical.
go podemos cambiar A través de la opción de menú “Analy-
esto libremente. ze” (“Analizar”), los datos pueden leer-
se de nuevo, ya que fueron salvados
con la opción de configuración “Auto-
Figura 2. Si quisiéramos hacer la Placa de Circuito Impreso (PCI) nosotros mismos, deberíamos
save” (“Salvado automático”), para
empezar con esta disposición de pistas y componentes.
cada línea o para cada ventana. El for-
mato de los datos es sencillamente en
realizan inmediatamente, sino que se co-
Trabajando con el programa bytes. El nombre del archivo de cada
locan en un tipo de cola. Así, dependien- del sismógrafo parte de los datos registrados es “DD-
do de la prioridad, tendrán que esperar Cuando arrancamos la aplicación de MMYYYYHHMMSS.dta”.
hasta que Windows pueda tratarlas. Windows (Figura 3), el puerto serie es Si buscamos una grabación a través de
Desde nuestra perspectiva esto es tan inicializado con la línea RTS a nivel alto la opción “Load data” (“Cargar datos”)
rápido que apenas lo notaremos, sin em- y la línea DTR a nivel bajo. De esta ma- se tratará de encontrar la hora y fecha

28 07/2007 - elektor, la electrónica que sorprende


requerida en la lista de nombres del ar-
chivo. Cuando seleccionamos este fiche-
ro con la opción “Open” (“Abrir”) se mos-
trará en pantalla en su forma gráfica.
Entonces podemos salvar los datos como
una imagen o imprimirlos a través de la
opción de menú “File - Print” (“Fichero –
Imprimir”). El número de líneas es el va-
lor mostrado debajo del texto “Lines”
(“Líneas”) que se muestra sobre la pan-
talla. Los mismos datos pueden mostrar-
se con un número diferente de líneas
cambiando simplemente el valor y pul-
sando sobre el texto “Líneas”.
El valor de “Ampliación” configurado
también se aplica al pulsar sobre la op-
ción “Líneas”, de modo puede cam-
biarse igualmente.
Un buen modo de grabación es seleccio-
nar un “Line time” (es decir, “Tiempo de
Línea”) de una hora con 24 líneas y con
una configuración “Per line” (“Por Lí-
nea”) en la configuración de “Autosave”
(“Autosalvar”). De esta manera, cada ac-
ción de salvar un dato es una hora apar- Figura 3. Captura de pantalla del programa de ordenador que se acompaña, el cual hace visible la señal medida.
te; leyendo estos datos de nuevo en un
tiempo posterior, podemos estirar la hora
a través de 24 líneas, de modo que cada Utilización mejor cuando está al descubierto, como
línea muestre ahora 2,5 minutos, lo que Cuando colocamos un sensor de sismó- en el garaje o sobre un balcón, aunque
provocará una muy buena presentación grafo los cimientos son importantes. El probablemente la solución más sencilla
en pantalla de los detalles. suelo blando y pantanoso amortigua los consiste en colgar el sensor de una pared
En la opción de menú “Analyze” (“Aná- temblores sísmicos, mientras que la roca concreta. En muchos casos podremos co-
lisis”) encontraremos también la op- dura asegura una transmisión muy buena locar el sensor sobre la pared, detrás del
ción “Listen” (“Escuchar”), que permi- de estas señales, incluso a través de gran- ordenador. El sensor tiene que fijarse fir-
te oír los datos cargados con la opción des distancias. El suelo blando requiere memente a la pared para prevenir un
“Load data” (“Carga de datos”). La op- que se clave una pica en la tierra, pero amortiguamiento adicional.
ción “Audio” en el menú “Settings” muchas veces esto ya se ha hecho previa- Sin embargo, este equipo es ideal para
(“Configuración”) permite ajustar el mente bajo los cimientos de un edificio. realizar las medidas en campo abierto,
volumen y la velocidad de muestreo. Como el hormigón, se usa a menudo en sobre una superficie dura y lejos de
Esta ventana desaparece cuando pul- suelos y paredes, y también es un buen áreas urbanas, evitando así las vibra-
samos de nuevo la opción “Audio”. transmisor de vibraciones sísmicas, el ciones sísmicas resultantes de la acti-
Debido a que la grabación se ha reali- sensor de sismógrafo puede emplearse vidad humana y de las máquinas.
zado a 50 muestras/s, a una velocidad con este material. La opción del suelo es (060307-I)
de muestreo de 5.000 muestras/s, la
señal de audio se reproduce 100 veces
más rápida. Así la audición de una hora
de grabación tan sólo necesitará 36 se- LISTA DE C7,C8 = 22pF
C9,C16 = 1nF
C10 = 470nF
gundos. Hay que señalar que escu-
chando la grabación, el sonido se pare-
MATERIALES C11,C15 = 4μF7 condensador electrolítico
de 25 V radial
ce a la audición de un receptor VLF en Resistencias C17-C21 = 100nF
la gama de audio. R1,R6 = 1M7
R2,R5 = 100k7 Semiconductores
El procesamiento posterior de los da- R3,R13 = 22k7 D1,D2 = 1N4148
tos podemos realizarlo sencillamente R4 = 220k7 IC1 = LP2950CZ-5.0
R7,R12 = 4M77 IC2 = MAX7400CPA
con el programa “Paint” en Windows. IC3 = ATtiny45 (programado, con código
Para realizar esto, el fichero de datos R8 = 15k7
R9,R11 = 47k7 de pedido 060307-41).
previamente grabado tiene que leerse R10 = 10k7 IC4 = TL081ACN
IC5 = TL082CN
con la opción de “Load-data” (“Carga IC6 = LT1175CN8-5
de datos”) y, a continuación, salvarse Condensadores
C1,C14 = 10μF condensador electrolítico
como una imagen con la opción “Save- de 25 V radial Varios
BMP” (“Salvar-BMP”). Entonces podre- C2,C12,C13 = 220 μF condensador K1 = Conector Sub-D de 9 terminales hem-
electrolítico de 25 V radial bra para montaje en placa de circuito
mos abrir la imagen con el programa impreso (PCI)
C3 = 2μF2
Paint y añadir texto, por ejemplo, a C4 = 15nF X1 = Cristal de cuarzo de 4 MHz
ciertos ‘eventos’. C5 = 220nF PCI, con código de pedido 060307-1 en
C6 = 4μF7 www.thePCBShop.com

07/2007 - elektor, la electrónica que sorprende 29


TECNOLOGÍA RECEPTORES

Recepción ELF
Captura de señal por debajo de los 150 KHz
Rolf Hähle

Los teléfonos móviles, los dispositivos Wi-Fi y las comunicaciones por satélite se están incrementando día a día,
consiguiendo incluso que el abanico disponible de las frecuencias más elevadas esté estrechándose en las
bandas de los gigahercios. Esto no significa que en el otro extremo del espectro de radio no estén sucediendo
cosas interesantes. Vamos a construir un sencillo receptor y lo sintonizaremos sobre algunas de las señales más
extrañas en el dominio de las frecuencias extremadamente bajas (ELF, del inglés Extremely Low Frequency).
Una rápida comprobación a la escala de sintonía de cualquier cosa y puede recibirse en cualquier lugar, incluso
cualquier vieja radio analógica es todo lo que necesitamos bajo el mar. En la tabla que se adjunta se muestran algunas
para encontrar que la frecuencia más baja para una de las aplicaciones en las bandas de baja frecuencia.
radiotransmisión comercial es 150 kHz en la escala de Además de estas señales fabricadas por el hombre, también
Onda Larga. Esto no quiere decir que si pudieramos existen algunas fuentes de señales de radio que se producen
sintonizar nuestra radio por debajo de esta frecuencia sólo de forma natural por debajo de los 150 kHz. La propagación
oiríamos el silencio radio o, posiblemente, los “chasquidos” de estas señales está íntimamente relacionada con las
producidos por las cargas estáticas. Algunas de las bandas propiedades de la ionosfera y muchos radioaficionados se
por debajo de los 150 kHz se usan para propósitos han convertido en expertos en el estudio de este fenómeno. Por
científicos y para aplicaciones militares. Por ejemplo, las debajo de los 16 kHz, en la banda VLF (Very Low Frequency,
comunicaciones con submarinos sumergidos se realizan es decir, Muy Bajas Frecuencias), es posible detectar efectos
sobre la banda comprendida entre 70 y 80 Hz. A medida atmosféricos (también denominados “esféricos”). Estas señales
que la longitud de onda de la transmisión aumenta, los se producen donde se genera un pulso electromagnético,
gastos en equipos de transmisión y de recepción son cada proveniente de los rebotes de los rayos entre la superficie de la
vez más elevados también. La comunicación submarina Tierra y la ionosfera, produciendo señales que pueden
requiere una antena con una longitud de 1 km, a la vez que catalogarse como “Tweeks”, mientras otras se denominan
un transmisor de una potencia muy elevada. Sin embargo, la “Silbidos” o “Dawn Chorus”. El “Dawn Chorus” se produce al
ventaja de esta banda es que la señal puede penetrar casi romper el alba y suenan como pájaros llamándose unos a

Bandas de Frecuencias
ELF SLF ULF VLF LF
Extremada Baja
Súper Baja Frecuencia Ultra Baja Frecuencia Muy Baja Frecuencia Baja Frecuencia
Frecuencia
Frecuencia 3 a 30 Hz 30 a 300 Hz 300 Hz a 3 KHz 3 a 30 KHz 30 a 300 KHz
Aplicación Mantenimiento Militar: Terremotos: Difusión en todo Señales de Tiempo
técnico: PIGs = comunicaciones Detección primeras el mundo para Estándar:
Galgas de Inspección en submarinos: sacudidas varias aplicaciones DCF 77 de Frankfurt
de Tuberías (20 Hz) ZEVS Rusia (82 Hz) (entre 10 y 30 KHz) (77,5 KHz)
Saguine USA (76 Hz) Comunicaciones MSF Rugby UK (60 KHz)
Militar: bajo tierra: búnquer, Sistema de HBG de Suiza (75 KHz)
comunicaciones cuevas Navegación Omega:
en submarinos de 10 a 14 KHz Militar: comunicaciones
(hasta 1997) en submarinos (por
Señales de origen debajo de los 50 KHz)
desconocido Esféricos:
Señales provenientes Radioaficionados:
de eventos naturales: banda de 137 KHz
“Silvadores”, “Tweeks”, en algunos países.
“Dawn Chorus”

30 07/2007 - elektor, la electrónica que sorprende


+15V

High-pass Low-pass
(Offset Cut)
R2
ANT1 7
180k 2
7
2 6
R1 7 R5 IC3
2 6 3
* siehe Text 1k
6
C1
3
IC2 68k
4
IC1
3 4
4
C2
R3 R4

180k
* Ver texto 1k

–15V
+15V

High-pass Low-pass Low-pass


(Offset Cut) R11
R7 180k
180k 2 7 2 7
P1 R10
2 7 6 2 7 6
R6 7 R9 IC6 15k R12 IC8
2 6 3 6 3
1k C3 IC5 68k 100k IC7 180k
6 3 4 Gain-adjust 3 4
IC4
3 4 4
4
C4 C5
R8
180k

R13 –15V

+15V 180k
S1 IC9
TR1 P2
4x 7815
1N4001 Offset-
C6 C8 C10 adjust
100k

25V 25V
230V 2x 15V IC1 ... IC8 = LM741; MA741; LF356
C7 C9 C11

4x 25V 25V Figura 1. Esquema eléctrico


1N4001
del receptor ELF. La tensión
7915 7905
060320 - 11
de alimentación de red
IC10 IC11
–15V –5V puede sustituirse
por baterías.

otros. Las propiedades eléctricas de la ionosfera se ven una frecuencia de corte que esté en torno a los 20 Hz, más
afectadas por las radiaciones del Sol, de manera que los una bobina de hilo para capturar las componentes electro-
caminos de la señal están cambiando constantemente. magnéticas de las señales (vea el apartado correspondiente
A estas frecuencias tan bajas no es necesario aplicar ningún para conocer los detalles del montaje de la bobina).
tipo de de modulación de la señal y tan sólo es necesario
convertir las ondas electromagnéticas en ondas de audio.
Hay un gran número de páginas de Internet que sugieren El truco: un filtro paso/bajo
diseños de receptores capaces de capturar los tipos de señales En Internet podemos encontrar un gran número de sugeren-
mencionadas anteriormente. Muchos de dichos diseños tienen tes diseños diferentes para receptores ELF, pero ninguno de
pocas oportunidades de capturar algo más que el zumbido de ellos es adecuado, de manera universal, para la aplicación
la tensión de red si están trabajando en un entorno doméstico que pretendemos. Uno de ellos sugiere que se conecte la
normal. Las señales provenientes de la tensión de red de 50 ó bobina de sintonía directamente a la entrada de la tarjeta de
60 Hz dominan las regiones más pobladas del mundo y es sonido y nos vayamos directamente sobre el programa
bastante difícil filtrar dichas señales incluso como un filtro analizador de espectro para recuperar las señales ELF. Sin
paso/alto. La señal principal es idealmente una onda senoidal embargo, las interferencias provocadas por la frecuencia de
pura, pero en la práctica contiene muchos armónicos de orden la tensión de red son mucho más elevadas en el entorno que
superior que se extiende hasta las frecuencias de los ultrasoni- nos rodea que las señales ELF interesantes, ya que dichas
dos y dichas señales pueden bloquear el interés de las señales. señales se ven completamente “inundadas” cuando se utiliza
La recepción de señales VLF sólo se puede intentar con éxito esta configuración. Incluso si se añade un filtro paso/bajo
una vez que el receptor ha sido situado lo suficientemente de 50 Hz estas señales son aún demasiado grandes.
lejos de cualquier ciudad, pueblo, cables de alta tensión y En principio, la señal inducida en la bobina solamente necesita
fábricas. Obviamente, un receptor VLF no puede estar amplificarse en un factor de 100.000 (como mínimo), pero
alimentado de la tensión de red. La recepción de las señales también es muy importante que nos aseguremos que las
ELF por debajo de los 50 Hz no presenta tantos problemas, señales interferentes de 50 Hz han sido suprimidas lo suficiente
ya que la frecuencia de la tensión de red (50 ó 60 Hz) no antes de amplificar la señal, ya que de no ser así, el amplifica-
contiene ningún armónico de orden inferior, por lo que es dor se verá saturado con las señales de la tensión de red.
relativamente fácil eliminar su efecto con un sencillo filtro La siguiente etapa proporciona la misma cantidad de
paso/bajo. Para estas frecuencias ELF tan bajas se puede ganancia junto con otro filtro paso/bajo. Después del
construir un receptor utilizando tan sólo un amplificador de filtro final el zumbido de los 50 Hz apenas es perceptible
audio de alta sensibilidad, junto con un filtro paso/bajo con en una pantalla de un osciloscopio. Sin embargo, las

07/2007 - elektor, la electrónica que sorprende 31


TECNOLOGÍA RECEPTORES

de proporcionar un filtrado paso/banda entre las frecuencias


de 1 y 23 Hz, junto con algo de ganancia. Los tres siguientes
amplificadores son una repetición de los tres primeros y
proporciona más ganancia y una atenuación adicional de la
señal de 50 Hz. El zumbido no deseado de la señal de red
se hace cada vez más débil al paso por cada etapa,
mientras que las señales de interés se amplifican.
El receptor resultante es tan sensible que puede detectar el
movimiento de un pequeño imán (recuperado de un viejo
altavoz) a una distancia de 5 m. Si movemos el imán
hacia arriba y hacia abajo producirá una onda senoidal
Figura 2.
El receptor y la fuente
sobre la pantalla del osciloscopio conectado a la salida
están montados sobre una del amplificador. La señal de red de 50 Hz apenas será
pequeña caja de plástico. perceptible sobre la traza del osciloscopio.
Las conexiones van a la
bobina de antena (en la
caja negra) y a la tarjeta
Regulación de corriente: el principio
de sonido del ordenador Las señales capturadas por el circuito son de una frecuencia
portátil. El ordenador está tan baja que están dentro de las denominadas “subsónicas”
ejecutando el programa y, por definición, no pueden oírse. También hay un pequeño
CoolEdit. problema en el momento de presentar dichas señales sobre
un osciloscopio estándar, ya que dichas señales son vistas
señales ELF buscadas están aún presentes y pueden como una mezcla de frecuencias diferentes y resulta difícil
amplificarse o analizarse con posterioridad. extraer cualquier información interesante.
Por esta razón es más útil realizar una grabación de las
señales a lo largo de un periodo de tiempo amplio (mínimo
El circuito receptor 15 minutos) y presentarlas en pantalla utilizando un analiza-
El circuito que se muestra en la Figura 1 debe ser bastante dor de espectro. Estas dos opciones están disponibles en el
fácil de seguir y comprender para cualquiera que tenga programa de edición de audio “Coll Edit”, que se muestra en
alguna experiencia en el diseño analógico. El amplificador la Figura 2 ejecutándose sobre un ordenador portátil.
A1 está configurado como un amplificador inversor y Sin embargo, este programa ha sido diseñado para
amplifica la señal capturada por la bobina en un factor de mostrar el espectro completo de la señal de audio, por lo
180, presentando una baja impedancia de adaptación con que todas las señales subsónicas ELF estarán recogidas
dicha bobina. Dicho amplificador está seguido por un filtro en la esquina de la pantalla, lo que hará difícil ver lo que
paso/alto, formado por el condensador C1 y la resistencia sucede en dichas frecuencias.
R4, cuya frecuencia de corte es de 1 Hz. Este filtro no es La manera más sencilla de expandir la región ELF mostrada es
estrictamente necesario para la respuesta en frecuencia del engañar al analizador de espectros y hacerle creer que las
circuito, pero el condensador C1 asegura que la señal está señales recibidas están dentro del rango de audio (por
acoplada en alterna (AC) con la siguiente etapa, de manera ejemplo, desde los 50 Hz hasta los 20 kHz). Esto se puede
que cualquier desviación de continua en la salida de A1 no conseguir haciendo que el muestreo de la señal durante la
será amplificada por las etapas sucesivas. Los filtros paso/ grabación se realice a una velocidad determinada y, posterior-
alto pueden omitirse si en nuestro circuito utilizamos mente, reproducir dicha señal utilizando una velocidad de
amplificadores operacionales caros que no presenten el muestreo más rápida. Esto es básicamente la misma técnica
efecto de desplazamiento de continua (“offset”). que se utiliza en fotografía con capturas en tiempos determina-
El amplificador A2 es sencillamente una unidad amplificado- dos, donde los eventos ocurridos de forma lenta se muestran
ra de almacenamiento mientras que la resistencia R5 y el más tarde mucho más rápidos. Por ejemplo, una planta puede
condensador C2 forman un filtro paso/bajo que atenúa las necesitar 100 días para transformarse desde una semilla en
frecuencias de 23 Hz y superiores. Por su parte, A3 también una flor. El crecimiento es tan lento que es difícil notar
es un elemento de almacenamiento con ganancia unidad. El cualquier diferencia de un día para otro, pero si tomamos una
efecto global de estos tres amplificadores operacionales es el imagen de dicha planta cada cuatro horas y realizamos una
visión de dichas imágenes a una velocidad de 25 imágenes
por segundo, el período global de crecimiento se mostrara tan
sólo en 24 segundos. Esta misma técnica básica es la que se
utiliza para capturar, mostrar y oír las señales ELF:
1. Conectamos la salida del receptor VLF a la entrada de
la tarjeta de sonido de un ordenador y utilizamos un
programa grabador para almacenar la señal recibida.
Debemos señalar que las tarjetas de sonido estándar de
los ordenadores realizan una atenuación muy brusca de
las señales por debajo de los 16 Hz.
2. La velocidad de muestreo no debe ser superior a los 200
Figura 3. Hz. Si el programa de grabación no permite la selección de
Contenido espectral de la esta velocidad tan baja, es necesario escribir un programa
señal “de vaca”. Ésta es que reduzca de manera efectiva la velocidad de muestreo,
tan solo una de las veinte de manera que tan sólo tome, por ejemplo, una muestra de
señales diferentes que cada 100 en el fichero grabado, deshaciéndose de las
el autor de este artículo demás que quedan en medio. La velocidad de muestreo
ha grabado. efectiva es ahora cien veces más lenta que en la original.

32 07/2007 - elektor, la electrónica que sorprende


La bobina de captura
La antena del receptor está
formada por una bobina de
unas 1.000 vueltas de hilo
3. El fichero de sonido resultante puede ser ahora utilizado en fino de cobre esmaltado
con una forma circular de
el programa analizador seleccionando la velocidad de unos 40 cm de diámetro. El
muestreo 32 kHz, lo cual tiene el efecto de multiplicar la señal hilo lo podemos recuperar
por 160 (asumiendo una velocidad de muestreo original de de los bobinados primarios
200 Hz) y haciendo que la señal sea audible. El efecto del de varios transformadores
paso del tiempo en las señales hace que sea posible ver de red viejos (soldando los
extremos de cada transfor-
rápidamente las estructuras y patrones en algunas de dichas mador y aislando las juntas).
señales recibidas y alteradas, algo que no es tan obvio cuando Como alternativa, podemos
dichas señales son observadas en tiempo real. Los marcadores comprar un gran carrete del cable adecuado (Internet ofrece una
del tiempo y de la frecuencia que se muestran en el programa buena fuente de suministradores).
analizador deben ser, por supuesto, divididos por la diferencia Para realizar el bobinado sobre la bobina, podemos construir un
en la velocidad de muestreo para obtener sus valores reales. sencillo cuerpo con la forma correspondiente a partir de ocho
clavos doblados y colocados alrededor de una circunferencia de
40 cm de diámetro dibujada sobre un bloque de madera. Un
Resultados curiosos poco de paciencia y algo donde anotar (ya que no queremos
perder la cantidad de vueltas contadas) es todo lo que necesita-
La naturaleza extraña de las señales que el autor de este mos para realizar el bobinado de 1.000 vueltas alrededor del
artículo ha capturado en esta banda de frecuencias a lo largo cuerpo que hemos creado. Se debe dar una capa aislante una
de los seis pasados años ha justificado realmente el esfuerzo vez que hemos acabado el bobinado, de manera que demos
una cierta protección a los elementos. A continuación podemos
invertido en construir el receptor ELF. Para comenzar con las retirar cuidadosamente los clavos para dejar libre la bobina.
señales menos interesantes que podemos sintonizar fácilmen-
La bobina acabada es bastante rígida y se soporta a sí mis-
te, tenemos una débil línea de 50 Hz que se muestra en el ma, pero ayudaría a proteger el fino hilo de cualquier daño si
espectrograma producido y que pertenece, sin lugar a dudas, se monta sobre una caja plana de madera. Sobre la caja se
a la red nacional de distribución de energía. También aparece puede fijar un conector “jack” mono de un cuarto de pulgada
otro pico de señal a la frecuencia de 16,66 Hz y que para poder realizar una conexión eléctrica con la bobina.
pertenece a la línea nacional de distribución de energía para NOTA:Debemos asegurarnos de que la bobina ensamblada
la red de transportes ferroviarios (en Alemania), la cual puede está sujeta firmemente y no va a estar sometida a vibracio-
detectarse incluso ¡hasta 6 km de distancia de las propias vías nes o cualquier otro tipo de movimientos durante su uso, ya
que incluso un pequeño movimiento interactúa con el cam-
del tren! Estas dos frecuencias no son lo único interesante que po magnético de la Tierra e induce una señal en la bobina
podemos encontrar, pero podemos utilizarlas como marcado- que puede sobrecargar la etapa de entrada.
res para comprobar el funcionamiento del receptor. La
La bobina también puede usarse para localizar la dirección de
mayoría de las líneas de tren en Europa distribuyen su energía la emisión. La señal recibida será más fuerte cuando las líneas
utilizando líneas de alta tensión aéreas. En Alemania, esto del campo magnético formen un ángulo de 90° con el plano
genera un fuerte campo electromagnético alterno a una de la bobina. Las señales tienen un período largo y el proceso
frecuencia de 16,66 Hz, la cual inunda la entrada del de grabación es bastante lento, por lo que se recomienda mu-
cha paciencia para poder realizar las medidas necesarias
receptor ELF si dicho equipo está trabajando a una distancia e identificar la localización de la fuente de la señal.
menor de 1 km de las líneas del tren. Éstas son probablemente
las señales menos interesantes que podremos escuchar
fácilmente con este receptor. Después de muchos años de donde cada marca tiene una duración de cuatro periodos. La
investigación sobre el fenómeno ELF, el autor de este artículo secuencia completa se repite cada 24 horas. Esta señal de
ha sido capaz de identificar la localización de algunas de nuevo está localizada en la región de Eifel, en Alemania,
ellas en la superficie de la Tierra (en su zona local), de donde pero puede detectarse hasta a 40 km de dicha ubicación.
parecen emanar señales específicas en el rango de los 0,8 a La señal denominada como “latidos del corazón” suena como
los 20 Hz. La fuente de estas señales es un misterio; algunas un tono continuo de emergencia emitido por un equipo
de las transmisiones más interesantes tienen características monitor de latidos del corazón. Una mirada al espectro de
particulares y son más fuertes en ciertas zonas. esta señal muestra una frecuencia fundamental de menos de
En nuestra página web, en www.elektor-electronics.co.uk 1 Hz, con picos armónicos impares de la fundamental, como
nuestros lectores pueden descargar señales ELF procesa- por ejemplo, 3 y 5 veces y así sucesivamente. Esta característi-
das. Las señales obtenidas en estos ficheros de sonido ca indica que la señal es una onda cuadrada. Aparentemen-
han sido multiplicadas por un factor de 160 utilizando la te, la señal comienza en momentos aleatorios y se interrumpe
técnica de “espacio de tiempo” descrita anteriormente, en intervalos de minutos. La transmisión completa puede durar
para poderlas hacer audibles. varias horas y ha sido detectada por toda Alemania. Los
La Figura 3 muestra el espectro (con respecto al tiempo) oyentes de esta transmisión particular han informado de una
de un tipo particular de señal que se ha denominado creciente actividad en los últimos tres años. Estas señales están
“señal de la vaca”. No haremos ningún regalo a bastante localizadas y no parece que tengan ninguna
aquellos de nuestros lectores que consigan adivinar a conexión con comunicaciones submarinas o un origen
qué sonido se parece el que se ha conseguido convertir cósmico. Una posible explicación es que se generan por
en señal audible. En el tiempo real, cada transmisión corrientes en la tierra producidas por el encendido de
dura alrededor de cinco minutos y ha sido detectada a lo funcionamiento de potentes máquinas eléctricas, pero si éste
largo de un cierto número de años. Esta transmisión se fuese el caso se podría esperar que la estructura de la señal
produce a intervalos aleatorios, tanto de día como de fuese similar cada vez que dicha señal aparece, y no es así.
noche y parece que son más fuertes cerca de la carretera Es posible que algún día el misterio sea resuelto, pero hasta
principal, en el borde norte del pueblo Eifel en Alemania. entonces ¡son realmente interesantes de escuchar!
La “señal de ganso” suena más bien como un “cuac” cuando (060320-1)
es trasladada, pero cada secuencia tiene actualmente una
duración de aproximadamente una hora. La señal tiene una Enlaces en Internet
estructura reconocible que comienza con lo que parece una Ficheros de sonido de ejemplo en www.elektor-electro-
“cabecera” de mensaje y una serie (variable) de pulsos nics.co.uk; pulsar sobre Magazine m Mayo 2007 m
marca/espacio a una frecuencia aproximada de 26 Hz, Recepción ELF. www.vlf.it

07/2007 - elektor, la electrónica que sorprende 33


SOBRE EL TERRENO TRANSMISORES

ATtiny,
Utiliza un microcontrolad
Martin Ossmann

Actualmente, muchas radios


pueden recibir y descodificar
señales RDS, mostrando el
nombre de una emisora y
muchos más detalles, así como el
estado del tráfico. El sencillísimo
transmisor descrito en este
artículo nos permitirá comprobar
redactores y determinar fallos, al mismo tiempo que
puede emplearse como base de nuestros propios
proyectos. Mediante el uso de técnicas avanzadas
podemos escribir todo el código para el
microcontrolador ATtiny 2313 en lenguaje C y
compilarlo usando el compilador gratuito WINAVR

text”, es decir, “tex- una especie de publicidad de tráfico, ha-


to de radio”) para ciendo que la radio suba su volumen.
este propósito, la cual Nos sorprendió mucho que el transmi-
proporciona hasta un to- sor completo esté formado tan sólo
tal de 64 caracteres de infor- por dos circuitos integrados digitales,
La mayoría de las radios mación, pero esto no sirve de nada con un precio total que oscila alrede-
para automóviles actuales soportan el si la radio no dispone de esta opción. dor de los 3,5 `. Uno de ellos es el mi-
sistema RDS que, normalmente, pro- Sin embargo, la opción TP/TA (“traffic crocontrolador ATtiny 2313 de la casa
porciona una pantalla de ocho caracte- programme” / “traffic announcement”, Atmel y el otro es un circuito integra-
res donde se muestra el nombre de la es decir, “programa de tráfico” / “pu- do CMOS 74HC00 típico de cuatro
emisora que recibimos. Sin embargo, blicidad de tráfico”) si es soportada puertas NAND. La señal FM se genera
algunas estaciones se las ingenian por un gran número de equipos. como un armónico de la frecuencia de
para conseguir que la pantalla muestre Nuestro transmisor de prueba emite los reloj, lo que significa que esta frecuen-
algo más que tan sólo su nombre, como bits de control a través de la función TP/ cia está controlada por el cristal y que
el título de la canción que se está re- TA junto con un texto ejemplo (“ELE- no se necesita ningún ajuste de RF
produciendo o los índices del mercado KTOR”) para el campo PS. El código de para el circuito. En el diseño de este
de la Bolsa. Esto se consigue utilizan- programa escrito en lenguaje C puede transmisor hay algunas ideas muy in-
do el campo de datos PS (“program usarse como base para proyectos más teligentes que hacen que el circuito
service”, es decir, “servicio de progra- avanzados. Por ejemplo, se podría medir sea realmente sencillo.
ma”) de un modo creativo, de manera y enviar un parámetro a través de RDS
que los datos variables puedan susti- para su visualización en una radio de
tuir el nombre de la emisora. Sería más FM. Si el valor umbral de dicho paráme-
PWM fraccionada
elegante utilizar la función RT (“radio tro se supera, podría presentarse como El primer paso para generar una señal

34 07/2007 - elektor, la electrónica que sorprende


microcontrolador como
generador de señal RDS
or en miniatura para enviar caracteres a una pantalla de radio de FM
Listado
RELOJ

DIVIDE por
M 0 +1
Rutina de Interrupción
// Generador PWM DDS de 10 MHz a 77,5 kHz
// 10MHz / 77,5kHz = 129,032258065.. ;
0,032258065 * 2^16 = 2.114,0639...
N-BIT REGISTRO DE FASE
.equ M = 129
P
.equ R = 2.114
R
TIM1_OVF: // interrupción
in SREGsav,SREG // salva estado
subi DDS0,low(R) // resta 16 Bits
SUMADOR
DE N-BITS sbci DDS1,high(R)
ldi temp,M // preselecciona periodo PWM
ACARREO brcs no1 // verifica el acarreo
SUM dec temp // reduce el periodo PWM
no1: out ICR1L,temp // selecciona nuevo periodo PWM
060253 - 13 out SREG,SREGsav // restaura estado

Figura 1. Divisor fraccionario que utiliza PWM.

niente de un sensor, siempre y cuando añadiésemos la correspondien-


Técnicamente, sin problemas te interfaz necesaria y la ampliación del programa correspondiente.

Podemos imaginarnos diferentes e interesantes aplicaciones si (1) Los más comunicativos pueden jugar con la idea de enviar mensajes
adaptamos el código fuente de nuestro microcontrolador, (2) volcamos de texto RDS comprimidos a otra gente que, por ejemplo, esté en un
el código objeto resultante en un microcontrolador más potente y con atasco de tráfico en la M30 (por ejemplo). Para ello tenemos que estar
mayor capacidad de memoria y (3) añadimos un amplificador de RF seguros de la estación de radio que tienen sintonizada.
de salida (pongamos, un transistor y un filtro). Por ejemplo, podríamos Técnicamente hablando, hay una gran cantidad de posibilidades, aun-
tomar la temperatura interior y exterior, o la temperatura del aceite, y que puede que algunas de ellas no sean posibles desde el punto de vista
hacer que apareciese en la pantalla RDS de la radio de nuestro coche. legal, ya que en muchos países el uso y la suplantación de frecuencias
En principio, podría aplicarse a esta aplicación cualquier señal prove- por trasmisores no autorizados está seriamente penalizado por la ley.

RDS es crear una subportadora de 57


kHz con una precisión en frecuencia de
unos pocos Hercios. Necesitamos ge- VCXO
11.0592 MHz
nerar esta frecuencia sin utilizar un
cristal especial. La frecuencia estándar SEÑAL-FM-RDS
actual de 11,0592 MHz no es un entero PWM
sencillo múltiplo de 57 kHz: ACARREO-RDS (57 kHz)
T = 194 =1
T = 195 SEÑAL-RDS
11.0592 MHz/57 kHz=194.0210526....

Por lo tanto no es posible utilizar un


simple divisor. Sin embargo, si conmu- DDS94 1 / 48
r = 0.021052
tamos entre un divisor de relación M =
194 y M +1 = 195, en la proporción co- RELOJ-BITS-RDS
rrecta, podemos obtener una relación
de división media comprendida entre REGISTRO =1
=1 D
estos dos valores enteros. En el micro- DESPLAZAMIENTO 16 BITS
controlador ATtiny hay un divisor con-
mutable adecuado para esta función
DATOS-RDS
en la forma de una unidad PWM. Sólo 060253 - 12
necesitamos un módulo del programa
que configure el período de la señal
PWM a M + 1 = 195 por un período Figura 2. Diagrama de bloques del generador de señal.

07/2007 - elektor, la electrónica que sorprende 35


SOBRE EL TERRENO TRANSMISORES

total de tiempo de sus ciclos dados por


r = 0,0210526…, y a M = 194 para el R1
resto de la fracción 1-r de sus ciclos. La 2M2 ANT1
relación de división media es pues 99,5328 MHz
exactamente de: IC1.A IC1.B IC1.C
1 4 9
3 6 8
2 & 5 & 10 & IC1.D
r(M+1)+(1–r)M=M+r=194.0210526.... 12
11
R2 13 &

1k
El modelo adecuado para controlar las
relaciones de conmutación es un dis- X1

positivo conocido como generador de +5V IC1 = 74HC00


señal DDS: la Figura 1 muestra un
11,0592 MHz C2
diagrama de bloques de este concep- C1 20 14 C4
to. El sistema está basado en un acu-
100p 50p IC1
mulador de N bits que puede almace- 4 1 100n
XO RST 7
nar valores hasta 2N-1. Cada salida de 5
XI
reloj del divisor M/(M+1) añade un R3 19 2
D1 PB7 PD0
valor fijo R al valor P que tenemos en IC2

1k
18 3
PB6 PD1
el acumulador. Así pues, la fracción 17 6
R4 PB5 PD2 57 kHz Clock
del divisor de ciclos que obtenemos a BB909B 16 ATTiny PD3 7
1k PB4 Bit-Clock
la salida de sumador está configurada 15 2313-20 8
PB3 PD4 CRC-Active
a r = R/2 N. Si la frecuencia de reloj 14 9
P1 PB2 PD5 Test-Sync
maestro es f CLOCK, el módulo PWM C3 13 11
PB1 PD6
(cuando se controla de esta manera) 12
PB0
tendrá una frecuencia de salida dada 3n3
por la fórmula: 50k
TEST

TP

TA
RDS 10

fOUT = fCLOCK / (M + R/2N).


060253 - 11
Una desventaja de esta técnica es que la
señal de salida presenta unos picos alea-
torios que se corresponden con el ruido
Figura 3. Circuito del transmisor de prueba RDS.
de fase en el espectro de salida.
Una vez que el equipo ha sido inicia-
lizado correctamente, la rutina de in- tro de desplazamiento hacia un codi- exclusiva del esquema de la Figura
terrupción para el microcontrolador ficador diferencial, así como para mo- 2. Por programa podemos invertir la
ATtiny 2313 es muy sencilla, tal y dular la subportadora de 57 kHz. polaridad de la salida del generador
como se puede ver en el listado co- También se usa para aplicar un des- PWM cambiando sencillamente un
rrespondiente. Los valores dados pro- plazamiento de fase de 180° a la bit de configuración. El desplaza-
porcionan una frecuencia de salida de subportadora, mediante la puerta OR miento de fase está determinado por
77,5 kHz a partir de una frecuencia de
reloj de 10 MHz.
También es muy sencillo utilizar el mé-
todo que acabamos de describir más
arriba para generar la frecuencia de re-
loj RDS a partir de un reloj maestro de
11,0592 MHz. El método es tan eficien-
te que todo esto podemos escribirlo
utilizando el lenguaje de programación
C, con el resultado de que el proyecto
es considerablemente más sencillo
para los que no son especialistas en
realizar modificaciones. En nuestro
caso hemos utilizado un acumulador
de fases de 15 bits. El esquema eléctri-
co del sistema completo se muestra en
la Figura 2.

Modulación por trama de bits


El reloj de bit RDS de 1,1875 kHz se
puede obtener fácilmente de un reloj
de 57 kHz con una división de 48. El
reloj de bit es utilizado para despla-
zar los bits de datos desde un regis- Figura 4. Montaje en una placa de prototipo.

36 07/2007 - elektor, la electrónica que sorprende


la salida de una puerta OR exclusiva configurarse para que la frecuencia lida del transmisor cubre un cierto
que combina el reloj de bit RDS con la en el terminal 6 (PD2) del microcon- ancho de banda de frecuencia, por lo
salida del codificador diferencial. El trolador sea de 57 kHz. que el método de conexión sugerido
codificador diferencial cambia la po- La salida RDS proporciona la señal debe de cumplir con la legislación vi-
laridad de la modulación de bit a bit RDS en banda base, la cual puede gente en cada país.
cuando el bit que está transmitiéndo- usarse para probar directamente de-
se (obtenido de los registros de des- moduladores RDS. Como alternativa, (060253-I)
plazamiento) está a nivel lógico uno. esta señal puede emplearse para con-
A los bits de datos reales y efectivos trolar otros transmisores de FM.
se les tiene que añadir los bits de co- La cantidad de componentes total es
rrección de error necesarios antes de verdaderamente pequeña y, por lo tan- Enlaces en Internet
que se carguen en el registro de to, su montaje sobre una placa de cir- http://en.wikipedia.
desplazamiento. cuito impreso de prototipo (ver Figura org/wiki/Radio_Data_System
El proceso completo que acabamos 4) es realmente una mera práctica. El
de mencionar se realiza por progra- programa para el microcontrolador At- www.g.laroche.free.fr/english/rds/rds.html
ma, con la señal RDS presente en la tiny 2313 (fichero en hexadecimal y fi-
salida del módulo PWM como una chero con código fuente en lenguaje
onda cuadrada (por lo tanto menos C) está disponible para su descarga Referencias
pura desde el punto de vista espec- gratuita en la página web de Elektor RDS: FM con texto y datos, publicado en Elektor
tral). Esta señal se usa para modular Electronics. También está a disposi- Electronics, en Abril de 1989.
en frecuencia el generador de reloj ción de nuestros lectores el microcon-
maestro. Como la señal PWM modu- trolador ya programado a través de la Martin Ossmann: Descodificador RDS, publica-
lada en doble fase tiene un desplaza- tienda de Elektor. do en Elektor Electronics, en Febrero de 1991.
miento global de cero, esta modula- El código fuente puede modificarse
ción no afecta a la frecuencia central para implementar varias funciones es-
del oscilador. Además, el tiempo de peciales. Para ello, necesitaremos una
bit tampoco se ve afectado por esta copia del estándar RDS para compren-
modulación de frecuencia. der cómo se codifica la información.
Dicho estándar también se encuentra
en Internet (ver los enlaces de Inter-
Montaje net más abajo).
El generador de reloj de frecuencia Como el transmisor de prueba sólo
modulada está construido alrededor saca una pequeña cantidad de poten-
de un sencillo oscilador CMOS y se cia de RF en la banda de FM de VHF,
utiliza un diodo varicap. En la Figura es posible conectar su salida directa-
3 se muestra el esquema eléctrico ge- mente a la entrada de una radio RDS
neral del circuito. Dos puertas NAND utilizando la correspondiente longi-
producen dos picos estrechos a la sa- tud del cable coaxial para minimizar
lida, ricos en armónicos. Una corta las emisiones parásitas no deseadas.
longitud de hilo hace las veces de an- Debemos señalar que la señal de sa-
tena para las pruebas iniciales. El no-
veno armónico está situado a nueve Publicidad
veces la frecuencia de 11,0592 MHz, o
lo que es lo mismo, a 99,5328 MHz, si-
tuado confortablemente en la mitad
de la banda de frecuencias de FM. La
fotografía que se muestra en la cabe-
cera de este artículo representa un re-
ceptor portátil que está recibiendo la
señal RDS de prueba y muestra el
nombre de la estación (ELEKTOR,
naturalmente).
Los puentes con hilo o conmutadores
conectados a los terminales 12, 13 y
14 per miten activar los bits TA
(anuncios de tráfico) y TP (progra-
mas de tráfico) del microcontrolador,
así como el modo de prueba, donde
un patrón de comprobación de 16
bits se envía repetidamente en lugar
de paquetes RDS determinados. Los
terminales 6 a 9 nos proporcionan
las señales más importantes necesa-
rias para poder realizar las pruebas.
El condensador de ajuste C2 debe

07/2007 - elektor, la electrónica que sorprende 37


TECNOLOGÍA ELECTRÓNICA DE POTENCIA

Control asincrónico
una Placa de Evalu
Con un microcontrolador
AT90PWM3 y un módulo
de tensión Fairchild
Paul Goossens

El control de la velocidad de un motor asincrónico requiere un inversor de frecuencia de


tres fases. El kit de evaluación ATAVRMC200 de Atmel está basado en una placa flexible de
control de un motor que utiliza a un microcontrolador especial AVR, con un SPM de la casa
Fairchild para la etapa controladora de salida. Una característica especial del sistema es que
permite controlar motores asincrónicos sin usar un sensor.

Los ingenieros, por lo general, se refieren a motores asin- El inversor de frecuencia


crónicos como “motores de inducción de corriente alterna” El inversor de frecuencia de tres fases consiste esencial-
[1], especialmente cuando están alimentados por una mente en tres inversores de onda senoidal de frecuencia
fuente de alimentación de tres fases. El stator en un motor variable. Al igual que sucede con los inversores monofási-
de inducción de tres fases utiliza las tres fases de alimen- cos más comunes (que convierten 12 V de corriente conti-
tación, llamadas U, V y W, para crear un campo magné- nua a 230 V de corriente alterna), se evitan las etapas de
tico rotatorio. El modo más simple de controlar este motor salida de potencia lineal debido a su pobre eficiencia a
es utilizando una tensión sinusoidal sobre cada uno de la hora de generar señales de onda senoidales. Es mejor
los tres bobinados, con desplazamientos de fase de 120 que utilicemos transistores de potencia como interruptores
grados entre cada uno. Normalmente una alimentación de (ver la Figura 1), que reducen al mínimo las pérdidas de
tres fases entregará estas tres tensiones a una frecuencia potencia. Si el conmutador Sa+ está controlado por una
de 50 Hz y con una amplitud de 400 V entre fases. señal PWM y el conmutador Sa- está controlado por la se-
Ya que el rotor en un motor asincrónico sigue el campo ñal PWM inversa, el resultado es una tensión que (de me-
magnético rotatorio con sólo un pequeño retraso, la veloci- dia) puede configurarse para que esté comprendida entre
dad del motor está estrictamente limitada por la frecuencia 0 V y la tensión de alimentación del circuito, controlando
de la tensión de alimentación trifásica. Con una tensión de el ancho de los pulsos de la señal PWM.
alimentación de 50 Hz el rango de velocidades disponi- Los Módulos de Potencia Inteligentes (SPMs) permiten contro-
bles es relativamente estrecho e independiente de la carga lar los conmutadores de potencia utilizando entradas lógicas
sobre el motor. Realmente sólo es práctico cambiar la velo- (+ 5 V) compatibles TTL. Cuando se controlan los elementos
cidad del motor ajustando la frecuencia de control. Con un de conmutación de potencia (IGBTs o FETS), es esencial ase-
inversor de frecuencia solucionamos este problema: a par- gurarse que las dos partes de un semi-puente (como Sa + y
tir de la tensión de red rectificada se genera una señal de Sa- en la Figura 1) nunca están activadas simultáneamente.
salida senoidal de tres fases con la frecuencia y la ampli- El resultado sería un cortocircuito a través de la tensión de ali-
tud (por lo general) ajustables, permitiendo el control tanto mentación, de manera que una corriente muy alta no desea-
de la velocidad, como del momento de rotación. ble podría comenzar a pasar por el circuito. Debido a que

38 07/2007 - elektor, la electrónica que sorprende


o de motor usando
uación ATMEL

los transistores de tensión no conmutan de forma instantá-


nea, es necesario introducir un pequeño retraso al circuito de
control entre el apagado de un transistor y el encendido del
otro. Esto nos asegura que un transistor sólo comienza a con- S a+ Sb+ S c+
ducir cuando su compañero está desconectado, y viceversa.
Va
E
Vb
Relación constante Tensión / Frecuencia n

El modo más sencillo de controlar la velocidad del motor Vc


es a través de la frecuencia del campo magnético rotativo.
Para mantener las prestaciones de un motor asincrónico, en
Sa – Sb – Sc –
particular en el momento de rotación, es necesario mantener Figura 1.
constante la relación entre la tensión y la frecuencia. Al au- Principio del controlador
mentar la velocidad, por lo tanto, también debemos aumentar 070174 - 11
inversor para motores
la amplitud de las señales sinusoidales que producimos. Ob- asincrónicos.
viamente esto sólo puede ser así hasta que se alcanza el pun-
to de la máxima tensión permitida para el motor, producida
en la salida del inversor de frecuencia. Si deseamos aumen-
tar la frecuencia más allá de este punto debemos limitar la 1
tensión y, de esta forma, el momento de rotación producido
ya no será constante; es más, comenzará a caer. El momento 0.8

de rotación también puede caer en velocidades muy bajas. 0.6

El mantenimiento constante de la relación frecuencia / 0.4


tensión implica el empleo de bajas tensiones, lo que a su
vez quiere decir que la resistencia de los bobinados debe 0.2

tenerse en consideración. Esto se compensa seleccionan- 0

do un límite de frecuencia inferior (llamado amplificación −0.2


de frecuencia), debajo del cual la amplitud se mantiene
constante en lugar de verse reducida. Por regla general, −0.4
Figura 2.
este límite puede configurarse al 5% de la frecuencia a la −0.6 Una forma de onda
cual obtenemos la tensión máxima del motor. no sinusoidal permite
−0.8
Para cambiar la dirección de rotación de un motor asin- lograr una tensión RMS
crónico, es suficiente con intercambiar las conexiones −1
más elevada para una
a dos de los tres bobinados, por ejemplo, V y W. Este 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
determinada tensión de
 070174 - 12
intercambio es ideal para implementar un programa de pico, proporcionando
control en el inversor de frecuencia. una mayor potencia.

07/2007 - elektor, la electrónica que sorprende 39


TECNOLOGÍA ELECTRÓNICA DE POTENCIA

guna coinciden-
cia que ambos
hayan sacado
al mercado
una placa
de desarrollo
muy útil como
una ayuda a
los desarro-
lladores de
aplicaciones,
especialmente
un microcon-
trolador AVR
para el control
y un Módulo de
Figura 3. Potencia Inteligen-
La placa de evaluación de la casa Atmel y el te como la etapa de
motor asincrónico opcional. salida de potencia. La placa forma el
corazón del equipo de evaluación ATA-
VRMC200 [2] de la casa Atmel.
La placa de desarrollo se entrega con un progra-
ma y un diagrama detallado que muestra que las
conexiones deberían hacerse para conectar la
tensión de red, el motor y el ordenador personal
todos juntos. La placa de evaluación puede usarse
con tensión de red desde los 110 a los 230 V y
con frecuencias de 50 a 60 Hz. El control se reali-
za por medio de un microcontrolador 90PWM3,
desarrollado específicamente por la casa Atmel
para aplicaciones con inversores de tres fases
[3]. La placa viene con su programa interno ya
cargado y con un potenciómetro y tres botones
para la operación directa. Por lo tanto podemos
utilizarlo inmediatamente sin necesidad de un orde-
Mucho más que una onda senoidal nador personal o por programación: simplemente conecta-
Cuando un motor asincrónico se controla electrónicamen- mos los tres bobinados del motor asíncrono para que pueda
te, tenemos la capacidad de aumentar la potencia del controlarse (con un máximo de 370 W) y la tensión de red
motor utilizando una forma de onda de control que no es y, posteriormente, ajustamos la velocidad del motor mediante
sinusoidal, en concreto mediante una onda senoidal más el potenciómetro de la placa.
la componente de su tercer armónico. Si la amplitud del Si se desea, es posible disponer de un motor adecuado
tercer armónico es una sexta parte de la fundamental, te- para la utilización con el kit de evaluación, el cual puede
nemos una señal que se aproxima a una onda cuadrada encontrarse directamente en la casa Atmel (Figura 3).
(Figura 2). La ventaja consiste en que el valor de RMS
de esta señal es más alto en relación con su tensión de
pico, que es el caso de una sencilla onda senoidal. Esto Inteligente, potente y modular
permite al motor producir más potencia para una tensión La placa está diseñada para aceptar unidades SPM de la
de pico de bobinado dada. casa Fairchild con encapsulados DIP. La placa se suministra
con un tipo de módulo FSAM10SH60 de 10 A, con una
potencia máxima de salida de 370 W. En principio puede
Dum volvo, disco de vídeo utilizarse cualquier otra unidad SPM compatible en cuanto a
La teoría está muy bien, y las hojas de características y notas terminales en un encapsulado DIP, por ejemplo, para obte-
de aplicación pueden proporcionarnos gran cantidad de in- ner una potencia de salida más elevada. La Tabla muestra
formación, pero nada sustituye a la experiencia real práctica. cuatro modelos que se diferencian en su corriente máxima
Los fabricantes de semiconductores como Fairchild y Atmel (y, en consecuencia, en la potencia de salida), por lo demás
son, desde luego, conscientes de esto, y por ello no es nin- son idénticos: todos disponen de un termistor NTC interno,

Tabla. Esta tabla muestra el pin - y su función, compatible con SPMs para varios niveles de potencia de salida

Módulo de Potencia Frecuencia SPM IC at TC = 100 °C Máxima potencia Tensión del motor
Inteligente (SMP) [kHz] [A] de motor [kW] [V]
FSAM10SH60A 15 10 0,4 220
FSAM15SH60A 15 15 0,75 220
FSAM20SH60A 15 20 1,5 220
FSAM30SH60A 15 30 2,2 220

40 07/2007 - elektor, la electrónica que sorprende


¡Sin aislamiento de tensión de red!
Los componentes electrónicos de potencia que funcionan a 230 V raramente están aislados de la tensión de red, y la placa de
evaluación de control de motor de la casa Atmel no es ninguna excepción a esta regla. Cuando está en funcionamiento, y du-
rante las pruebas, siempre debemos ser conscientes del hecho de que la mayoría del circuito está conectado directamente a la
red y, por lo tanto, ¡cualquier componente conductor puede tener tensión de red! Esta observación se aplica igualmente a las
partes digitales del circuito, incluyendo el microcontrolador.
Si la placa está abierta y accesible, mientras tomamos medidas, probamos o realizamos experimentos, deberíamos alimentar
dicha placa a través del transformador de aislamiento. En cualquier caso, debemos asegurarnos que nadie puede entrar en
contacto con la tensión de red.

el mismo tipo de encapsulado, la misma distribución de Plataforma de desarrollo


terminales y la misma frecuencia SPM. Esto significa que la
placa puede adaptarse fácilmente para usarla con motores De la misma manera que ofrecemos la oportunidad de modi-
de diferentes dimensiones. En el caso de estar interesados ficaciones para satisfacer motores de diferentes dimensiones,
podemos pedir una muestra SPM gratuita directamente en el circuito y los programas de la placa de evaluación propor-
la página web de Fairchild. cionan una plataforma bien equipada para nuestros propios

Fairchild celebra décimos y quincuagésimos aniversarios


¡Participe en las celebraciones y gane una placa inversora de frecuencia más el motor asincrónico!
En el 2007 se conmemora un doble aniversario para
Fairchild Semiconductor. En 1957, hace cincuenta años,
el “Octavo Traicionero” abandonó el equipo del co-inven-
tor de los transistores William Shockley, para fundar su
propia compañía, que ahora es conocida como “Silicon
Valley” (es decir, “Valle de Silicio”) para fabricar mejores
transistores sobre la base de silicio. El nombre, y el dine-
ro para la compañía procedían del legendario inventor
y empresario Sherman Fairchild. En 1958 se inventó el
transistor planar en dicha compañía, creando las bases
para una nueva industria. A esto siguió una serie de éxi-
tos: el primer circuito integrado de silicio (1960); el primer
circuito integrado biestable estático, junto con el μA 702,
el primer circuito integrado con un amplificador opera-
cional (ambos en 1964). El μA 709 (1965) y el μA 741
(1968) todavía los podemos comprar en la actualidad.
Diez años después de ser absorbida por National Semicon-
ductor (fundada por ex- empleados de Fairchild), Fairchild
se hizo independiente de nuevo en 1997. El 2007, por lo
tanto, se conmemora el décimo cumpleaños del nuevo Fair-
child, que se inicia con un fresco arranque en dispositivos lógicos, de memoria y discretos; Fairchild se ha hecho con “La Franquicia de
Potencia”. Según sus propias cifras, es el abastecedor más grande de componentes de todo el mundo para la optimización de sistemas
de potencia. Los Módulos de Potencia Inteligentes (SPMs) descritos en esta entrega de Elektor Electronics, son una parte de la amplia
gama de productos de control de motor integrados en la industria, con dispositivos que van desde los 50 VA hasta los 10 kVA.

Concurso de aniversario
¡Responda estas tres preguntas correctamente, y podrá ganar un premio!

a) ¿Quién desarrolló el transistor plano en Fairchild en 1958? (Pista: era suizo de nacimiento).
b) ¿Cuántos componentes integrados comprenden un IGBT?
c) ¿Cuál es el ángulo de fase entre cualquiera de las dos salidas trifásicas de un inversor de frecuencia?

¡Como premio regalamos diez kits de evaluación con controlador de motor asíncrono ATAVRMC200,
incluyendo motores asincrónicos (ver fotografías), cada uno valorado en unos 350 ` aproximadamente!
Envíe sus respuestas, hasta el 21 de mayo de 2007, por correo electrónico a editor@elektor-electronics.co.uk o por correo
ordinario a Elektor Electronics, Regus Brentford, 1000 Great West Road, Brentford TW8 9HH, Inglaterra, marcando en el sobre
‘Fairchild’. Los redactores decidirán.

07/2007 - elektor, la electrónica que sorprende 41


TECNOLOGÍA ELECTRÓNICA DE POTENCIA

110 / 23 0 V AC
AC/DC
Microcontrolador
48 V DC
(sólo modo depuración)
AT90PWM3
15VDC
El AT90PWM3 es un microcontrolador de la serie AVR desa-
5VDC rrollado específicamente por Atmel para usar con lámparas
fluorescentes y para control de motores. Una característica
Depuración especial del dispositivo son su tres PSCs (controladores de
motor
ISP Hilo de
3 Semi- de inducción etapa de potencia) de alta velocidad.
depuración Controlad.
ISP
puentes asíncrono
AT90PWM3 trifásico Cada PSC contiene dos módulos PWM que pueden gene-
rar dos señales PWM. Por programa es muy fácil controlar
Aislado estas salidas, ya que son complementarias unas con otras.
ópticamente
Realimentación de corriente
Isp
y de temperatura También resulta fácil por programa añadir “un tiempo
ISP
muerto” para evitar el problema del breve cortocircuito
Com entre las líneas de potencia en la etapa de salida, cuando
RS232
ambos transistores conducen de forma simultánea en un
Pulsadores
y LEDs semi-puente.
Interfaz de Sensor
R
El PSCS también puede reaccionar, sin la intervención de un
programa, a los fallos de señal, a la detección de paso por
cero y otros por el estilo. También nos permite actualizar los
070174 - 13
ajustes para tres PSCS simultáneamente.

Figura 4. El diagrama de bloques de la placa de evaluación, que puede utilizarse


directamente para el control del motor. la página web de Atmel. El código para nuestros propios
proyectos puede escribirse y compilarse en el lenguaje de
proyectos. La Figura 4 muestra una descripción general del programación C. También podemos descargarnos de la
circuito. Los reguladores internos proporcionan las tensiones página web de la casa Atmel [4] dos ejemplos gratuitos
de + 5 V y + 15 V que requieren el microcontrolador y el de programas internos (firmware) para el microcontrolador
Módulo de Potencia Inteligente. Los circuitos de seguridad AT90PWM3. El código fuente está comentado a fondo y las
construidos en el interior del módulo de la casa Fairchild dos notas de aplicación (también disponible para su des-
pueden monitorizarse utilizando el microcontrolador. Estos carga [4]) facilitan una descripción detallada. En el listado
incluyen un termistor para la supervisión de la temperatura, correspondiente se muestra una pequeña (pero importante)
un detector de cortocircuitos o de sobre corrientes y un mo- parte del código que determina las características de U/f.
nitor para la tensión de alimentación para los controladores La programación en el propio sistema (ISP) hace fácil
de puerta dentro del SPM. Si ocurriera algún fallo, el módulo adaptar el microcontrolador para nuevas aplicaciones.
generaría una señal que se envía al microcontrolador. Ninguno de los programadores recomendados (AVR ISP o
Podemos conectar la placa directamente a un ordena- JTAGICE Mk II) está incorporado en el kit de evaluación.
dor personal a través de una interfaz aislada óptica- (070014-1)
mente. Las tres entradas de los sensores y la interfaz ISP
también están aisladas ópticamente. Enlaces en Internet:
[1] http://en.wikipedia.org/wiki/
Electric_motor#Three-phase_AC_induction_motors
El programa [2] www.atmel.com/dyn/resources/prod_documents/doc4096.pdf
Las herramientas de programa para desarrolladores se
[3] www.atmel.com/dyn/products/
proporcionan con el CD que se entrega con la circuitería.
product_card.asp?part_id=3615
Del mismo modo, las versiones más recientes de dichos
programas se encuentran también se pueden descargar de [4] www.atmel.com/dyn/products/tools_card.asp?tool_id=3901

Características Tensión / Frecuencia (U/f)


por programa
Este listado muestra la implementación de una relación U/f constante, teniendo en cuenta la ampliación de frecuencia y máxi-
ma tensión permitida.
U16 controlVF(U16 wTs) {

U16 amp ;

if (wTs <= OMEGA_TS_MIN ) // frecuencia amplificada


{
amp = (Vf_SLOPE * OMEGA_TS_MIN) / 10; // tensión amplificada
}
else
if ( (wTs > OMEGA_TS_MIN) & (wTs < OMEGA_TS_MAX) )
amp = (Vf_SLOPE * wTs)/10 ; // V/f law
else
amp = (Vf_SLOPE * OMEGA_TS_MAX)/10; // valor relacionado
return amp ;
}

42 07/2007 - elektor, la electrónica que sorprende


TECNOLOGÍA ELECTRÓNICA DE POTENCIA

Módulos de tensión in
Etapas de salida de potencia con controladores
integrados para control de motores
Con una contribución de Ralf Keggenhoff (Fairchild Semiconductor)

El consumo de energía de aplicaciones domésticas y máquinas industriales está determinado en su mayoría


por un gran número de motores (asincrónicos). Los controladores de motores deberían producir pocas
interferencias y tener una alta eficacia para ahorrar energía, y los Módulos de Potencia Inteligentes ayudan a
los desarrolladores a cumplir con estas exigencias. Los módulos incluyen no sólo los semi-puentes necesarios,
sino también una etapa de control que permite una conexión directa a un microcontrolador de 5 V.

Las principales aplicaciones industriales para el control de


motores están en ventiladores, bombas, grúas, cintas
(22) V B(W ) VB
(32) P
transportadoras y automatización en general. En el uso
(21) V C C(W H ) VC C
COM
OUT doméstico podemos encontrar motores en las unidades de
(20) IN (W H ) IN VS (31) W
aire acondicionado, frigoríficos, lavadoras y dispositivos de
(23) V S(W )
extracción (entre otros). En todas estas aplicaciones existe una
(18) V B(V ) VB demanda continua de mejora de la eficiencia, del factor de
(17) V C C(VH )
(16) C O M (H )
VC C
OUT potencia (una corriente de entrada prácticamente senoidal),
COM
(15) IN (VH ) IN VS
(30) V
con compatibilidad electromagnética y muy compacta. Su
(19) V S(V )
fiabilidad también es un criterio muy importante.
(13) V B(U ) VB
(12) V C C(UH ) VC C

(11) IN (U H )
COM
OUT
Requerimientos
IN VS (29) U
(14) V S(U ) Un módulo de control de potencia debe satisfacer muchos
(10) R SC
requerimientos: pequeñas dimensiones, fácil instalación
(9) C SC C (S C ) O U T(W L) durante el ensamblaje, alta fiabilidad, bajas pérdidas de
(8) C FO D C (F O D )
(28) N W
potencia, buena disipación de calor, diseño sencillo y bajo
(7) V FO
(6) C O M (L)
VF O
coste. El requerimiento más significativo en los fabricantes
(5) IN (W L) IN (W L) de módulos de control de potencia es el de adaptar estas
(4) IN (VL) IN (V L)
O U T(V L)
(27) N V
propiedades a las necesidades del mercado, combinando
(3) IN (U L) IN (U L) y seleccionando cuidadosamente componentes individua-
(2) C O M (L) C O M (L)
O U T(U L) les. Un ejemplo de ello es la serie de Módulos de Potencia
(1) V C C(L) VC C
(26) N U
Inteligentes (SPMTM) desarrollados por la casa Fairchild,
(25) R T H
y que combinan muy bien la novedosa tecnología de
T HERM ISTO R
(24) V T H encapsulado y de semiconductores robustos que disipan
Figura 1. Diagrama
070016 - 11 muy poca cantidad de potencia sobrante.
de bloques de un
módulo Mini-Dip.
Potencia conveniente
Un controlador de motor trifásico necesita seis semiconduc-
tores de potencia y el mismo número de etapas de control.
La familia SPM incluye dispositivos que emplean IGBTs así
como dispositivos basados en la tecnología MOSFET para
protección contra cortocircuitos [1]. Una característica de
todos los módulos es que incluyen, no solamente los
componentes de potencia, sino también los controladores,
adaptados de forma óptima a dichos módulos. Esto es
especialmente importante desde el punto de vista de
Figura 2. Un único módulo conseguir unos requerimientos EMC más estrictos.
sustituye estos diez La Figura 1 muestra un diagrama de bloques de ejemplo,
componentes. en este caso se trata de un módulo Mini-DIP

44 07/2007 - elektor, la electrónica que sorprende


teligentes
15V line

5V line
RBS D BS P (32)
(22) V B(W)
VB
(21) V CC(W H)
VCC
RPH O UT
RS C BS C OM
C B SC (20) IN (W H)
G ating W H IN W (31)
VS
(23) V S(W)
C PH

RBS D BS (18) V B(V)


VB
(17) V CC(VH)
VCC
RPH (16) C OM (H) O UT
RS C OM
CBS CBSC (15) IN (VH)
G ating VH IN VS V (30)
C PH
(19) V S(V) M
RBS D BS
C
(13) V B(U)
VB
(12) V CC(UH)
VCC C D CS Vdc
O UT
P
R PH
RS C OM

FSAM10SH60A [2], que implementa seis IGBTs. Para


C BS C B SC (11) IN (UH)
G ating U H IN VS U (29)
(14) V S(U)

U
C PH RSC

conseguir la misma funcionalidad de un modo tradicional,


5V line
(10) R SC
RF
R C SC
(9) C SC
C (SC ) O UT(W L)

necesitaríamos diez componentes: seis IGBTs y cuatro Fault


RS
RPL R PL R PL RPF C SC
C FO D
(8) C FOD

(7) V FO
C (FO D)
VFO
N W (28)
RSW

circuitos integrados controladores (Figura 2). La solución


(6) C OM (L)

RS (5) IN (W L)
G ating W H IN (WL) O UT(VL)
RS

“discreta” (los diez componentes por separado), incrementa


(4) IN (VL)
R SV
G ating VH IN (VL) N V (27)
RS (3) IN (UL)
G ating U H IN (UL)

el tiempo de desarrollo y los costes de fabricación, además


(2) C OM (L)
C B PF C PL CPL C PL CPF C OM(L)
(1) V CC(L) O UT(UL)
VCC RSU

de aumentar las posibilidades de fallo. También es más


N U (26) 5V line

CSP15 CSPC15 V TH (24)

THER M ISTOR

voluminoso y tiene peores propiedades EMC.


R TH (25)

R TH
C S PC 05
C S P0 5

El circuito ejemplo de aplicación que se muestra en la Temp. Monitoring


W-Phase Current
R FW

Figura 3 nos da una idea de lo sencillo que es construir


R FV
V-Phase Current
R FU
U-Phase Current
un controlador de motor asíncrono que utilice un Módulo
C FW C FU

de Potencia Inteligente. Además del microcontrolador


C FV
070016 - 12

(CPU) y del módulo de la Figura 1, tan sólo hay unos


pocos componentes discretos. El módulo utilizado aquí Figura 3. Aplicación típica: control de un motor asincrónico utilizando un Módulo de Potencia Inteligente.
incluye un termistor NTC para monitorizar la temperatura.

a b
La familia SPM
Los miembros de la familia SMP se suministran en los
siguientes encapsulados:
- Módulo Tiny-DIP (DIP en miniatura) (Figura 4a).
- Módulo de Potencia Inteligente en encapsulado SMD
(Figura 4b).
- Módulo mini-DIP (Figura 4c).
- Módulo DIP (Figura 4d). c d

Existen dos versiones diferentes de los módulos mini-DIP y


DIP. La principal diferencia entre ellos está en la conexión
térmica con el radiador. Para los dispositivos de baja
potencia esta conexión se realiza utilizando material
cerámico, mientras que para los dispositivos de potencia
superior se usa un elemento DBC (direct bonded copper,
es decir, enlace de cobre directo). Ambas variantes ofrecen
una tensión de aislamiento especificada de 2.500 V.
Figura 4. a. Módulo DIP diminuto. b. Módulo de Potencia Inteligente en un encapsulado SMD.
c. Módulo mini-DIP. d. Módulo DIP.
Construcción mecánica
La Figura 5 muestra el montaje de las dos variantes de
módulo SPM, cerámico y DBC.
En la versión cerámica, el semiconductor depositado se
enlaza en primer lugar con su plataforma soporte. A
continuación, dicha plataforma se une a la parte cerámica
utilizando un adhesivo conductor del calor. Seguidamente se
añaden los hilos que van a permitir realizar las conexiones
eléctricas. Todo el conjunto ensamblado se introduce en una
caja de plástico, se da forma a los terminales de salida y
terminamos con una prueba eléctrica completa del módulo.
En el caso de los módulos DBC los pasos son similares en Figura 5.
muchos fabricantes. La principal diferencia, comparada con la Montaje utilizando una
versión de base cerámica, es que las conexiones dentro del capa de cobre de enlace
módulo no se realizan a través de una plataforma, sino que en directo (encima) y una
su lugar se utiliza una estructura DBC similar a la de una placa placa cerámica (debajo).
de circuito impreso. La estructura DBC está formada de una Enlances de Internet
capa cerámica con un plano de cobre completo en la cara [1] http://www.fairchildsemi.com/power
inferior (el cual proporcionan la conexión térmica con el [2] http://www.fairchildsemi.com/pf/FS/FSAM10SH60A.html
radiador), y por los conductores impresos en la cara superior.
Los semiconductores de potencia se conectan a esta estructura Disponibilidad
y el resto de las conexiones eléctricas (por ejemplo, la propia Los dispositivos SPM están disponibles en la propia casa
plataforma) hacen uso de un enlace por hilos. De nuevo Fairchild (http://www.fairchildsemi.com) y en sus distribuidores
introducimos el conjunto en un encapsulado de plástico, damos autorizados.
forma a los terminales y efectuamos una prueba eléctrica final. Podemos pedir muestras gratuitas directamente en la página
(070016) web de Internet de Fairchild.

07/2007 - elektor, la electrónica que sorprende 45


TECNOLOGÍA LED-DRIVERS

Potencia para los


Diodos
LEDs
Circuitos de control para los
diodos LEDs de alta potencia
LEDs have started living up to their name in recent years: ‘Advanced Power TopLEDs’
Eberhard Haug from Osram are used as daytime running lights in the new Audi R8 (photo: Audi AG).

Aunque desde los años 60 el acrónimo “LED” quiere decir “light emitting diodoe” (es decir,
“diodo emisor de luz”), los diodos LED sólo han comenzado a emitir cantidades significativas
de luz recientemente. Los diodos LEDs de alta energía necesitan controladores adecuados
para que puedan emitir luz bajo una amplia variedad de condiciones. Aquí presentamos un
amplio resumen de diseños de controladores, desde los más sencillos a los más sofisticados.
No podemos decir demasiado: los diodos LEDs son dispo- alimentados por una fuente de corriente muy estable. La
sitivos controlados esencialmente por corriente. Esto es así combinación de una fuente de tensión y una resistencia
sencillamente porque no tienen un comportamiento lineal de serie, que limita la corriente a través del diodo LED al
la corriente en función de la tensión aplicada, presentando valor deseado o al valor permitido, solamente puede
distintas “esquinas de corte” que dependen del color o de la usarse si la fuente de alimentación es más o menos
tecnología utilizada para conseguir la tensión inversa de tra- constante o se ha requerido una solución barata.
bajo. Para complicar más las cosas, las “esquinas de corte” o En muchos casos un regulador de tensión lineal, con la
tensión umbral también dependen de la temperatura, y varían correspondiente resistencia de carga, puede tratarse como
de unos componentes a otros. La Figura1 muestra las carac- una “buena” fuente de corriente para diodos LED (controlador
terísticas de la corriente medida en función de la tensión de de diodos LED). La Figura 2 muestra un controlador de
tres diodos LEDs blancos de alta potencia (también denomi- diodos LED lineal que es capaz de alimentar tres diodos LEDs
nados diodos LEDs de alta luminosidad o diodos LED HB), de HB con una tensión de alimentación (UB) de 12 V. A diferen-
diversos fabricantes. Sin embargo, estas curvas características cia de los reguladores lineales estándar de tres terminales,
podrían haberse tomado fácilmente con tres muestras distintas como el LM 317T, el MIC 29152 [1] dispone de una entrada
del mismo tipo o a tres temperaturas diferentes. Incluso un suplementaria “Enable” (Activado) que puede emplearse para
pequeño cambio en la tensión provoca un gran cambio en la conmutar al regulador a su estado de encendido o apagado,
corriente del diodo LED (y en consecuencia, en la luminosidad aunque esta entrada también puede usarse para atenuación
del propio diodo), mientras que un pequeño cambio en la de una señal PWM a una frecuencia de varios cientos de
corriente (en el rango normal de funcionamiento) no produce Hercios. La resistencia de “pull-up” R2 sólo es necesaria si la
ningún cambio significativo en la luminosidad del diodo LED. entrada EN está controlada por un conmutador o una señal
de colector abierto. También se puede utilizar una señal lógica
CMOS o TTL para este propósito. En este caso, la resistencia
Controlador lineal de LED R2 puede omitirse o conectarse a la tensión de alimentación
Esto significa que los diodos LEDs tienen que estar de los circuitos lógicos en lugar de a + UB.

46 07/2007 - elektor, la electrónica que sorprende


350

300
El circuito integrado proporciona fácilmente una corriente de
350 mA para tres diodos LED con una tensión de alimenta-

I LED [mA]
250
ción de + 12 V, y no necesita ningún radiador. La corriente Xlamp 7090
por los diodos LEDs está determinada por la relación de U1 y 200 Golden Dragon Figura 1. Características de
R1 (ILED = U1 / R1 = 1,24 V / 3,9 7 = 318 mA). Si corriente en función de la
Luxeon I
queremos una corriente total de 350 mA, podemos conectar 150 tensión de diodos LEDs de
una resistencia de 39 7 (de la serie E12) en paralelo con R1. alta potencia de diferentes
Las pérdidas en el circuito lineal son disipadas sobre la fabricantes. Aunque incluso
100
resistencia sensor de corriente R1 (aproximadamente, 0,5 W) una pequeña cantidad de
y sobre el regulador (LDO) de baja caída de tensión. tensión produce un gran
Debido a la baja caída de tensión (la tensión mínima entre 50 cambio en la corriente del
la entrada y la salida del regulador LDO necesaria para diodo LED y, por lo tanto,
un funcionamiento adecuado a una corriente específica), 0 en la intensidad del diodo
sólo puede operarse con un único LED HB a través de una 0 1 2 3 4 LED, un pequeño cambio
U LED [V] 070013 - 13 en la corriente no tiene
tensión de alimentación (+ UB) de + 5 V. En el otro extremo
apenas efecto.
de posibilidades, con este circuito pueden controlarse
hasta un total de siete diodos LEDs si la tensión de
alimentación + UB se aumenta hasta el valor máximo +12V
2 4
permitido de 26 V (al mismo tiempo que se aumenta IN OUT
LED1
también la tensión soportada por los condensadores). +U B
R2
IC1

350mA
MIC29152

100k
LED2
Eficiencia 1
EN ADJ
5 Figura 2. Controlador
EN GND
lineal de diodos LED para
El requisito previo básico para utilizar un controlador lineal LED3
alimentar a tres diodos LEDs
3
de diodos LEDs es que la tensión de alimentación sea mayor de alta potencia a partir de
que la tensión inversa del diodo LED. El producto de la una tensión de alimentación
diferencia de tensión y de la corriente que pasa a través del C2
+U1
1V24
R1
C1 de 12 V (tensión inversa

3 9
diodo LED da el valor aproximado de la potencia disipada máxima total de 10,5 V). La
0W5
con un controlador LED lineal (ignorando las pérdidas en el GND entrada “Enable” puede
25V 25V
circuito integrado controlador y la de otros componentes usarse incluso para visualizar
conectados en paralelo, pero incluyendo las pérdidas en la 070013 - 14 una señal PWM a unos pocos
resistencia sensora de corriente, ya que está conectada en cientos de Hercios.
serie con el diodo LED). De aquí se puede derivar fácilmente
una sencilla fórmula para la eficiencia: H = ULED / UB. Esto que puede limitarse prácticamente a cualquier valor que se
significa que una tensión de alimentación relativamente desee, mientras que por otro lado, el diodo LED HB puede
elevada nos proporciona una eficiencia baja. estar conectado a la línea de alimentación positiva, lo que
Sin embargo, es posible alcanzar una mejor eficiencia que un significa que, si fuese necesario, podrían usarse diodos
controlador LED en modo conmutado más sofisticado si la LEDs RGB de ánodo común.
diferencia de tensión es bastante pequeña. Para ello, es Otra diferencia es que aquí el diodo LED está conectado al
posible que se requiera un regulador LDO que no necesite terminal drenador del transistor MOSFET. Éste proporciona
mucho margen de tensión para controlar la corriente del diodo suficiente tensión puerta-fuente para un transistor MOSFET
LED (la caída de tensión entre la salida y la entrada debe estar de canal N. La tensión sensora de este diodo LED es tan
por lo general por debajo de 1 V) y la caída de tensión más sólo del 0,5 V en este circuito. Por lo tanto, la corriente del
baja posible (alrededor de 0,5 V y hasta menos de 0,1 V) en diodo LED viene dada por la fórmula ILED1 = 0,5 V /R1. Es
los extremos de la resistencia sensora de corriente, que posible que el transistor MOSFET requiera un radiador,
normalmente ésta presente en un circuito de este tipo. dependiendo del diodo LED que se esté utilizando.
Como regla general podemos decir que un controlador lineal Este ejemplo también nos indica cómo puede implementarse
siempre es una opción atractiva si el margen de tensión un controlador de diodos LEDs de tres canales (por ejemplo,
(diferencia de tensión entre la entrada y salida del LDO) es para un diodo LED RGB de alta potencia). Por sencillez, la
menor del 10%, ya que su eficiencia será del mismo orden circuitería del segundo y del tercer canal que utilizan dos
que la de un controlador LED en modo conmutado, pero con controladores LDO adicionales no se muestra en dicha
un esfuerzo bastante menos significativo, menor coste y, figura. Las corrientes sobre el diodo LED se pueden
posiblemente, unas prestaciones y características mejores. seleccionar de forma individual utilizando las resistencias
Otro aspecto que debemos considerar es que el controlador R1, R2 y R3, las cuales pueden emplearse con un diodo LED
LED lineal no produce ninguna interferencia eléctrica o RGB para obtener el mejor balance de blanco.
electromagnética (siempre y cuando no esté utilizando una De nuevo es posible trabajar con una señal de atenua-
señal PWM de atenuación). ción PWM (o ajuste del color en el caso de un diodo LED
RGB) utilizando las entradas “Enable”, y nuevamente la
resistencia de “pull-up” R50 puede omitirse si la entrada
Controlador de diodo LED EN1 está controlada por una señal de nivel lógico. Este
El circuito que se muestra en la Figura 3 es similar al de la controlador de diodos LED no necesita ningún condensa-
Figura 2. El circuito integrado MIC 5190 es un controlador dor en el circuito de salida, por lo que se pueden utilizar
LDO que no proporciona la corriente de salida directamen- frecuencias de atenuación PWM relativamente elevadas,
te, sino que controla el diodo LED a través de un transistor gracias a su corto tiempo de respuesta.
MOSFET de potencia (T1). Esto lo convierte en un controla- El circuito que se muestra en la Figura 3 puede usarse para
dor LED. Por otro lado, esto significa que la corriente sobre alimentar un diodo LED por canal en un sistema de + 5 V. Si
el diodo LED puede tener casi el valor que se desee, con deseamos conectar varios diodos LEDs en serie (en cuyo
una caída de tensión en los extremos del transistor MOSFET caso, naturalmente, ya no es posible utilizar diodos LEDs

07/2007 - elektor, la electrónica que sorprende 47


TECNOLOGÍA LED-DRIVERS

+5V

LED1 LED2 LED3


+U B una resistencia sensora de corriente (RS) en combinación con
R5
1 4 7 10 ret green blue la tensión de referencia UREF (típicamente de 1,2 V) para
100k

T1 T2 T3 generar la corriente de diodo LED deseada. Así pues, la


VIN

VCC1

IS
VCC2
OUT
8 corriente por el diodo LED viene determinada por la fórmula:
EN1
6 IC1
EN
MIC5190 ILED = UREF / RS
2 FB1 FB2 FB3
FB
COMP

SGND
PGND

0V5 0V5 0V5


La desventaja de esta configuración está en la tensión de
5 3 9 referencia, que es relativamente elevada y que degrada
R4 R1 R2 R3 la eficiencia global, a pesar del uso de una fuente de
corriente conmutada. Esto puede remediarse amplifican-
12k

do de forma significativa una pequeña tensión sensora


C2 C2
de corriente al nivel de la tensión de referencia disponi-
GND 10n ble o comenzando con una pequeña tensión de referen-
16V
cia. Como cada día hay más convertidores de tensión
070013 - 15 descendentes para tensiones de salida por debajo de 1
V, nos resultará relativamente fácil encontrar el candidato
Figura 3. El circuito adecuado entre los modernos circuitos integrados.
integrado utilizado aquí RGB de ánodo común), podemos incrementar sencillamente El controlador de diodo LED HB (MIC 4628), especial-
es un controlador LDO que la tensión de alimentación a un nivel adecuado para mente sencillo y diminuto (Figura 4), puede alimentar
no suministra la corriente conectar el ánodo más positivo a la línea de alimentación. hasta tres diodos LEDs HB en serie con una tensión de
de salida de forma Si no disponemos de transistores MOSFET adecuados para alimentación de 24 V (disponible fácilmente en sistemas
directa, sino que controla trabajar con estos niveles lógicos, necesitaremos una tensión industriales). La entrada “Enable” puede controlarse por
el diodo LED a través de de alimentación independiente para VCC2 (ver las hojas de una señal de 24 V o por un contacto, si fuese necesario,
un transistor MOSFET de características del circuito integrado MIC 5190 [2]). pero también por una señal lógica. En este caso, la
potencia. resistencia R5 puede omitirse o conectarse a la tensión de
alimentación lógica en lugar de a + UB.
Controlador de diodo LED en modo conmutado El valor del condensador C1 debe seleccionarse de acuerdo
Existen dos condiciones bajo las cuales es prácticamente con la señal de atenuación PWM que vaya a usarse.
obligatorio utilizar un controlador de diodos LEDs en modo Sugerimos utilizar un valor de 220 μF para producir el menor
conmutado. La primera condición es cuando hay una gran rizado de corriente posible sobre el diodo LED si no se utiliza
diferencia entre la tensión de alimentación y la tensión
inversa neta del diodo LED(s), combinada con una alta
corriente sobre dicho diodo LED. La segunda condición es
cuando la tensión inversa total del diodo LED es mayor que la
tensión de alimentación disponible. El primer caso implica un
controlador de diodo LED con “conversor descendente de
tensión”, mientras que el segundo caso implica un controla-
dor de diodo LED con “conversor ascendente de tensión”
(todo parece lógico, ¿no?). Sin embargo, también son
posibles soluciones más complicadas.
A diferencia de un conversor descendente de tensión, un
controlador de diodo LED con conversor descendente de
tensión es una fuente de corriente en modo conmutado en
lugar de una fuente de tensión en modo conmutado. De la
misma manera que puede emplearse un regulador de tensión
lineal para crear un controlador lineal de diodos LED, una
fuente de tensión en modo conmutado puede convertirse en
una fuente de corriente de manera relativamente fácil, usando

dicha atenuación. Si la señal de atenuación PWM es


necesaria, se utilizará un valor de 10 μF para el condensador
+24V * see text
5 8
L1
C1 (electrolítico de tántalo o de aluminio), de manera que
IN SW
+U B
R3
LED3
pueda descargarse más rápidamente. El circuito permanece
IC1
R5
* estable a pesar del valor más pequeño de C1, aunque la
10k

MIC4682
100k

corriente de rizado (medida con una relación de señal de


4 1
SHDN FB atenuación PWM del 100%) es algo más grande.
GND
GND
GND

R2
EN ISET Con los valores de los componentes dados, el divisor de
700mA
10M

C1
3 2 6 7
* tensión a la salida (formado por R3/R4), limita la tensión
40V
de salida a, aproximadamente, 14 V, si la tira de diodos
C2 C3
R1
D1
R4
LED1 LEDs está abierta (¡cuidado con la conexión de los
*
1k
22k

diodos LEDs si el circuito ya está alimentado!). La


GND
40V
100n
SB340 resistencia R1 selecciona la corriente del diodo LED. Su
valor se ha tomado de un esquema eléctrico de las hojas
070013 - 16
de características del circuito integrado MIC 5682 [3].
Un valor de 22 k7 para la resistencia R1 nos proporcio-
Figura 4. Este sencillo controlador LED con conversor de tensión puede alimentar hasta na una corriente de LED de, aproximadamente, 700 mA.
un total de tres diodos LEDs de alta potencia conectados en serie, cuando se utiliza con Un criterio para seleccionar el controlador LED en modo
una tensión de alimentación de 24 V.

48 07/2007 - elektor, la electrónica que sorprende


Figura 5. Los módulos LED
Acriche de la casa Seul
Semiconductor pueden
operarse directamente
de la tensión de red
conmutado adecuado es el de su frecuencia de conmutación LEDs en serie o AC sin necesidad de un
(el rango disponible va desde una docena de hercios a varios más, lo cual nos transformador. Éste es un
megahercios). Este componente determina esencialmente el lleva a una tensión modelo de 2 W de un único
tamaño de la bobina y afecta de manera inversa al nivel de inversa total de dio- diodo LED. El fabricante
rizado de corriente LED que se puede conseguir. Sin embar- do LED resultante ha previsto amplificar la
go, las pérdidas dinámicas pueden incrementarse fuertemente de más de 30 V. intensidad de luz desde los
con las altas frecuencias, dependiendo del diseño, con lo que Un controlador de diodo LED con conversor de tensión actuales, con el 48 lumen/
se disminuye la eficiencia que se puede obtener del circuito. ascendente de este tipo, que utilice un controlador amplifi- W, hasta los 80 lumen/W
cador MIC 2196 [5], con un transistor MOSFET de canal en el último trimestre de
N, puede controlar fácilmente un circuito integrado de seis 2007 y hasta 120 lumen/W
Diodos LEDs con tensión de red diodos LEDs Ostar, con una relación de potencia de 24 W. durante el año 2008.
Un caso extremo de controlador LED con un conversor des- En este caso, tenemos que tener en mente que con este tipo
cendente de tensión consiste en alimentar los diodos LEDs de tecnología de amplificación la tensión de entrada debe
directamente de la tensión de red. En este caso el objetivo estar por debajo de la tensión LED inversa. Este circuito tan
es obtener una corriente de LED relativamente elevada, versátil se describe en detalle en la documentación de una
normalmente con una tensión de LED muy baja a partir de placa de evaluación disponible en la casa Micrel [6].
una tensión muy elevada y muy variable. El primer reto En este caso el reto para el diseñador no es tanto la relación
aquí es el extremadamente bajo ciclo de trabajo PWM de tensión, sino más bien la cantidad de potencia que debe
que se obtiene de la relación de la tensión inversa del dio- suministrarse a los diodos LED, ya que, especialmente con
do LED y de la tensión de alimentación que se está utilizan- una tensión de batería relativamente baja, la corriente de pico
do (normalmente la tensión de red AC rectificada). requerida en el transistor MOSFET cambia y la bobina del
Con un único diodo LED blanco y una tensión de red de 230 convertidor debe aumentar en el grado correspondiente. Por
V, más un 15% de sobre tensión permitido, el ciclo de trabajo esta razón, este tipo de convertidores necesitan normalmente
en el peor de los casos sería de, aproximadamente, el 1%. El una tensión de alimentación mínima de más de 2 V, de mane-
número de circuitos integrados conversores adecuados que ra que puedan continuar proporcionando un funcionamiento
pueden cumplir con estos requerimientos es bastante pequeño. satisfactorio con dos baterías prácticamente descargadas.
Un ejemplo de una posible implementación de un con- Aunque los controladores de diodos LEDs con conversores
trolador de diodos LEDs con conversor descendente de descendentes de tensión para diodos LEDs de alta potencia,
tensión, para trabajar con tensión de red AC, ya ha sido que puedan trabajar con una única célula (con tensión nomi-
publicado en Elektor Electronics bajo el título “HV990 nal comprendida entre 1,2 V y 1,5 V), son técnicamente reali-
– un nuevo controlador LED” [4]. zables, no lo son desde el punto de vista económico.

Fabricantes
Fabricantes de controladores de diodos LED
(lista no necesariamente completa)

www.allegromicro.com www.monolithicpower.com
www.analog.com www.national.com
www.austriamicrosystems.com www.nxp.com
www.catsemi.com/ www.onsemi.com
www.fairchildsemi.com www.ricoh.com/LSI/
www.infineon.com www.rohm.com
www.intersil.com www.semtech.com
www.ixys.com www.sipex.com
www.linear.com www.st.com
www.maxim-ic.com www.supertex.com
www.melexis.com www.ti.com
www.micrel.com www.zetex.com
www.microchip.com
Se ha proporcionado una configuración completamente
nueva para diodos LEDs que pueden trabajar directamente
/ 3W

con tensiones de red AC sin un controlador. En cualquier D1


caso, los módulos LED Acriche presentados por la casa
dinamo de bicicleta de 6V

Seoul Semiconductor en la Feria Electrónica 2006 (Figura SB320 LED3


5), nos llamaron realmente la atención. Otras pocas compa- luz trasera con disco de dispersión
C1 (alternativamente en carril negativo)
ñías, como Lynk Labs, también están activas en esta área. LED rojo
de 1 W

16V
Controlador de diodo LED LED2

con conversor ascendente de tensión luz delantera con óptica de 10º La marcha triunfal de los
El otro extremo del espectro de tensiones de alimentación 2
LED rojos diodos LEDs de alta potencia
C2
lo encontramos con tensiones de alimentación muy bajas de 1 W
es inminente. Éste es el
LED1
que, normalmente, vienen proporcionadas por baterías. En circuito sugerido por el autor
16V
estos casos es esencial un controlador de LED con conver- para controlar de forma
D2
sor ascendente de tensión (también denominado regula- dinámica un sistema de
dor amplificador). El número de diodos LEDs que pueden SB320 iluminación por LEDs
070013 - 28
controlarse varía, pudiendo alcanzar hasta los diez diodos para bicicletas [7].

07/2007 - elektor, la electrónica que sorprende 49


TECNOLOGÍA LED-DRIVERS

UIN UOUT
10V...16V L1 D1 17V...30V

VDD 40V
R2 R1 5A LED1

1k5

10k
C9 R11
T1 LED2

27k
5 6 7 8
5
BC846 T2 C1 C2 LED3
VDD
8 7 4
VIN OUTN
35V D3
IC1 R4
3 4 1 2 3 LED4
EN/UVLO CS 100
MIC2196 Si4850
R16 33V
1 2
COMP FB
LED5
1k96

C3 C1 C6 GND C5
D2
6
1n 1n
R17 R6 R27 R14 R8 R9 R15 R3 LED6
Figura 6. Esquema eléctrico 7V5 C7 C8
10k

1k8

15k

43k

2k7
de un controlador de

10m
220

5
diodos LED con conversor. GND 100p
R10 RTN
0 33
El diodo zéner D3
LM4041CY1M3-ADJ 0W5
proporciona protección
070013 - 27
contra circuitos abiertos ANALOG_DIM
(ver texto).

Otra solución inteligente con los controladores con conversor están necesariamente en situaciones donde la tensión de
de tensión ascendente es la atenuación con una señal PWM. batería es mayor que la tensión inversa del diodo LED,
Se pueden escribir ensayos completos sobre las ventajas y cuando la batería está totalmente cargada, pero dicha
desventajas de la atenuación con la señal PWM, de manera tensión cae por debajo de la tensión inversa del diodo LED
que aquí tan sólo nos vamos a limitar a señalar que si que- durante el funcionamiento. Los controladores LED de este
remos disponer de un amplio rango de atenuación (desde el tipo están basados normalmente en topologías Sepic, CUK,
0% al 100% si fuese posible), necesitamos un controlador de “buck/boost” o topologías “buck/boost” invertidas.
LED con conversor ascendente de tensión con una frecuencia Estas topologías de controladores LED se utilizan también
de conmutación relativamente elevada y una constante de cuando la tensión de alimentación es fija (como sucede en
tiempo de control de lazo relativamente pequeña. un coche), pero el número de diodos LEDs puede variar. En
Una de las situaciones críticas con las que nos podemos esta situación se puede utilizar una solución combinada de
encontrar con un conversor ascendente de tensión es el de conversor ascendente/descendente como controlador LED
su funcionamiento con un circuito de carga abierta. Un fallo versátil, aunque complicado, de “propósito general”.
en un diodo LED normalmente produce un circuito abierto y, Otro tipo de circuito conversor ascendente es el controlador
en muy pocas ocasiones, un cortocircuito. Existen varias so- LED de carga, el cual está basado en un condensador en
luciones posibles para proporcionar una protección contra lugar de las bobinas utilizadas en los modelos de controla-
circuito abierto. La solución más sencilla es la de un diodo dores LED de modo conmutado previamente descritos. De
zéner con una tensión de trabajo mayor que la máxima forma simplificada, una bomba de carga que utiliza
tensión inversa total del diodo LED. La desventaja de esta conmutadores con transistores MOSFET trabaja en una
solución es que el diodo zéner debe poder trabajar con la configuración de conmutación adecuada para generar una
corriente LED en caso de un circuito abierto y la disipación tensión de salida, “acumulando” las cargas almacenadas
de potencia resultante (UZ x ILED) es siempre mayor que la en los condensadores. Normalmente, de esta forma sólo es
disipación de potencia total sobre el diodo LED. Una solu- posible conseguir un múltiplo de la tensión de entrada, la
ción mucho más elegante es la de utilizar un limitador de cual es la tensión de realimentación principal de este circuito
tensión, de forma similar a como se utiliza un regulador de compacto. En la mayoría de los casos, la tensión inversa del
tensión, aunque este último normalmente precisa un terminal diodo LED no es un múltiplo exacto de la tensión de entrada,
de entrada suplementaria en el circuito integrado. por lo que la bomba de carga está normalmente seguida
Como alternativa, el diodo zéner puede conectarse directa- por un controlador LED lineal que regula la corriente. Esto
mente a la entrada de realimentación sensora de corriente, significa que la eficiencia depende de forma indirecta de la
mientras que la tensión sensora de corriente puede proporcio- tensión de entrada, pero esto es algo relativamente bueno si
narse mediante una resistencia que, normalmente, no aporta la tensión inversa del diodo LED está justo por debajo de un
ninguna corriente. En este caso se puede simular una situación múltiplo entero de la tensión de entrada. Los controladores
en la que se excedan los valores de funcionamiento del lazo de diodos LED de bomba de carga modernos pueden
de control, si la cadena de diodos LEDs se abre. Esto evita la incluso ajustar el factor de multiplicación de manera
disipación de potencia de salida, innecesaria en casos de una automática, algo que se aprecia fácilmente en sus curvas
condición de funcionamiento en circuito abierto, y elimina la características de eficiencia en forma de pasos.
necesidad de un terminal adicional. Este truco ha sido incorpo- (070013-I)
rado en el esquema eléctrico que se muestra en la Figura 6,
el cual es un controlador de diodos LED con conversor ascen- Enlaces en Internet
dente de tensión basado en un circuito integrado MIC 2196. [1] www.micrel.com/_PDF/mic29150.pdf
[2] www.micrel.com/_PDF/mic5190.pdf
[3] www.micrel.com/_PDF/mic4682.pdf
Funcionamiento en modo mixto
[4] Elektor Electronics, January 2004
Además de los controladores de diodos LEDs con converso-
[5] www.micrel.com/_PDF/mic2196.pdf
res de tensión ascendente y descendente descritos anterior-
mente, existen implementaciones donde se soporta un modo [6] www.micrel.com/_PDF/Eval-Board/mic2196_led_eb.pdf
mixto de funcionamiento. Los controladores LED de este tipo [7] www.led-treiber.de

50 07/2007 - elektor, la electrónica que sorprende


SOBRE EL TERRENO CONCURSO DE DISEÑO R8C

Maestro de la velocidad
El circuito ganador en 3D
Markus Simon

Éste es el circuito votado


como el ganador del Concurso
Internacional de Diseños con
el Microcontrolador R8C para
los lectores de Elektor: un
acelerómetro 3D inteligente que
no solamente mide la aceleración
en los tres ejes espaciales,
sino que también calcula la
distancia total recorrida. ¡Y, como
prometimos, en una placa de
circuito impreso ya ensamblada!
Figura 1. Placa hija del R8C/13 descrita en la entrega de Abril de 2006.

Todo comenzó en febrero del 2006 con Desde el concepto… desde un punto de inicio determinado.
nuestra oferta especial del kit de ini- Hace diez años, en sus vacaciones de Esto parecía bastante complicado, sin
cio “Tom Thumb” R8C: un procesador esquí, Markus Simon (el autor y gana- embargo, los pilotos ya estaban acos-
R8C/13 de un precio muy bajo que va dor del concurso) se preguntaba (como tumbrados a usar acelerómetros, ade-
montado sobre una placa a la que po- haría cualquier ingeniero que se precie) más del GPS, para la navegación.
demos soldar dos conectores tipo “hea- cuál sería la mejor manera de medir su El dispositivo también debería poder
ders” SIL (veáse Figura 1). La respuesta velocidad en la pendiente. Rápidamen- usarse en coches para medir la ace-
de nuestros lectores nos mostró que este te se dio cuenta que los sensores de leración y la efectividad de los frenos,
diminuto microcontrolador de 16 bits ha- aceleración adecuados eran demasia- además de la velocidad instantánea y
bía inspirado a mucha gente a desarrollar do caros y que los pequeños microcon- la distancia recorrida. Otra aplicación
sus propios proyectos. Como resultado de troladores no eran lo suficientemente podría ser la de medir lo suave que se
ello, anunciamos en nuestra entrega de potentes. Diez años después ya tene- controla un ascensor o lo excitante que
julio del 2006 de Elektor nuestro Concurso mos en el mercado el sensor económico es montar en los cacharros del parque
Internacional de Diseños con el procesa- MMA 7260Q de la casa Feescale, así de atracciones. También podemos esti-
dor R8C. Ante la gran respuesta, se creó como la placa R8C de Elektor. Cuando mar cuántos caballos podría necesitar
un jurado experto para determinar cuáles se enteró de nuestro concurso, Makus un coche para proporcionar una fuerza
eran los ganadores y el resultado se pu- volvió de nuevo sobre su idea con re- “g” comparable a la que se experimen-
blicó en la entrega de enero del 2007 (con novado ímpetu. ta cuando despega un avión.
la excepción del primer premio, sobre el Lo primero era planificar el tipo de El particular encanto de este proyecto
que preguntamos a nuestros lectores para funciones que el diseño completo po- es la pequeña cantidad de componen-
ayudarnos a decidir). Una parte esencial día ofrecer. El autor imaginó un dispo- tes que se requiere: tan sólo un sensor,
de este primer premio es que llevaríamos sitivo que podía calcular la velocidad a la placa R8C y una pantalla LCD. Y, al
el diseño a la línea de producción. partir de la información de aceleración igual que todo proyecto basado en un
Ahora nuestros lectores han alcanzado de dos (o tres) dimensiones y, a partir microcontrolador, el programa ligado a
ya su veredicto. de aquí, calcular la distancia recorrida este mundo real.

52 07/2007 - elektor, la electrónica que sorprende


Acerca del autor:
Markus Simon estudió Inge-
niería Electrónica en la Univer-
sidad de Ciencias Aplicadas
de Koblenz, especializándose
en la instrumentación y la tec-
nología de control de proce-
sos. Desde su graduación en
1996 ha estado trabajando
en desarrollos de programas
para sistemas embebidos. En
su tiempo libre trabaja con
electrónica digital.

…a través de la placa de circuito impreso (PCI)…


El circuito está formado por el módulo R8C, un sensor de
aceleración en los tres ejes y un módulo LCD de tres lí-
neas. Dos de las líneas del visualizador pueden emplear-
se juntas para producir caracteres más grandes que se
lean fácilmente. Además de estos componentes, también
hay tres botones para permitir operar la unidad, alguna
electrónica sencilla para la tensión de alimentación, y
unos cuantos condensadores y resistencias.
Tan sólo ha sido necesario realizar unos pequeños cambios
sobre el diseño prototipo para que la placa de circuito im-
preso pase a la fase de producción. La Figura 2 nos pre-
senta una vista frontal y trasera de la placa con los compo-
nentes ya montados. Aquellos que sean más experimenta-
dos pueden, por supuesto, ensamblar la unidad “Maestro
de la Velocidad” por sí mismos a partir de componentes Figura 2: Vista frontal y trasera de la placa de circuito impreso ya montada del “Maestro de la Velocidad”.
individuales.
Astucia: con el proyecto acelerómetro de Elektor (“Fuerza
‘g’ sobre diodos LEDs”, de mayo de 2007), el conjunto de
placa de circuito impreso más componentes (con código de
pedido 060297-71) se suministraba con una placa adicional
y dos dispositivos MMA 7260Q totalmente gratuitos.
Una solución más sencilla consiste en utilizar la placa de
circuito impreso ya montada de Elektor. Esto evita tener
que trabajar con componentes SMD y tener que buscar
un suministrador para la pantalla LCD y el sensor, los
cuales ya están montados en dicha placa. Todo lo que
nos queda por hacer es descargar el programa sobre la
placa hija R8C/13 y, a continuación, montar ésta en la
placa principal. Ponemos todo el conjunto dentro de una
caja adecuada y el trabajo queda terminado.
La Figura 3 muestra el esquema eléctrico del circuito
“Maestro de la velocidad”. La unidad es operada utilizando
tres botones. La línea inferior de la pantalla muestra la fun-
ción de estos botones (bien con símbolos o bien como texto)
para simplificar su operación. Todas las configuraciones son
almacenadas en la memoria flash interna del R8C e incluso
se mantienen cuando el dispositivo es reiniciado.
El sensor de aceleración MMA 7260Q es un dispositivo
capacitivo en los tres ejes, cuyo rango puede conmutarse
entre los valores de 1,5 g, 2 g, 4 g y 6 g (aunque nosotros
no recomendamos a nuestros lectores que experimenten
con aceleraciones de 6 g por sí mismos).
La alimentación se proporciona a través de cuatro pilas de
tipo AA, recargables si se desea. El circuito integrado IC2
es un regulador de 3,3 V que puede trabajar con tensiones
de entrada bastante elevadas y, por ello, es posible hacer
trabajar la unidad a partir de la tensión de alimentación de
12 V del coche sin mayores problemas. El diodo D1 propor-
ciona la protección contra inversiones de polaridad.

07/2007 - elektor, la electrónica que sorprende 53


SOBRE EL TERRENO CONCURSO DE DISEÑO R8C

El conector K1 lleva fuera del microcontro-


+3V3 +3V3 lador R8C las líneas de reserva del puer-
to P14 a P17. Estas líneas pueden usarse
C2 C1 para conectar una tarjeta SD en modo SPI
+3V3
100n 100n y poder así grabar las lecturas del sen-
7 19 IC1 3
sor. Para ello necesitaremos el programa
K1
11
VCC VREF
27 12 15
correspondiente. Los fundamentos para
P14 P14 P04 SLP-MD XOUT
P15
10
P15 P03
29 1
G-SEL1 YOUT
14 esta modificación se han dejado en el có-
9 30 2 13
P16
8
P16 P02 G-SEL2 ZOUT
R1 R2 R3
digo fuente, pero también han sido co-
P17 P17 MOD1
mentados en un apartado adicional.

1k

1k

1k
32
P00 P00 4
MMA7260QT
GND
24
La pantalla de visualización incluye un
VCC AN0
P37
1
P37 AN1
25 conversor de elevación de tensión para
28 26
MODE
3
MODE AN2
generar, junto con los condensadores
RESET RESET C4 C5 C6
R8C/13 C8 y C9, la tensión más elevada que se
C3 4 R4
XOUT C9 100n 100n 100n
requiere internamente.
10

6
XOUT 1M Pensando siempre en economizar el con-
+3V3
1 20 24 25 23 40 sumo de potencia, el microcontrolador
PSB
R8C está controlado por una señal de reloj
VIN
A1+LED
A2+LED

VOUT

RESET
23 16 39 26
IVCC P45 RS VDD
15 37
2
CNVSS
P10
P11
14 36
R/W
D0
35 de 10 MHz (dividida por dos por la señal
E 34
P30
22 31
D4
LCD1 D1
33 “control de reloj del sistema”). En funcio-
13 20 30 LC DISPLAY D2
12
P12 P31
18 29
D5
D3
32
C7 namiento, con la luz del fondo de la panta-
C1+LED
C2+LED

P13 P32 D6
CAP1P
CAPIN

31 17 28 27 lla LCD apagada, el circuito consume tan


CSB

P01 P33 D7 VSS


100n
S1 S2 S3 VSS
5
AVSS
21
2 19 21 22 38 sólo unos 6 mA y en modo de “sin ten-
C8
T1 sión de alimentación” el consumo es de
R5
4k7 0,5 mA. Para alargar la vida de la batería
BC547 el circuito entra de forma automática en
IC2
TS2950CT-3.3
su modo de “sin tensión de alimentación”
D1 +3V3 60 segundos después de que se ha pulsa-
do el último botón, siempre y cuando no
1N4001
BT1 haya ninguna medida en progreso.
C10 C11 C12
6V
100n 100n

…hasta el programa
070021 - 11
Por supuesto, el código fuente para el
“Maestro de la velocidad” es demasia-
do complejo para describirlo aquí en
Figura 3. Considerando sus capacidades, el circuito del “Maestro de la Velocidad” es realmente sencillo.
detalle (o incluso presentar un listado
completo). Sin embargo, los distintos fi-
cheros fuente escritos en lenguaje C y
los correspondientes ficheros hexadeci-
males, pueden descargarse totalmente
LED gratis de la página web de Elektor [1].
LCD backlight
El programa interno del equipo está di-
vidido en diez módulos cuya interrela-
On / Off
ción se muestra en la Figura 4. Vamos a
Print.c
Formatted
Lcd.c 125ms ver ahora cada módulo por separado.
output Display driver
Io.c
1.5g - 6g, Sleep
50ms
LED
measurement
Speed.c: Este módulo hace llamada a
Menu.c range
power down la función initHW (void) que está en el
Menu control módulo ncrt.a30 (el código de arranque
MMA7260Q
Timer.c ADC AN0
Acc.c NC30). Esta función inicializa el reloj del
MEASURE 1ms X-Y-Z 3-axis
Timebase
SDC-ISR
value capturing accelerometer sistema (usando la función IO_set_clock
1ms AN0 – AN2 device
(X-Y-Z) ()), la configuración de los puertos de en-
PARAMETER SERVICE
ADC Averaged ± 1.5 g / 2g / 6g trada y de salida (usando la función IO_
measurement
AN1 - 2 values ini ()), y los temporizadores del sistema
Math.c (usando la función TimerX_init ()). El tem-
Compute
Key.c 50ms Acceleration porizador de momentos se inicializa para
Flash.c Key driver
Speed
Parameters Distance utilizar una base de tiempos de 1 ms.
Averaged measurement values

Timer.c: Aquí es donde se genera la base


3 control buttons 070021 - 12 de tiempos de 1 ms para el temporizador
de momentos, utilizando el módulo “Timer
X”. La función TIMER_get_Ticks (void) de-
vuelve el contador de momentos del sis-
Figura 4. Diagrama de bloques que nos muestra la funciones e interacciones entre los distintos módulos del programa. tema, proporcionando el tiempo en mili-

54 07/2007 - elektor, la electrónica que sorprende


Fundamentos físicos
La aceleración “a” es la primera derivada de la velocidad v(t) con Así obtenemos la expresión:
respecto al tiempo: A = dv/dt. También es la segunda derivada del
v = a$t
desplazamiento s(t) con respecto al tiempo: a = d2s / dt2.
y:
Por lo tanto, podemos derivar estas cantidades de la aceleración de
la manera siguiente: s = vt + a$t2 / 2
La velocidad es la integral con respecto al tiempo de “a”: para el desplazamiento.
v = °a d
Cuando configuramos el sensor de aceleración a su rango de 1,5 g
le alimentamos con una tensión de 3,3 V, el sensor produce una ten-
El desplazamiento es la integral con respecto al tiempo de la velocidad “v”:
sión de salida exactamente de 1,65 V a 0 g. Con una sensibilidad de
s = °v dt 0,8 V/g su salida es de 2,45 V a +1 g y 0,85 V a –1 g. Utilizando
una resolución de 10 bits para los conversores A/D integrados en el
R8C podemos obtener una medida muy precisa con una baja deri-
Para su implementación en un microcontrolador tenemos que evaluar es- va. También podemos realizar una calibración muy precisa utilizando
tas integrales utilizando pasos de tiempo discretos (sustituyendo dt por $t). la referencia de 1 g proporcionada convenientemente por la Tierra.

segundos desde que el sistema ha sido samiento posterior en el módulo Math.c. que A de la memoria flash interna. Todas
inicializado. La función TIMER_OVER_ms Tanto cuatro como dieciséis son potencias las configuraciones realizadas a través del
(x,y) devuelve un valor de TRUE o FALSE de dos y, por lo tanto, el proceso de pro- menú son almacenadas aquí. Si se realiza
(VERDADERO o FALSO) dependiendo de mediado se puede aprovechar de las rápi- cualquier cambio el bloque completo debe
si se ha agotado el tiempo especificado. das operaciones de desplazamiento. ser borrado y re-escrito con los nuevos va-
El conversor A/D se dispara con cada incre- lores provenientes de sSpeddParam.
mento del temporizador de momentos. Math.c: Esta función realiza el proce-
Gracias a la potencia de cálculo ofrecida so de calibración utilizando la acelera- Key.c: El controlador del teclado se lla-
por el microcontrolador R8C es posible ción de referencia de 1 g de gravedad ma desde el código de control del mis-
leer un valor analógico desde estos tres de la Tierra. En el modo medidas los mo con la función Key_get_ID (). El valor
sensores en cada milisegundo y, al mis- cálculos de la aceleración, velocidad y devuelto es un código que se correspon-
mo tiempo, procesar los resultados. distancia se obtienen cada 4 ms. En la de con la tecla que ha sido pulsada. La
pantalla LCD se muestran los valores tecla correspondiente debe soltarse an-
Acc.c: La rutina del servicio de interrup- medios sobre períodos de 512 ms. tes de que se pueda registrar una nueva
ciones ACC_ADC_ISR (void) captura los pulsación, es decir, no está implementa-
resultados provenientes de los canales Lcd.c: Este controlador de pantalla hace da la función de “autorrepetición”.
AN0 a AN2 del conversor A/D. La con- trabajar a la pantalla LCD en su modo de 4 Print.c: La función sprint_f (char*, long
versión para el canal AN0 (el eje X) se bits. La pantalla se actualiza cíclicamente int, char) realiza la conversión de nú-
inicia desde el módulo Timer.c y, cuando cada 125 ms por medio de la función LC_ meros en tiras de datos formateados
ha sido completada, se inicia la conver- TASK () en el módulo Spedd.c. La informa- para su presentación en pantalla. Esta
sión del canal AN1 (el eje Y). Cuando ción que tiene que ser presentada es leída función escribe directamente en el “bu-
esta conversión ha sido completada, se del “array” global ucLCD_Display [48] y ffer” de pantalla ucLCD_Display [48].
inicia la conversión A del canal N2 (el pasada directamente a la pantalla LC D. La función spintf () de la librería estándar
eje Z). La adquisición y la conversión de del lenguaje C no es adecuada para utili-
estos tres canales tan sólo lleva unos Menu.c: El código de control de menú zarla aquí porque el tamaño de memoria
pocos microsegundos. procesa los botones pulsados y provo- de dicha función es demasiado grande.
Para calibración se proporcionan dieci- ca que los correspondientes textos y
séis medidas con valores medios. En el datos pasen al módulo LCD. Io.c: Las entradas “g-Select” del sen-
modo de medidas, el significado aritmé- sor de aceleración se actualizan cada 50
tico de las lecturas sobre cada eje se toma Flash.c: Este fichero contiene las funciones ms. Al mismo tiempo, el estado de la luz
en grupos de cuatro antes de su proce- para borrar y almacenar los datos en el blo- de fondo de la pantalla LCD se actualiza

Cálculos Utilizando la operación de desplazamiento:


Para producir nuestros resultados necesitamos elegir una base de tiem- liSpeed = tMeasure.liAcceleration << 2
pos regular. En el “Maestro de Velocidad” hemos seleccionado una base
de tiempos de 4 ms, la cual nos permite utilizar las instrucciones de des-
plazamiento del microcontrolador R8C para velocidad. Esto, a su vez, Desplazamiento (cada 512 ms para aceleraciones positivas):
proporciona la ventaja de permitirnos utilizar variables enteras en todos s = 0.5 * a(512 ms)²
nuestros cálculos, lo cual lo lleva de nuevo a incrementar la velocidad. Utilizando la operación de desplazamiento:
La aceleración se calcula cada 4 ms por medios aritméticos de las liWay = tMeasure.liAccelerationAverage << 4
lecturas obtenidas del sensor. De aquí podemos calcular la velocidad
instantánea y el desplazamiento.
Desplazamiento (cada 4 ms para aceleraciones negativas):
Los siguientes cálculos han sido realizados en nuestro fichero fuente Math.c. s = v * 4 ms
Velocidad: Utilizando la operación de desplazamiento:
v = a * 4 ms tMeasure.liDeltaWay += tMeasure.liSpeed << 2

07/2007 - elektor, la electrónica que sorprende 55


SOBRE EL TERRENO CONCURSO DE DISEÑO R8C

Power ON

070021 - 13

Figura 5. Menú del sistema del “Maestro de la Velocidad”.

a partir de la configuración presente en módulo R8C, fijar el módulo R8C, verifi- la gravedad de la Tierra. Un “Maestro
el menú de control. car el circuito y montar todo el conjunto de Velocidad” correctamente calibra-
dentro de una caja adecuada. do y alineado de forma precisa, debería
Aquellos que deseen montar todo el con- presentar un valor de 1 g en el eje axial
Montaje, calibración y funcionamiento junto por sí mismos deben tener en cuenta (vertical) y 0 g en los otros dos ejes.
Como ya se ha mencionado anteriormen- una cosa: antes de montar la pantalla LCD El funcionamiento del dispositivo se ex-
te, recomendamos utilizar la placa de se debe soldar el control de la luz del fon- plica por sí mismo bastante fácilmente.
circuito impreso ya montada. La lista de do a la misma. Para ello, se deben retirar ¡Qué disfruten de su experimento!
componentes tan sólo se ha proporcio- antes las pequeñas tiras protectoras que (070021-1)
nado para beneficiar a aquellos monta- hay en la pantalla LCD y en la luz de fondo
dores más intrépidos para proporcionar (tanto por delante como por detrás).
una completa información. El montaje, La calibración se realiza a partir del
utilizando la placa ya terminada, es muy menú (ver Figura 5). Utilizando un nivel Enlaces en Internet
sencillo: tendremos que soldar la panta- de aire giraremos el “Maestro de Velo- [1] http://www.elektor-electronics.
lla LCD como se ha descrito, programar cidad” de manera que experimente una co.uk/Default.aspx?tabid=110
el programa interno de dispositivo en el aceleración de 1 g en cada eje debida a

Aceleración debida a la gravedad: lo bueno y lo malo


La presencia constante de la gravedad de la Tierra hace que la calibra- realizando una medida. En el ejemplo de cuando se está esquiando,
ción precisa de la unidad sea muy sencilla pero, por desgracia, tiene un la orientación del “Maestro de Velocidad” cambia muy frecuentemen-
efecto negativo sobre las medidas. Este defecto se hace notable parti- te de un modo bastante difícil de reproducir y es muy difícil suprimir el
cularmente cuando el ángulo que el “Maestro de Velocidad” hace con efecto de la gravedad de la Tierra completamente.
el plano horizontal cambia durante la medida o entre dos medidas. El Es posible que algún lector ingenioso encuentre solución a este pro-
efecto es detectable cuando la orientación del “Maestro de Velocidad” blema. Idealmente, podríamos medir la orientación del dispositivo,
es diferente en su posición inicial desde su orientación mientras se está pero no está claro cómo podría realizarse este proceso.

56 07/2007 - elektor, la electrónica que sorprende


C12
C11
C10
IC2
D

BAT
S3

S2

S1
C6 C2

C5

C4
SB1

R8/13
C3
R3
R2
R1

EA_DOG-M LCD1

070021-11
070021-11

C8
C7

C1 C9

R4
IC1

T4

R5
A1

MB15022007 MB15022007
070021-11
070021-11

MB15022007 MB15022007

Condensadores Varios
Lista de C1,C2,C4-C7,C10,C11 = 100nF
C3 = 10μF
K1 = Conector tipo “pinheader” de 10 terminales SIL
S1,S2,S3 = Pulsadores
materiales C8,C9 = 1μF condensador electrolítico de 25 V radial
C12 = 22μF condensador electrolítico de 25 V radial
LCD1 = Pantalla LCD tipo EA DOG-M, de 3 líneas
con iluminación trasera
Zócalo de 32 terminales para MOD1
Semiconductores
Resistencias D1 = 1N4001
R1,R2,R3 = 1k7 T1 = BC547C PCI ya ensamblada y probada
R4 = 107 IC1 = MMA7260QT (de la casa Freescale) (excepto MOD1 y K1), con código
R5 = 4k77 IC2 = TS2950CT de 3,3 V de pedido 070021-91
MOD1 = R8C/13 (montado en la placa)

07/2007 - elektor, la electrónica que sorprende 57


INFORMÁTICA Y MERCADO NOTICIAS

Añada más displays a su aplicación: conversor de monitores VGA PCI a USB 2.0
El NET 2282 está optimizado una media de cuatro puertos adaptadores USB 2.0. encontrados en un PC.
para migrar fácilmente de USB. Por lo tanto, un adaptador El nuevo dispositivo, en lugar de PLX y Anatronic ofrecen
tarjetas gráficas VGA basadas VGA USB 2.0 se convierte en ser una CPU que configura el RemotePCI (RPCI para Windows
en PCI a controladores USB 2.0 una magnífica solución para controlador VGA, actúa como PC) para migrar el driver PCI
Hi-Speed y así poder añadir incorporar múltiples displays. host PCI y conforma el chip VGA. existente a un driver USB.
múltiples displays a cualquier Por este motivo, PLX Technology La CPU 8051 del NET 2282 RemotePCI es una librería de
aplicación. Inc., empresa representada en funciona como el procesador driver modo kernel Windows
La disponibilidad de varios que “media” entre un driver PCI
displays es válida y conveniente adaptado y un stack driver USB
para todo tipo de consumidores, de Microsoft (MS-USBD).
desde corredores de bolsa a RPCI minimiza el trabaja de
jugadores. Para los profesionales, software necesario y maximiza
la existencia de espacio adicional el rendimiento para poder
en su mesa de trabajo facilita trabajar con elevado ancho de
la realización de las tareas banda de datos de vídeo para
y aumenta la productividad, migrar de VGA a adaptadores
mientras que para los aficionados USB 2.0 Hi-Speed.
a los juegos, los displays ‘extra’
mejoran la experiencia y el Para más información:
entretenimiento. ANATRONIC, S.A.
Muchos PC están limitados a España por Anatronic, S.A., principal, mientras que su RAM Tel: 913660159
una conexión VGA, aunque ha desarrollado el controlador compartida emula la memoria Fax: 913655095
algunas tarjetas gráficas higher- de periféricos PCI a USB 2.0 de sistema. También incluye e-mail: info@anatronic.com
end pueden añadir un puerto Hi-Speed NET 2282 que reloj PCI, función reset y pines web: http://www.anatronic.com
más (VGA o DVI). Sin embargo, facilita la migración de tarjetas de interrupción y arbitraje para
los PC actuales suelen tener gráficas VGA basadas en PCI a compensar aquellos componentes (0707PL13)

Nuevos convertidores DC/DC con salida de 450 W en encapsulado half-bridge


tán especialmente indicados para filtrado. Las características se com-
aplicaciones en el sector de las pletan con la posibilidad de ajus-
telecomunicaciones, incluyendo tar la tensión de salida para ade-
alimentación para amplificadores cuarse a cualquier requerimiento y
de potencia en estaciones base control on / off remoto.
de telefonía celular. Todas las unidades de la gama
Diseñados para operar con entra- PAH de Lambda incorporan pro-
das nominales de 48 V y salidas tecciones de sobrecarga de co-
de 28 o 48 V, los convertidores rriente constante con ‘auto-recu-
PAH450 se caracterizan por la peración’ y ante sobrevoltaje con
ventilación de la placa base y reinicio automático.
pueden desarrollar la máxima po- Estos convertidores PAH, que
tencia de salida en todo el rango han sido diseñado para su mon-
de temperatura operativa de -40 taje through-hole, cumplen con
a +110 °C. Un heatsink opcional los requerimientos del estándar
se encuentra disponible para me- EN60950-1 y sus equivalente in-
jorar aún más la gestión térmica ternacionales. Todos los modelos
Lambda responde a la deman- tándar. En muchas aplicaciones, en determinadas aplicaciones. están respaldados por dos años
dan de soluciones de potencia estas unidades compactas pueden Al igual que los dispositivos de de garantía.
más pequeñas y económicas reemplazar a modelos full-brick, 350 W de la gama PAH, los nue-
con la introducción de la nueva logrando un ahorro sustancial de vos modelos destacan por su ele- Para más información:
gama de convertidores DC/DC espacio y coste. vada eficiencia de conversión, que LAMBDA, S.A.S.
PAH450, que desarrolla una sali- Con un precio ligeramente supe- reduce el calentamiento de siste- e-mail: espana@lambda-europe.com
da de 450 W desde un encapsu- rior a los modelos de 350 W, los ma, y su frecuencia de conmuta-
lado half-bridge de bajo perfil es- nuevos convertidores PAH450 es- ción constante para simplificar el (0707PL16)

58 07/2007 - elektor, la electrónica que sorprende


SOBRE EL TERRENO ADAPTADOR JTAG

Adaptador
JTAG Universal
Marcel Cremmel

Para
programación y emulación
Este adaptador fue pensado originalmente para permitir la programación de la memoria y de la CPLD del
microcontrolador PSD 813 utilizado en el cartucho GBECG de la consola Gameboy, el cual convierte esta
consola de juegos en un electrocardioscopio (veáse la entrega de Diciembre de 2006). Pero esta interfaz
es mucho más universal (ver apartado titulado “JTAG ‘In-circuit’ – Algunas aplicaciones”). Nuestro
adaptador se conecta a un puerto paralelo de un ordenador y utiliza el protocolo JTAG IEEE 1149.1

Por supuesto, los aficionados a la mi- de los esquemas eléctricos de los circui- Estos dispositivos pueden conseguirse
croelectrónica bien informados conocen tos de ciertos programadores, sugeridos perfectamente utilizando componentes
perfectamente que otros dispositivos por varios fabricantes de circuitos inte- lógicos convencionales. Así, el circuito de
programables en el propio circuito (“in- grados, muestran que las diferencias nuestro adaptador podría haber sido bas-
circuit”) utilizan este mismo puerto (pa- son relativamente menores y que, de tante más complejo, con diferente electró-
ralelo) y un protocolo idéntico. Por des- hecho, dichas diferencias se basan prin- nica para cada una de las secciones de los
gracia, los programadores/emuladores cipalmente en la interconexión entre las diferentes tipos de procesador. Utilizando
pensados para estos dispositivos no son señales del puerto LPT y las del conec- un circuito lógico programable EP 900 (de
compatibles (de hecho, son bastante di- tor JTAG. Por lo tanto, lo único que te- la casa Altera, que se ofrece gratuitamen-
ferentes, de manera que no es posible nemos que hacer es crear unas cuantas te desde Elektor), es posible ofrecer un
pensar en una solución intermedia). funciones de multiplexado para obtener programador muy sencillo y barato.
Sin embargo, un examen más detenido un adaptador “universal”. Muchos fabricantes han adoptado el pro-

60 07/2007 - elektor, la electrónica que sorprende


JTAG ‘In-Circuit’ –
Algunas Aplicaciones
– PSDs, uPSDs y DSMs de la casa ST Microlectronics
tocolo JTAG (Join Test Action Group, es
– Microcontroladores MSP430 de la casa Texas Instruments
decir, Grupo de Acción de la Asociación
de Pruebas) para la programación, depu- – EPLDs y CPLDs de la casa ALTERA
ración y comprobación de sus circuitos in- – EPLDs y CPLDs de la casa XILINX
tegrados sobre la propia placa de trabajo
(circuitos integrados para trabajar “en el
propio circuito”). Afortunadamente, no
necesitamos saber todos los detalles de VCC
VCC
este protocolo para utilizarlo: el programa
que corre sobre el ordenador (normalmen-
te gratuito) y los componentes de destino, VCC VCC

1k

1k

1k
incluyen cada uno un núcleo JTAG que
les permite comunicarse entre ellos de un
modo completamente transparente. TCK 1 2 GND
2 1 TCK
TCK
4 3 TDO Target
Los dispositivos relacionados con este TDO 3 4 VCC TDO
TMS 5 6
6 5 TMS
TMS Altera
proyecto tienen unos terminales “JTAG” 8 7 Device
7 8
especiales, de manera que lo único que TDI 9 10 GND
10 9 TDI
TDI
tenemos que hacer es conectar dichos
terminales a los del mismo nombre que

1k
aparece en el conector del programador.
GND
El tamaño (número de contactos) y la dis-
tribución de terminales de este conector, 060287 - 12
difiere de un fabricante a otro. Esta infor-
mación la proporcionamos a nuestros lec-
Figura 1. CPLD y EPLD (Byteblaster II) de la casa Altera: conector DIL de 10 terminales. Programas: Quartus II Web Edition, Quartus II Programmer [1].
tores a través de los distintos esquemas
eléctricos que se muestran a lo largo de
las Figuras 1- 4, las cuales se correspon-
den respectivamente con los dispositivos VCC VCC
CPLDs y EPLDs (Byteblaster II) de la casa
Altera (Figura 1); CPLDs y EPLDs (Cable XILINX
VCC
de Descarga Paralelo) de la casa Xilinx
1 2
(Figura 2); microcontroladores MSP 430 1 2 VCC
3 4 TMS
GND 3 4 TMS
de la casa Texas Instruments (Comunica- 5 6 TCK
GND 5 6 TCK TMS TMS TMS
ción JTAG con LPT IF de 4 hilos) (Figura GND 7 8 TDO
7 8 TDO

3); y las familias PSD, μPSD y DSM (Flas- 9 10 TDI


GND 9 10 TDI TDI TDO TDI TDO TDI TDO
11 12
hlink FL 101) de la casa ST Microelectro- GND 11 12 TCK TCK TCK
13 14
GND 13 14
nics (Figura 4). También debemos hacer
GND
notar que existe una cierta discrepancia
en el nombre dado a las distintas señales 060287 - 13
entre los diferentes conectores JTAG.
Figura 2. CPLD y EPLD (Cable de Descarga Paralelo) de la casa Xilinx: conector DIL de 14 terminales. Programas: ISE WebPACK [2].
CIRCUITO ADAPTADOR
El corazón del circuito (vea la Figura 5),
que con sus 44 terminales difícilmente VCC
pasa inadvertido, es una PLD EP 900. Esta
PLD forma el enlace entre el puerto para- J1
J2 R1
lelo del ordenador, K1, y los cuatro conec- C2 C3
47k

tores JTAG del tipo DIL “pinheader” para


100n
los cuatro dispositivos de destino, nombra- VCC / AVCC / DVCC

dos respectivamente como MSP 430 (K2),


VCC TOOL 2 1 TDO/TDI
FLASHLINK (K3), XILINX (K4) y ALTERA TDO 1 2 VCC out TDO/TDI
VCC TARGET 4 3 TDI/VPP
(K5). El conmutador SW, un conmutador TDI 3 4 VCC in
6 5 TMS
TDI/VPP
TMS 5 6 TCLK TMS
DIP doble que comprende los contactos TEST/VPP 8 7 TCK
TCK 7 8 TEST TCK
de JP1 y JP2, permite la selección de uno GND 9 10
10 9

de los cuatro tipos de programadores reco- RST 11 12


12 11 RST
RST/NMI
14 13
nocidos por el adaptador JTAG (ver tabla 13 14
MSP430Fxxx
de verdad en el esquema eléctrico del cir-
TEST/VPP
cuito, que también se proporciona sobre la C1 VSS / AVSS / DVSS
cara de componentes de la placa). Estas
cuatro opciones aparecen en la forma de 10n/2n2

tabla de estado que identifica la cabecera 060287 - 14

HE-10, en la parte inferior izquierda del cir-


cuito. Cada opción tiene su propia estruc- Figura 3. Microcontrolador MSP 430 (Comunicación JTAG - LPT-IF de 4 hilos) de la casa Texas Instruments: conector DIL de 14 terminales.
tura lógica dentro de la EP 900. Todos es- Programas: IAR-Kickstart [3].

07/2007 - elektor, la electrónica que sorprende 61


SOBRE EL TERRENO ADAPTADOR JTAG

que obtener las hojas de características


Acerca del autor y especificaciones de la página web de
TI). Sin embargo, la corriente que entrega
Marcel Cremmel, el autor de este artículo, tiene el título de ingeniero eléctrico, especialidad este circuito está limitada a 30 mA.
en electrónica, desde 1979 (certificado por el Sistema de Educación Nacional Francés).
El único inconveniente, desde el punto
Después de haber completado su primer año de aprendizaje en la Escuela de Ingeniería de vista de los aficionados, es el tamaño
de Rabat, en Marruecos, bajo un sistema de cooperación, en 1982 fue asignado al Colegio del circuito integrado del regulador (que
Louis Couffignal, en Strasbourg, en la sección BTS SE (Certificado de Técnico Superior, sólo está disponible en su versión SM,
“sistemas electrónicos”). es decir, Montaje Superficial), que hace
Su trabajo requiere que sus conocimientos cubran todos los campos de la electrónica, aunque que sea algo difícil de soldar. Afortuna-
sus preferencias son las telecomunicaciones, el vídeo, los microcontroladores (MSP 430 y PIC) damente, dicho componente tan sólo tie-
y los dispositivos lógicos programables (de la casa Altera). ne seis terminales. Por lo tanto, es ahora
o nunca el momento de probar nuestra
Además de la electrónica, su otra pasión son las motocicletas en todas sus formas: turismo, destreza con un componente SM. Para
competición, etc., su página web personal es http://electronique.marcel.free.fr/
ello, colocaremos el circuito integrado
IC2 de forma precisa sobre sus puntos
de soldadura. Aplicaremos un poco de
estaño en uno de los terminales “+”.
Una vez que esta soldadura ha sido rea-
lizada correctamente y el componente
100k

100k

100k

100k
10k

10n
USER no se ha movido, soldaremos la patilla
PC BOARD diametralmente opuesta. Si todo está
2 1
1 2 MPSD or PSD Port C
4 3 correcto y no hay ninguna patilla fuera
GND 3 4
TDI 5 6
6 5 TDI
TDI - PC5 de su punto de soldadura, pasaremos a
RST 8 7 soldar el resto de terminales. Si hemos
VCC 7 8 RST VSTBY or PC2
10 9 TMS
TMS 9 10 GND
11 TCK
TMS - PC0 creado un puente de soldadura entre dos
12
TCK 11 12 GND TCK - PC1
14 13 TDO
terminales, podemos retirar el estaño so-
TDO 13 14 TDO - PC6
General I/O - PC3
brante con una trencilla de desoldar.
General I/O - PC4
General I/O - PC7
CONSTRUCCIÓN
System Reset Circuity
(connect directly to RST
Como se muestra en la Figura 7, la placa
input on MPSD)
User I/O Signals
diseñada para este proyecto es de doble
060287 - 15
cara y utiliza tan sólo unos pocos compo-
nentes SM, principalmente en torno a la
Figura 4. Familias PSD, μPSD y DSM Flashlink FL-101 de la casa ST Microelectronics: conector DIL de 14 terminales. Programas: entre PLD EP 900. Naturalmente, estos compo-
otros, PSDsoft Express [3] para programar la PSD 813 en el cartucho de la GameBoy. nentes tienen que soldarse en la cara de
pistas de la placa. Así que, ¡manos a la
obra! Para ser lo más prácticos posible,
tos subconjuntos diferentes utilizan puer- ordenador. Para simplificar la implementa- recomendamos comenzar con los compo-
tas lógicas con diferente configuración, tal ción y permitirnos trabajar con una tensión nentes SM. Debemos tener cuidado con
y como se muestra en la Figura 6. de alimentación especialmente dedicada, algunos de ellos, en particular con el con-
Cada una de estas estructuras ha sido to- hemos decidido alimentar el adaptador densador C1, que se esconde en el cen-
mada a partir de los circuitos programado- a partir de las líneas de alimentación del tro de la placa, justo entre los terminales
res de los fabricantes. Por razones de efi- sistema de destino. Pero esta tensión de del zócalo PLCC 44 (sobre el que la EP
ciencia, la estructura lógica de la EP 900 alimentación normalmente está contenida 900 tiene que montarse por la otra cara).
está descrita en el lenguaje AHDL de la dentro de los valores de 3 a 3,6 V (¡espe- Tendremos cuidado a la hora de soldar el
casa Altera. El circuito eléctrico es bastante cialmente hoy día!), por lo que no es sufi- regulador IC2, ya que sin él, nada puede
sencillo de leer para un técnico electrónico, ciente tensión para la EP 900. funcionar. Dicho componente está rodea-
pero en este caso es más eficiente la for- Por este motivo hemos montado el adap- do por condensadores que son mayores
ma “AHDL”. Para información de nuestros tador con un conversor de tensión por que el mismo. También tendremos cuida-
lectores, en el apartado correspondiente de condensador conmutado, muy flexible, do en identificar correctamente los valo-
este artículo se entregará el listado comple- que proporciona una tensión de salida res de los componentes SM (las resisten-
to del fichero “fuente” (.tdf) de la EP 900. regulada de + 5 V a partir de una ten- cias tienen a menudo la información de
En la parte inferior izquierda del esque- sión de entrada comprendida entre los su valor codificado: 103 significa 10 K7,
ma eléctrico encontramos la … valores de ¡2,7 y 5,5 V!. Sí, es correcto: el 1203 significa 120 K7,…). Las cosas son
conversor trabaja exactamente igual tan- aún más difíciles con los condensadores,
to con una tensión de entrada más baja los cuales a menudo no vienen identifica-
FUENTE DE ALIMENTACIÓN que la tensión de salida, como con una dos o no son identificables. Una vez que
¡La PLD EP 900 es ya un circuito integra- tensión de entrada más elevada que di- los componentes SM han sido montados,
do bastante viejo! Requiere una tensión de cha tensión de salida, con una eficiencia podemos montar la tira de resistencias,
alimentación de 5 V y, aunque su consumo que ronda el 90%. Hay que felicitar a los el resto de componentes convencionales,
es bastante elevado, el adaptador de pro- ingenieros de la casa Burr Borwn (la com- el conmutador SW, los conectores tipo
gramación puede estar alimentado direc- pañía que fue absorbida por Texas Instru- “pinheader” K2 (MSP 40) a K5 (Altera),
tamente de las salidas del puerto LPT del ments, lo cual explica por qué se tienen el zócalo PLCC 44 y, por último, el conec-

62 07/2007 - elektor, la electrónica que sorprende


+5V +5V +5V

C1
R28 R29 R30 R31 R32 R35 R37 R36

10k

10k

10k
100k

100k

100k

100k

100k
100n

1 44 JP1 JP2
ON ON ALTERA
2 10 OFF ON XILINX
CLK1 I/O
24 43 ON OFF PSD
K1 CLK2 SEL0
42 OFF OFF MSP430
SEL1
1 STROBE R1 STRB 20 JP1 JP2
100R IN VCC IN
14 AUTOFDX R10 AFDX 19
100R IN IC1
2 D0 R9 D0 21 17
100R IN NC
15 ERROR R17 ERR 30 39 R34
100R IN NC

100k
3 D1 R8 D1 25
100R IN
16 INIT R11 INIT 26
100R IN
4 D2 R7 D2 27 3 R20 TDO
100R IN IN 100R
17 SLCTIN R12 SLCT 41 4 R24 TDO F
100R IN IN 100R
5 D3 R6 D3 32 5 R25 Nstat TDO
100R I/O IN 100R
18 GND1
6 D4 R5 D4 31 11 R19 TMS TDI
100R I/O I/O 100R
19 GND2 12
I/O
7 D5 R4 D5 34 13 R21 TCLK
100R I/O I/O 100R
20 GND3 14 R22 TCK TMS
I/O 100R
8 D6 R3 D6 33 EP900LC 15 R23 TDI TMS
100R I/O I/O 100R
21 GND4 16
I/O
9 D7 D7 18 R26 TCK RST
I/O 100R
22 GND5
10 ACK R13 ACK 35 28
100R I/O I/O
23 GND6 29
I/O
11 BUSY R14 BUSY 37 36
100R I/O I/O
24 GND7 6
I/O
12 PE R15 PE 38 7 R18 TDO TCK
100R I/O I/O 100R
25 GND8 8
I/O
13 READY R16 RDY 40 9 R27 TCK A
100R I/O I/O 100R
R33
10k
SUB D25
22 23

VCC IN

VCC IN VCC IN K3
K5 FLASHLINK
ALTERA 1 2
TCK A 1 2 3 4
TDO 3 4 TMS TDI 5 6
TMS TDI 5 6 TCK TMS 7 8 TDO TCK
Nstat TDO 7 8 TDO TCK TDI TMS 9 10
TDI TMS 9 10 TCK RST 11 12
TDO F 13 14

C3 VCC IN

220n VCC IN
6 4
K4 K2
PUMP+ PUMP-
XILINX MSP430
VCC IN +5V
5 IC2 1 1 2 TDO 1 2
IN OUT
3 4 TMS TDI TMS TDI 3 4
REG710NA-5
3 5 6 TCK TMS TCK TMS 5 6 TCLK
EN
7 8 Nstat TDO TDO TCK 7 8 TDI TMS
9 10 TCK RST 9 10
C5 C2 2 C4 C7 11 12 TCK RST 11 12
13 14 13 14

060287 - 11

Figura 5. La EP 900 ocupa una buena cantidad de espacio en el centro del circuito para el programador JTAG universal. Este componente está disponible ya programado, de forma gratuita, cuando
pedimos una placa de circuito impreso con código de pedido 060287-1.

07/2007 - elektor, la electrónica que sorprende 63


SOBRE EL TERRENO ADAPTADOR JTAG

tor Sub-D de 25 terminales K1. Debemos la placa de circuito impreso (PCI). comenzar a soldar los enlaces con hilos,
asegurarnos que hemos elegido la ver- Si estamos construyendo nuestra propia utilizando hilo de cobre estañado.
sión “macho” del conector de impresora placa, también es posible fabricar dicha Deberemos poner cuidado en evitar los
(LPT), ya que la versión hembra no nos placa en la forma de una sola cara, ya cortocircuitos con los enlaces con hilos
permitirá realizar una buena conexión. que la segunda cara de la placa de doble colocados entre los conectores “FLAS-
Una pequeña nota sobre el selector dual cara sólo se utiliza, de hecho, para evitar HLINK” y “XLINX”, los cuales están re-
SW: no siempre es fácil conseguir un con- la necesidad de tener que colocar enla- lativamente cerca el uno del otro.
mutador DIP dual, por lo que hemos deja- ces con hilos que requeriría la versión de Todo lo que queda es conectar la PLD EP
do espacio para uno de cuatro posiciones, placa de una sola cara. El montaje de los 900 sobre su zócalo. Verificaremos la cali-
pero necesitamos cortar los terminales componentes es el mismo, pero en este dad de nuestro montaje una última vez (sol-
que nos sobran antes de montarlo sobre caso es preferible, por razones prácticas, daduras, valores de componentes…, afor-
tunadamente sólo hay un valor para las re-
sistencias convencionales), ya que no hay
ninguna otra forma de verificar el funcio-
ALTERA XILINX FLASHLINK MSP430 namiento correcto de este circuito excepto
TRI TRI TRI TRI
D0 TCK D0 TDI D0 TCK D0 TDI haciéndolo funcionar en modo real.
Nota sobre la PLD EP 900 (con código de
TRI TRI TRI TRI
D1 TMS D1 TCK D1 TMS D1 TMS
pedido 060287-41: este componente está
TRI TRI TRI TRI
disponible ya programado, libre de gastos
D2 PIN8 D2 TMS D2 TDI D2 TCK
(aparte de los gastos habituales de mani-
TRI NOT NOT TRI pulación y envío) en la tienda de Elektor.
D3 PIN6 D3 D3 TDO PE
Si hacen el pedido de la placa de circuito
NOT

D6
TRI
TDI
WIRE
BUSY
D5 RSTN
SLCT
NOT impreso con la referencia # 060287-1, el
D6
WIRE
D6
WIRE
READY WIRE
circuito integrado ya programado será su-
AFDX PE

WIRE
VCC
NOT
INIT TEST
ministrado automáticamente con ella.
D4 ACK TDO PE TRI
WIRE STRB RST
WIRE ERROR WIRE

CONEXIONES DE DESTINO
TDO BUSY ACK
WIRE NOT
WIRE ACK WIRE AFDX
PIN7 READY BUSY

WIRE
AND2
WIRE
WIRE
ACK
¡Atención: tan sólo podemos utilizar un
D4
ERROR
TDO
READY ERROR
WIRE
conector cada vez! En la mayoría de los
BUSY
casos un cable plano de 10 hilos o de 14,
WIRE
READY con un conector “crimpado” en cada ex-
060287 - 16
WIRE
ERROR
tremo (tendremos cuidado en la orienta-
ción correcta de dichos conectores) es lo
que necesitamos para establecer el enla-
ce eléctrico entre el dispositivo destino
Figura 6. ¡Nada como esto para ilustrar la flexibilidad de una PLD como la EP 900! Un único dispositivo puede realizar plenamente
y el adaptador (ver los esquemas eléc-
varias funcionales lógicas complejas.
tricos de los circuitos entre las Figuras 1
a 4 y la asignación de terminales en los
correspondientes conectores).
Si tenemos un acceso directo a la parte
trasera de nuestro ordenador, el adap-
tador puede insertarse directamente
sobre el puerto LPT del mismo, sin ne-
cesidad de utilizar ningún cable adap-
tador que realice la interconexión entre
el ordenador y el adaptador JTAG.

Adaptadores USB
Los ordenadores portátiles y de sobreme-
sa actuales ya no disponen de puertos pa-
ralelos (LPT) (¡una decisión lamentable,
especialmente para este proyecto!). Para
dar solución a este problema, podemos
intentar localizar un adaptador USB/LPT,
pero debemos asegurarnos y verificar su
compatibilidad con nuestro programador
JTAG, ya que muchos de estos adapta-
dores que existen en el mercado tan sólo
aceptan impresoras. No vamos a entrar
en los detalles de los procedimientos de
programación para todos los posibles dis-
positivos de destino, por lo que nos limi-
Figura 7. Planos de distribución de componentes para la placa diseñada para este proyecto. Los pasos para la creación de la placa de taremos a realizar sólo un ejemplo, el…
circuito impreso están disponibles para su descarga gratuita.

64 07/2007 - elektor, la electrónica que sorprende


Cartucho ECG de la GameBoy¡ pero no trabaja correctamente con la pri- [2] http://www.xilinx.com/ise/logic_design_
El cartucho utiliza un conector SMD con mera versión de dicho controlador (Byte- prod/webpack.htm
una separación entre terminales de 1,25 blaster sin más, sin los “II”). Este viejo [3] http://focus.ti.com/docs/toolsw/folders/
mm (K3). Para fabricar el cable, recomenda- controlador era utilizado por el programa print/iar-kickstart.html
mos seguir los siguientes procedimientos: MaxPlus II y ha sido sustituido por el pro- [4] http://mcu.st.com/mcu/modules.php?
1. Presionamos en la pieza de cable grama Quartus hace dos o tres años. name=Content&pa=showpage&pid=57
plano de 14 hilos sobre un conector (060287-I)
Hojas de características con REF710-5 en:
DIL14 hembra.
2. Utilizar el conector Molex y los hilos Bibliografía y Enlaces en Internet http://focus.ti.com/lit/ds/symlink/reg710-5.pdf
ya preparados en la lista de componen- [1] https://www.altera.com/support/software/ Información suplementaria: fichero #
tes (ver número de Elektor de Diciem- download/sof-download_center.html 060287-11.zip, descarga gratuita desde:
bre de 2006) para realizar el conector www.elektorelectronics.co.uk
adecuado de seis terminales para K3.
3. Soldar los cuatro hilos: TCK, TDI,
TDO y TMS y los dos hilos de la fuente
de alimentación a ambos conectores.
Fichero fuente “AHDL” para el EP 900
Contrariamente a las primeras impresiones, un fichero AHDL puede informarnos sobre una
4. Verificaremos las conexiones con un gran cantidad de cosas. Mirando este fichero un poco más detenidamente es fácil diferenciar
medidor de continuidad y, a continua- las distintas opciones (->).
ción, aislaremos la soldadura con un tro- subdesign prog_jtag_univers
zo de material termo-retráctil. (
TDO,Nstat_TDO,TDO_F : input;
STRB,AFDX,INIT,SLCT : input;
¡Ya lo hemos conseguido! Todo está listo D[6..0] : input;
SEL[1..0] : input; -- 0->ALTERA,1->XILINX,
para programar la PSD 813 en el cartu- -- 2->FLASHLINK,3->MSP430
cho ECG de la GameBoy. ACK,BUSY,READY,ERROR: output;
Un último detalle: el adaptador es compa- TCK_A,TMS_TDI,TCK_TMS,TDO_TCK,TDI_TMS,TCK_RST,PE : bidir;
)
tible con Byteblaster II (de la casa Altera), variable
TCK_A,TMS_TDI,TCK_TMS,TDO_TCK,TDI_TMS,TCK_RST,PE : tri;
begin
TCK_A.in=D0; TCK_A.oe=AFDX;
case SEL[] is
Lista de when 0 -- ALTERA
=> TMS_TDI.in=D1 ; TMS_TDI.oe=AFDX;
materiales TCK_TMS.in=D3 ; TCK_TMS.oe=AFDX;
TDO_TCK.in=D2 ; TDO_TCK.oe=AFDX;
TDI_TMS.in=D6 ; TDI_TMS.oe=AFDX;
Resistencias TCK_RST.in=GND; TCK_RST.oe=GND;
R1,R3-R27 = 1007
R28-R32,R34 = 100k7 (SMD) ACK =D4;
R33,R35,R26,R37 = 10k7 (SMD) BUSY =TDO;
(R2 no montada) PE.in=GND; PE.oe=GND;
READY=Nstat_TDO;
Condensadores ERROR=GND;
C1 = 100nF (SMD 1206) when 1 -- XILINX
C2,C4 = 2μF2 (SMD 1206) => TMS_TDI.in=D2 ; TMS_TDI.oe=!D3;
C3 = 220nF (SMD 1206) TCK_TMS.in=D1 ; TCK_TMS.oe=!D3;
C5,C7 = 47μF condensador electrolítico TDO_TCK.in=GND; TDO_TCK.oe=GND;
de 10V radial
(C6 no montado) TDI_TMS.in=GND; TDI_TMS.oe=GND;
TCK_RST.in=D0 ; TCK_RST.oe=!D3;
Semiconductores ACK =GND;
IC1 = EP900LC (programado, con código BUSY =D6;
de pedido 060287-41)* PE.in=D6; PE.oe=VCC;
IC2 = REG710-NA5 READY=Nstat_TDO & D4;
ERROR=VCC;
Varios when 2 -- FLASHLINK
K1 (K_LPT) conector Sub-D de 25 termi- => TMS_TDI.in=D2 ; TMS_TDI.oe=!D3;
nales macho, con terminales en ángulo
recto para montaje en placa de circuito TCK_TMS.in=GND; TCK_TMS.oe=GND;
impreso (PCI) TDO_TCK.in=!D5; TDO_TCK.oe=VCC;
K2 (FLASHLINK), K3 (MSP430), K4 (XI- TDI_TMS.in=D1 ; TDI_TMS.oe=!D3;
LINX) conector tipo “pinheader” de 14 TCK_RST.in=D0 ; TCK_RST.oe=!D3;
terminales en dos filas ACK =GND;
K5 (ALTERA) conector tipo “pinheader” de BUSY =GND;
10 terminales en dos filas PE.in=!TDO_F; PE.oe=VCC;
J1,J2 (SW) conmutador DIP de 2 filas READY=D6;
Zócalo PLCC-44 ERROR=GND;
Programa del proyecto en fichero # when 3 -- MSP430
060287-11.zip, descarga gratuita de la
página web de Elektor => TMS_TDI.in=D0 ; TMS_TDI.oe=!SLCT;
PCI con código de pedido 060287-1 TCK_TMS.in=D1 ; TCK_TMS.oe=!SLCT;
* PLD ya programada suministrada cuan- TDO_TCK.in=D2 ; TDO_TCK.oe=!SLCT;
do se pide la PCI # 060287-1 en la TDI_TMS.in=INIT; TDI_TMS.oe=VCC;
Tienda de Elektor TCK_RST.in=STRB; TCK_RST.oe=!AFDX;
ACK =GND;
Opciones BUSY =GND;
Componentes para la conexión del cable PE.in=TDO; PE.oe=!SLCT;
a K3 sobre la GBECG: READY=GND;
- Zócalo IDC de 14 terminales (2x7) de
presión ERROR=GND;
- Zócalo Molex, de 6 terminales, separa- end case;
ción entre terminales de 1,25 mm (RS end;
Components # 279-9178)
- 6 hilos con contactos crimpados para conec- Para más información, el fichero de programación ‘Jedec’ (prog_jtag_univers.jed) está dispo-
tor Molex (RS Components # 279-9544) nible en la página web de Elektor (www.elektor-electronics.co.uk).

07/2007 - elektor, la electrónica que sorprende 65


SOBRE EL TERRENO MINI PROYECTOS

Magnetómetro
Detecta hasta las mínimas variaciones
Rev. Thomas Scarborough

El circuito que describimos en


este artículo es increíblemente
sensible a los cambios en el
campo magnético. Puede
usarse para detectar seísmos,
pero también como una
alarma de automóvil o para la
prevención de robos. La
construcción es sencilla y
solamente necesitamos
componentes estándar para
realizar este diseño.

El autor de este artículo, que actualmen-


te vive en Cape Town (Ciudad del Cabo),
Sudáfrica, diseñó originalmente este cir-
cuito al detectar que los pequeños tem-
blores de tierra podían ser indicadores de
seísmos más violentos. En Europa Occi-
dental los seísmos son raros, pero este
circuito también puede usarse en algu-

nas otras aplicaciones. El circuito en


cuestión es bastante sencillo y utiliza un
transformador de red como una bobina
sensora. Es capaz de recoger los cambios
más pequeños en la fuerza de un campo
magnético. Es tan sensible que puede
detectar un tren circulando a una distan-
cia de dos kilómetros. Antes de empezar,
echaremos un vistazo a algunas posibles
aplicaciones de este circuito:

- Prevención de robos: si sujetamos un


imán de neodimio al ordenador portátil
o a nuestro maletín de mano, el magne-
tómetro nos advertirá inmediatamente
Figura 1. Este registro de osciloscopio muestra las señales que se generan cuando se mueve un imán en las proximidades (véase el texto). cuando éste se recoja.

66 07/2007 - elektor, la electrónica que sorprende


SENSITIVITY R4
P1 R1 R2 R3

47k
470k 330k 220k

7
IC1 1M
2 IC2A IC2B IC2C IC2E IC2D
C2 R5 R6 R7 C1
6 1 2 3 4 5 6 9 8 11 10
LM380N 1 100k 1 100k 1 100k 1 1
3
1u 10u
5

16V 16V P2
4

IC2 = 4069U 10k


C6 C3 C4 C5 C7
CENTRE
P3
100u 470n 470n 470n 100k 100u
16V 16V

+12V
R8
10R
2
1 D1
R9 IC3A R10
3 C8
100k

47k
D2

3
C9 100n D3
+12V

MD SEL

V+
6 10
470n R11 LED10
7 5 11 D4
D11 IC3B 100k
12
5 6
IN LED9
12
9 Trigger
14 DIV HI LED8 8
IC3D 7 13 D5 IC3C
13 1N4148 REF OUT LED7 10
R12 14
LED6
IC4 15
10k
LED5 D6
IC2F IC3=TL074 LM3914N 16
LED4
13 12 8 17 D7
1 REF ADJ LED3 P4
18
LED2 100k
DIV LO
1 D8
LED1
+12V

V-
IC5
S1 D9
4

78L12 2
1 2
RESET D10
4 14 R13
3

C10 C11 C16 C17 IC3 C13 C14 C12 C15


IC2
47k

11 7 100u 100u
100u 100n 100n 100n 470n 100u
40V 16V 16V 16V
050276 - 11

Figura 2. El esquema eléctrico del circuito muestra el elevado número de etapas de amplificación usadas. Estas etapas aseguran que incluso las diferencias más pequeñas en el campo magnético pueden detectarse.

- Alarma de automóvil: cuando el co- Concepto como indicador. Incorpora también una
che se mueve y cambia su ángulo con Básicamente existen dos tipos de mag- salida de disparo (alarma) que se activa
el campo magnético de la Tierra, el cir- netómetros: los que dan un valor abso- cuando se alcanza el límite superior de la
cuito lo detectará. luto de la fuerza de un campo magné- barra gráfica de diodos LED.
- Detector de vehículo: si se tico, y los que muestran el cambio en
aproximan automóviles o la fuerza del campo. Este circuito de-
trenes, el magnetómetro tecta las variaciones.
Un circuito práctico
puede detectarlos en La Figura 1 representa la traza en un La parte más importante del magnetó-
una zona amplia, osciloscopio de salida del circuito, cuan- metro es la bobina de detección. En el
debido a las vibra- do el potente imán de un altavoz se prototipo se ha utilizado un transfor-
ciones que mueve a una distancia de aproximada- mador de red (de 230 V / 12 V, 2 A), pero
causan. mente un metro del sensor (un antiguo en teoría se podría utilizar casi cual-
- Alarma de vibra- transformador de red). E n primer lugar quier bobina o transformador. El autor
ción extremadamente el imán se inclina en una dirección (du- comprobó que el modelo que hemos
sensible: las diminutas rante 0,5 s), luego en la dirección opues- mencionado más arriba trabajaba bas-
vibraciones en las inme- ta (durante 2,5 s), a continuación, se tante bien y daba una sensibilidad muy
diaciones pueden detectarse, agita hacia adelante y atrás (de 5 a 6,5 buena al circuito. Los devanados prima-
como un balonazo sobre el suelo s) y, finalmente, se gira el imán despa- rios y secundarios del transformador
de madera a una distancia de decenas de cio. Es interesante ver qué podemos de- fueron conectados en serie (y en fase)
metros. cir a partir de la forma de onda que se para incrementar la sensibilidad.
- Sensor de imán: obviamente el circuito presenta en el osciloscopio, cuál ha sido La bobina está conectada a las entra-
reacciona también frente a objetos mag- la dirección de cambio del campo. das de un amplificador operacional del
netizados que estén cerca, como un des- Inicialmente este circuito se diseñó para tipo LM 380 (vea la Figura 2). Se trata
tornillador magnetizado situado a metro crear un económico medidor de seísmos realmente de un circuito integrado am-
y medio de distancia, o incluso una “vie- que pudiese operar de forma autónoma plificador de potencia de 2,5 W, pero re-
ja” disquetera de 3,5 pulgadas. (por ejemplo, sin tener que utilizar un or- sultó ser un componente bastante justo
Abridor de trampilla para gato: si fija- denador o un registrador de datos). El re- para este circuito, debido a que tiene
mos un imán al collar de un gato, cuan- sultado fue un circuito bastante sencillo una ganancia fija (de 50 veces) y la sa-
do éste se acerque a la trampilla se que utilizaba componentes estándar, in- lida se coloca automáticamente a la mi-
abrirá automáticamente. cluyendo un transformador de red como tad de la tensión de alimentación sin
sensor y un barra gráfica de diodos LED necesidad de resistencias de polariza-

07/2007 - elektor, la electrónica que sorprende 67


SOBRE EL TERRENO MINI PROYECTOS

nado por un divisor de tensión formado


6 &
por R4 / P2 / P3. Después de otra etapa
 3 5 '


& (OHNWRU 3 & de filtrado RC (R9/C9), la señal es alma-
3 & & '
&
5 cenada por IC3A y llevada a un rectifica-
5 '
3 dor de media onda de pico (formado por


5 '
&
&
,&
D11/C13), el cual proporciona una ten-
,& 5 & '


,& ' sión de continua a la entrada del circuito


& 5 '


& 5 5 5 & de la barra gráfica de diodos LED. De
& ,& '
esta manera se implementa la función de
,&


'


& 5
5 & &
5 mantenimiento del pico, la cual muestra
  5 '

&  y mantiene los valores más grandes me-
& '
didos sobre la barra gráfica. Presionando
el pulsador S1 conseguimos reiniciar la
pantalla de diodos LED. Si no necesita-
mos esta función de mantenimiento del
pico, podemos sustituir el diodo D11 por
un puente con hilo y retirar los compo-
nentes C13 y S1. Todos los cambios en el
nivel de la señal se mostrarán entonces
sobre la barra gráfica de diodos LED.
La señal rectificada se lleva, a través de
un “buffer” (IC3B) y un filtro RC final (R11/
C12), a la entrada del ya conocido LM
3914 (IC4), un circuito integrado controla-
dor del diodos LEDs muy utilizado, que
contiene toda la electrónica necesaria
Figura 3. Se ha diseñado una PCI para hacer el montaje del circuito más fácil.
para controlar una pantalla gráfica de dio-
dos LED de 10 segmentos (D1 a D10).
ción separadas en las entradas. colocados entre cada etapa. Esto propor- La entrada de referencia del circuito in-
A continuación, la señal de baja frecuen- ciona una enorme ganancia a la señal de tegrado LM 3914 ha sido configurada de
cia se amplifica una vez más utilizando salida del amplificador operacional LM manera que la fuerza de la señal se indi-
un número de puertas a partir de un cir- 380. Todas las etapas de filtrado (existen ca con relación al diodo LED D5. El dio-
cuito integrado CMOS 4069UB sin “bu- otros dos más adelante) reducen las fre- do LED D10 está encendido continua-
ffer”. Se puede hacer trabajar un circuito cuencias por encima de los 20 Hz y están mente, para indicar que el circuito está
inversor CMOS sin “buffer” como ampli- pensadas principalmente para suprimir alimentado. Si no necesita esta función
ficador, con tan solo añadir una resisten- las interferencias provocadas por las se- puede retirarlo del circuito. El amplifica-
cia entre la entrada y la salida. En este ñales de la tensión de la red. dor operacional IC3C proporciona una
caso se han utilizado cuatro inversores Seguidamente la puerta IC2D añade otra salida de disparo que genera un nivel
como etapas amplificadoras secuencia- dosis de ganancia a la señal, donde el lógico alto cuando se enciende el diodo
les (IC2A / B / C / E), con filtros paso/ desplazamiento de continua (DC) sobre LED (D1) al recibir las señales más fuer-
bajo RC pasivos (R5/C3, R6/C4, R7/C5) la entrada de la puerta viene proporcio- tes. El potenciómetro P4 se utiliza para
configurar el nivel de disparo.
La tensión de alimentación del circuito se
proporciona por medio de un regulador de
C6,C7,C10,C13,C14,C15 = 100MF
LISTA DE condensador electrolítico de 16 V radial
tensión de 12 V, ya que cualquier rizado
de la tensión de red sobre la tensión de
C8,C11,C16,C17 = 100nF
MATERIALES alimentación podría ser desastroso para
las pequeñas señales que estamos ampli-
Resistencias Semiconductores
ficando. La tensión de alimentación pue-
R1 = 470k7 D1-D4,D6-D10 = diodo LED rojo de 3 mm de obtenerse a través de cualquier adap-
R2 = 330k7 D5 = diodo LED verde de 3 mm tador de tensión de red que tenga una
R3 = 220k7 D11 = 1N4148
R4,R10,R13 = 47k7 tensión de unos 15 a 20 V DC (una co-
IC1 = LM380N-8 rriente de unos 50 mA es suficiente).
R5,R6,R7,R9,R11 = 100k7
R8 = 107 IC2 = 4069UB (versión sin ”buffer”)
R12 = 10k7 IC3 = TL072CN
P1 = 1M7 potenciómetro “preset” IC4 = LM3914N Construcción y configuración
P2 = 10k7 potenciómetro “preset” IC5 = 78L12
P3,P4 = 100k7 potenciómetro “preset” Con la ayuda del diagrama de pistas y el
multivuelta plano de implantación de componentes de
Varios la placa de circuito impreso (PCI) que se
Condensadores S1 = Pulsador de un contacto y un circuito muestra en la Figura 3, no será demasiado
C1 = 10MF condensador electrolítico L1 = Bobina, por ejemplo, transformador difícil fabricar o encargar que nos hagan
de 16 V radial
de red viejo de 230 V / 12 V y 2 A una placa. Debemos asegurarnos de con-
C2 = 1MF condensador electrolítico
de 16 V radial PCI, Con código de pedido 050276-1 seguir un encapsulado de 8 terminales
C3,C4,C5,C9,C12 = 470nF de www.thepcbshop.com para el circuito integrado LM 380, ya que
la PCI ha sido diseñada para este compo-

68 07/2007 - elektor, la electrónica que sorprende


nente. Recuerde que necesitamos la ver-
sión “sin buffer” del circuito integrado IC2
(4069UB), si no es así, el circuito no funcio-
nará de ninguna manera. Debemos utilizar
zócalos para los circuitos integrados, de
modo que sea más fácil la construcción del
circuito, además de la localización de cual-
quier fallo potencial. Todas las resistencias
están montadas verticalmente. El conmu-
tador de reinicio (“reset”) está conectado
a la tarjeta con un par de cables.
Podemos instalar el circuito en una caja
que contenga los cortes adecuados
para poder ver los diodos LED, y mon-
tar el conmutador de reinicio y el co-
nector de alimentación.
Un viejo transformador trabaja muy
bien como “bobina” detectora. Debe
tener todos los bobinados conectados
en serie y debemos tener cuidado para Figura 4.
que todos estén conectados en fase, ya Para el prototipo de laboratorio hemos utilizado un
viejo transformador, montado sobre la PCI, con todos
que, de no ser así, la sensibilidad se
los bobinados conectados en serie.
verá reducida. Debemos utilizar dos
trozos pequeños de cable para conec-
tar el transformador a la placa. te muy poca desviación. tras realizamos los experimentos no de-
Una vez que todos los componentes han Finalmente ajustaremos el nivel de dis- beremos tener ni metales ni materiales
sido soldados sobre la placa de circuito paro, que se realiza con el potencióme- magnéticos próximos al circuito, ya que
impreso, podemos conectar el adaptador tro P4. Este ajuste no es crítico y debe interfieren con su funcionamiento.
de tensión de red y empezar con los ajus- hacerse de manera que el circuito inte- Podemos construir un sencillo sismógrafo
tes. Primero ajustamos el control de sen- grado IC3C conmute de modo fiable colgando un imán de un viejo altavoz del
sibilidad (P1) a la mitad de su recorrido y cuando el diodo LED D1 se enciende y techo, utilizando un trozo de cuerda y co-
haremos lo propio con P2. Después gira- conmutando de nuevo a su posición ini- locándolo justo encima del transformador.
remos el potenciómetro P3 hasta que el cial cuando el diodo D1 se apaga. En esta configuración, el potenciómetro
diodo LED verde central (D5) se encienda P1 debe ajustarse de manera que la barra
sobre la barra gráfica de diodos LED. Du- gráfica de diodos LED permanezca apa-
rante su uso normal, el potenciómetro P2
Trucos y aplicaciones gada. Para hacer una alarma de vibración
puede utilizarse para ajustar la pantalla Al principio del artículo hemos mostrado que pueda detectar el paso del tráfico,
de diodos según nuestros deseos y cuan- unas pocas aplicaciones posibles para debemos sujetar un imán al extremo de
do sea necesario (también se podría usar este magnetómetro. La mayoría de ellas una regla larga. El otro extremo de la re-
un potenciómetro corriente para esto). son bastante sencillas y no es necesario gla debe estar sujeto a una gran superfi-
Especialmente cuando la sensibilidad se proporcionar instrucciones detalladas. cie y el transformador debe estar coloca-
ajuste a un valor alto, podremos apreciar Es importante que primero “juguemos” do de nuevo justo por debajo del imán.
que el punto nulo puede cambiar. Cuan- un poco con el circuito para conocer co- ¡Quedaremos gratamente sorprendidos
do se disminuye la sensibilidad por me- rrectamente cuál es su sensibilidad, por la distancia a la que este sencillo cir-
dio del potenciómetro P1, debe ser posi- cómo reacciona y cuál es la mejor confi- cuito puede detectar las vibraciones!
ble obtener un ajuste estable que presen- guración para el potenciómetro P1. Mien- (050276-1)

Publicidad

07/2007 - elektor, la electrónica que sorprende 69


TECNOLOGÍA EN EL LABORATORIO

Nuevas Tecnologías, nuevas herramientas


Estación de trabajo de
aire caliente

Luc Lemmens del flujo de aire es algo más complicado que exige desarrollar algo de
Desde el principio, el soldador de estaño ha sido la herramienta por exce- maña y destreza. La primera vez que usemos un soldador de aire caliente
lencia para “pegar” los circuitos electrónicos. Las primeras generaciones de tendremos que emplear más tiempo para conseguir las configuraciones
componentes SMD aún podían soldarse con un soldador de estaño tradicio- correctas, ya que serán diferentes de un soldador a otro y de un trabajo a
nal, aunque con algo más de esfuerzo y de precisión. Pero los componentes otro. Sin embargo, es muy importante que el calor y el flujo de aire se apli-
son cada vez más y más pequeños, y en la actualidad, las conexiones son quen sólo en la gota que queremos soldar (o desoldar). Además, también
tan minúsculas e inaccesibles que se requieren otros equipos para realizar tenemos que estar seguros que el flujo de aire no es demasiado fuerte, ya
este trabajo. En el número de marzo de 2006 se publicó un “Horno de que de ser así, será muy fácil fundir pequeños componentes de alrededor,
soldadura SMD” (del que ya existe una nueva versión que será publicada lo cual, obviamente, no es nuestra intención. Siempre es una buena idea
en un futuro próximo), que es muy adecuado para construir una placa de hacer unas primeras prácticas en una placa de circuito desechada, o con
circuito completa, pero que ya no lo es cuando queremos montar o sustituir componentes de aparatos que no nos sean útiles, de manera que nuestra
un único componente. Para esta tarea ya tenemos en el mercado una herra- cartera no se vea seriamente afectada. Nos llevará un cierto tiempo ob-
mienta más apropiada, aunque no tan barata: un soldador de aire caliente tener la destreza necesaria para realizar estos trabajos.
o una estación de refundido (o reproceso). Por unos 145 ` podemos dispo- Cuando utilizamos un soldador de aire caliente es una práctica común
ner de una completa estación que nos servirá perfectamente. usar pasta de soldadura en lugar de estaño. En un entorno profesional
Como su nombre indica, este soldador trabaja con aire caliente para se utiliza el denominado “dispensador”. Éste es un dispositivo que apor-
realizar la soldadura de conexión o para desoldar un componente. ta la cantidad exacta de pasta en cada punto de soldadura. Un buen
El nombre de “estación de reproceso” sugiere que ha sido diseñada dispensador es bastante caro, de manera que si no disponemos de uno
para trabajos de reparación, pero su uso también está indicado cuando de estos equipos es posible utilizar un sistema inteligente para aplicar la
construimos prototipos. Con un soldador de estaño convencional tene- pasta sobre la placa de circuito impreso, como por ejemplo, un pequeño
mos que tener en cuenta el tamaño de la punta y la temperatura. Con “clip” para sujetar el papel derecho. No es un método fantástico cuan-
el soldador de aire caliente tenemos que tratar con el tamaño de la bo- do tenemos que hacer una gran producción, pero sí nos sirve cuando
quilla, la temperatura y el flujo de aire. Por lo tanto, hay un parámetro trabajamos para un prototipo. También podemos colocar una pequeña
adicional y esto requiere una cierta cantidad de experiencia y destreza cantidad de estaño en cada punto de soldadura utilizando un soldador
para utilizar el soldador de manera efectiva. de estaño tradicional y, soldar a continuación, pero esto a menudo crea
En el mercado hay boquillas de muchos tipos y tamaños. Están las que bastantes desigualdades a la hora de obtener el posicionamiento correc-
han sido diseñadas para soldar un circuito integrado completo de una to de los componentes, haciendo el trabajo más difícil.
vez, otras son un poco más pequeñas y están pensadas para trabajar con Se recomienda utilizar el denominado “pre-calentador” en combina-
uno o varios contactos al mismo tiempo. La elección de la boquilla correc- ción con el soldador de aire caliente. Se trata de una especie de “pla-
ta depende mucho del trabajo que tengamos que realizar, pero realmente to caliente” que hace un precalentamiento de la placa del circuito, de
no es necesario comprar un arsenal completo de las mismas. Afortunada- manera que el soldador tan sólo se requiere para proporcionar la tem-
mente, estas boquillas tienen una expectativa de vida de uso mucho más peratura de fundición a la pasta o al estaño que está un poco más allá
larga que las puntas de un soldador de estaño, de manera que cada bo- de su punto de fusión. En resumen, éste es un método más refinado
quilla es, con toda probabilidad, una inversión para toda la vida. que requiere un poco más de práctica para obtener la configuración
Generalmente es muy fácil elegir la boquilla correspondiente para el tra- óptima y la mejor manera de utilizar esta herramienta.
bajo a realizar, mientras que la selección de la temperatura correcta y (075051)

70 07/2007 - elektor, la electrónica que sorprende


RETRONICS INFORMACIÓN Y ENTRETENIMIENTO

Conversor de transmisión / Recepción


para la banda de 70 cm (1981)
144 a 146 MHz) durante bastantes con equipos cien por cien case- estaba realizando su aprendizaje
años. A diferencia de la FM, la BLU ros, sino también para comunica- en la compañía. Los dos asegurar-
tiene un “modo lineal” que exige ciones por satélite que permitían on que el diseño había sido repro-
una buena linealidad de todas las enlaces intercontinentales QSo en ducido por los lectores de Elektor,
etapas en el transmisor hasta el onda corta (OC) y BLU, todos el- de manera que cumplía con los re-
conector de antena. En aquellos los utilizando potencias de trans- querimientos legales en términos
tiempos existían buenos modelos misión relativamente bajas (pero de niveles de señales espurias y
de emisores/receptores en la ban- con antenas muy directivas). armónicos. Gerrit (ya retirado) y Ed
da de 2 m, de manera que el dis- La entrega de junio de 1981 del (ahora trabajando como ingeniero
eñador del Conversor de Transmis- artículo que estamos recordando, de mantenimiento de RF) recuerdan
ión/Recepción para la Banda de trataba con algo de detalles la que la colocación del diseño sobre
70 cm de Elektor, J. de Winter PEO- ventaja de utilizar un equipo de una placa de circuito impreso (con
JPW, quiso adaptar dichos equipos 2 m y un receptor de 288 MHz el estándar de Elektor) fue el may-
al concepto de los “288 MHz”, lo (y obtener así la banda de traba- or dolor de cabeza que tuvieron
cual significa que una señal recibi- jo deseada) frente a otros conver- que soportar en aquellos tiempos
da sobre la frecuencia de 432 MHz sores de transmisión/recepción en su lucha con los “espurios” prov-
es convertida a una frecuencia más mucho más complejos basados en enientes de la sección del excita-
Jan Buiting baja de 144 MHz, pero, al mismo frecuencias intermedias como 336 dor de 288 MHz, pero también el
Los diseños para convertidores de tiempo, se tenía que conseguir un y 374 MHz para recepción y trans- equipo de diseño de las placas de
transmisión se publican normal- transmisor de señal de 144 MHz misión. También se explicaba la circuito impreso tuvo que pegarse
mente cuando (1) se designa una con unos pocos vatios de potencia necesidad de un cristal de cuarzo con señales de 400 MHz (nada
nueva banda de frecuencias para por medio de una señal de 374,4 con una frecuencia elevada muy comparable con las tensiones de
los radioaficionados con licencia y/ MHz. La portada del número de poco usual (en aquellos tiempos) continua, señales de audio, micro-
o (2) cuando las grandes compañías junio de 1981 mostraba prudente- de 57,6 MHz para el oscilador, controladores y fuentes de aliment-
japonesas como Yaesu, Kenwood e mente un transmisor/receptor Icom principalmente para evitar señales ación). Al final, consiguieron matar
Icom piensan que la banda es algo IC211 de 2 m, junto con el Con- no deseada de la sección del multi- “dos pájaros de un tiro” (¿o fueron
extraña y es mejor dejar que un versor de Transmisión/Recepción plicador de x5, que suministraba la tres?) utilizando una bobina reali-
grupo de aficionados realicen sus incluido en su caja estañada. Por inyección de señal de 288 MHz al zada sobre la propia placa de cir-
experimentos. La banda de 6 m (50 desgracia, ningún ejemplo de este mezclador. Se imprimieron las pan- cuito impreso. El tercer pájaro fue
MHz) es un ejemplo de una banda conversor ha sobrevivido hasta tallas del analizador de espectros el de: “no puedo realizar esta bobi-
que ha estado “libre” durante un nuestros días, de manera que nos para demostrar el concepto. na”, que suscitaba verdadero páni-
cierto número de años, hasta que ha sido imposible ponerlo a prueba Hace 25 años, el conversor de co en el equipo técnico de Elektor.
finalmente ya está disponible como o incluso colocar una fotografía real transmisión/recepción enviado (075053-I)
banca comercial. La banda de 3 del equipo. originalmente por el autor del artíc-
cm (10 GHz) está totalmente libre A principios de los años 80, la ulo, fue adaptado en Elektor por La imagen escaneada del artículo original
aún en Japón para sus módulos de banda de 70 cm tenía una partic- los experimentados diseñadores de la entrega de 1981 está disponible para
“conectar y hablar” y poder reali- ular atracción, no solamente para Gerrit Dam PAOHKD y Ed Warni- nuestros lectores, totalmente gratuita, en la
zar experimentos reales. reunir a aquellos aficionados er PE1CJP (ahora PA1EW), el cual página web de Elektor.
Usamos un conversor de transmis-
ión/recepción casero junto con un
equipo de VHF o de onda corta ya
existente, para dar acceso a una
banda a la que no se tenía acceso
previamente. La primera de las dos
partes de la que constaba el artículo
publicado en los meses de junio y
octubre de 1981, para la banda de
70 cm (de 430 a 440 MHz), es un
ejemplo claro de una publicación
destinada a los radioaficionados a
los que no les gusta pagar precios
exorbitantes por los equipos comer-
ciales que estaban disponibles en
ese momento. Estos mismos radio-
aficionados solamente buscaban
transmisiones SSB (single side band,
es decir, banda lateral única o BLU)
en la banda de 70 cm, las cuales
han podido disfrutar en su equipo
de onda corta, al mismo tiempo que
disfrutaban de la banda de 2 m (de

Retronics es una columna que trata la electrónica antigua, incluyendo legendarios diseños de Elektor. Son bienvenidas todas las contribuciones, sugerencias y preguntas.
Por favor enviarlas al mail del editor: redaccion@elektor.es, asunto: Retronics EE.

07/2007 - elektor, la electrónica que sorprende 71


SOBRE EL TERRENO MÓDULOS PRÁCTICOS

Temperatura a Dista
Termómetros de RF para PC
Jeroen Domburg y Thijs Beckers

Figura 1. Dentro del módulo de punto de alimentación controlado de modo remoto Figura 2. El receptor de RF ocupa tan sólo una pequeña parte de la placa del
nos encontramos habitualmente con algo más que dos partes principales. circuito. Estos componentes (más el circuito integrado SMD de la otra cara)
son suficientes para hacer funcionar dicha placa como un receptor de RF.

Actualmente las estaciones meteorológicas con conexión “sin hilos” (“wireless”) entre los sensores
y la estación de base no son muy caras. Además, si quiere estar a la moda, añada uno de esos
sistemas que hacen “clic on” – “clic off” y obtendrá una canción. Esta vez hemos desarrollado un
sencillo sistema registrador de datos y podremos vigilar la temperatura utilizando un ordenador.

El clima nos mantiene ocupados continuamente, incluso pan comido conectar el equipo completo a un ordenador
hay personas que hacen de él su profesión. En casa de manera que podamos establecer una base de datos
también, nos gusta medir todo tipo de cosas relacionadas completa de las temperaturas almacenadas.
con nuestro clima. Por este motivo hay estaciones Por desgracia, esto es un poco más difícil en la práctica,
meteorológicas de todos los tipos y tamaños en el ya que no hay un estándar para la transmisión del dato
mercado. Si queremos conocer la temperatura, los de la temperatura sobre una conexión ISM a 433 MHz
termómetros de interior y de exterior para montaje están que utilice un modelo aprobado para los transmisores
disponibles en el mercado por menos de 15 `. con licencia gratuita. Los fabricantes, por lo general, no
están por la labor de enviar una descripción del protoco-
lo junto con el sensor. A veces otra persona ya ha hecho
Sin hilos un intento de descifrar el protocolo, pero si nadie antes
Antiguamente, el sensor exterior de estas estaciones se ha aventurado con esta tarea sólo hay un método
meteorológicas estaba conectado con un cable, ahora es para descubrir esta información: la ingeniería inversa.
bastante usual utilizar un transmisión de RF para estos
datos. Sin embargo, también es fácil utilizar estos sensores
para nuestras propias aplicaciones, sin abrir los sensores o Diseñando en el otro sentido
la estación base y sin arriesgarse a anular la garantía. Para realizar la ingeniería inversa necesitamos dos cosas, en
Esto se debe a que los transmisores inalámbricos en estas primer lugar una forma de recibir las señales y, en segundo
unidades utilizan normalmente la banda ISM de 433 MHz lugar, que éstas sean visibles. Para el primer fin podemos
y, encontrar un receptor de 433 MHz es muy sencillo. En obtener, como ya hemos comentado anteriormente, un
las mejores tiendas de equipos electrónicos, este equipo receptor ya fabricado. Pero para cumplir exactamente con el
no debería costar más de 15 `. espíritu de la ingeniería inversa, hemos desmontado un punto
También podemos utilizar el módulo receptor de otro de energía controlado por RF ya existente. Un aspecto
dispositivo, siempre y cuando opere en la misma frecuen- interesante a la hora de desmontar un dispositivo antiguo es
cia. Un punto de energía con control remoto (sistema de que podemos echar un vistazo a los procesos de los diseñado-
“encendido - apagado”) cubre nuestras necesidades en res. Algunos dispositivos están colocados de una manera tan
este caso (vea la Figura 1). Después de esto, en teoría es estrecha e inteligente que mientras los observamos, no

72 07/2007 - elektor, la electrónica que sorprende


Acerca del autor

ancia
Jeroen Domburg es un estudiante de ingeniería eléctrica en la Universidad
Técnica Saxion de Enschede. Es un gran aficionado a la electrónica,
con gran interés en microcontroladores, electrónica y ordenadores.

En este artículo muestra su estilo personal de trabajo, con modificaciones


y otros circuitos interesantes, que no necesariamente tienen que ser útiles.
En la mayoría de los casos no intenta ganar ningún tipo de concurso y apenas
tiene en cuenta la seguridad, aunque esto no preocupa especialmente al
autor, siempre que el circuito haga aquello para lo que ha sido diseñado.
¡Avisados quedan!

+5V

C1 C2
R2
22p 20

1k
1
ANT DSR 6
19 1
PB7 RESET RXD 2
18
PB6 RTS 7
17 IC1 2 T1
PB5 PD0 R1 3
16 3
PB4 PD1 10k CTS 8
ATTiny2313 J1 DTR 4
RF 6 15 BC550
ANT OUT PD2 PB3 9
7 14
Receiver PD3 PB2 J2 GND 5
8 13
PD4 PB1
9 12
PD5 PB0 J3
SUB D9
XO XI
4 5 10
X1

C3 C4

22p 20MHz 22p

070112 - 11

Figura 3. Primer prototipo del circuito receptor. En la estación base Figura 4 . De nuevo, el esquema eléctrico muestra claramente que el mi-
podemos ver que los valores de los módulos de temperatura están siendo crocontrolador es el corazón del circuito.
transmitidos. Muy útil como comprobación.

podemos evitar admirar a sus diseñadores, mientras que otros a la señal enviada por el transmisor de temperatura de RF.
dispositivos están hechos de forma tan chapucera que casi El próximo paso es descifrar la señal. Normalmente un
podemos sentirnos molestos con el diseño entero. osciloscopio es el instrumento por excelencia para estudiar
En cualquier caso, resulta útil apreciar que frecuentemente los una señal, pero esta señal solamente se envía alrededor
diseñadores desarrollan los dispositivos con un estilo modular. de una vez cada minuto. Sin un osciloscopio de almacena-
Tampoco es usual con este tipo de puntos de energía de miento será muy difícil echar un buen vistazo a la señal.
“encendido – apagado” que la fuente de alimentación y el Para continuar hicimos una remodelación sobre el circuito
receptor de RF compartan algunos componentes. Por lo tanto, final: un microcontrolador ATTiny2313 que tiene una
retirar el módulo del punto de energía de “encendido – conexión serie con el ordenador (véase la Figura 3).
apagado” resulta muy sencillo. Consta de un par de
condensadores y resistencias que reducen la tensión de 230
V a una tensión más baja, del receptor de RF, de un circuito El Circuito y el programa
integrado especial para interpretar los pulsos recibidos y de El esquema eléctrico del circuito se muestra en la
un transistor más un relé que conmuta la carga. Figura 4. En él se indica el uso de una tensión de
El método para mantener únicamente el receptor de RF es alimentación de + 5 V pero, por supuesto, en el caso de
bastante sencillo. Sólo tenemos que retirar todo lo que que el receptor de RF requiera más o menos tensión de
conocemos que no tenga nada que ver con el receptor de alimentación, dicha tensión tendrá que adaptarse al
RF y al final estaremos frente a receptor de RF (Figura 2). valor necesario. El microcontrolador AVR puede trabajar
Pero debemos tener cuidado: en nuestra placa sucedió que con una tensión de alimentación de entre 3 a 6 V. Si la
había un diodo zéner entre las líneas de la tensión de tensión de la fuente de alimentación está en este rango
alimentación para regular la tensión de alimentación del entonces no tendremos la necesidad de cambiar nada
receptor. Cuando conectamos una fuente de alimentación en el circuito. Si el receptor trabaja con 12 V, por
de un banco de trabajo ligeramente más alta que la tensión ejemplo, necesitaremos generar dos tensiones a la
de ruptura del diodo, empezaron a surgir alarmantes nubes fuente de alimentación y tendremos que añadir una
de humo... Algo bastante molesto, particularmente si nuestra resistencia unos 10 7 en serie con la línea de señal
intención es que el circuito continúe trabajando. desde el receptor de RF al microcontrolador AVR.
Que el diodo zéner todavía estuviera funcionando nos La señal de 12 V sobre esta línea es atenuada por
habría resultado útil. Esto es debido a que su valor es igual la resistencia y los diodos ESD en el AVR.
a la tensión de la fuente de alimentación que el receptor Ahora que los pulsos de señal llegan al AVR debemos
necesita. Si, por ejemplo, el circuito hubiese contenido un dejarlo que procese la señal codificada con el propósito
circuito integrado regulador de tensión, un 7805, esta de que podamos verla en nuestro ordenador a través de la
situación hubiese sido mucho más fácil de darse. conexión RS232 y comprobar cómo funciona la codifica-
Con el desmontaje del receptor de RF del módulo del ción. Para este propósito se ha escrito un sencillo programa
punto de energía de “encendido – apagado” hemos en lenguaje ensamblador, el cual almacena los tiempos
resuelto el primer problema con éxito. Ahora tenemos una entre los flancos de la señal del tren de pulsos recibidos
placa receptora que genera una señal que es equivalente sobre la memoria RAM del microcontrolador AVR. En el final

07/2007 - elektor, la electrónica que sorprende 73


SOBRE EL TERRENO MÓDULOS PRÁCTICOS

H L H H L H L H H H

1 0 1 1 0 1 0 1 1 1

727 070112 - 13

Figura 5. Aquí podemos ver la codificación de la señal de RF. Después de estudiar Figura 6. Este transmisor está esperando pacientemente hasta que se le
un poco dicha señal podemos encontrar el valor de la temperatura sobre la misma. solicita entrar en servicio.

del tren de pulsos el código se transmite a través del puerto un número de 12 bits, otros sensores envían el valor de cada
serie. De este modo es muy fácil determinar cuál es el dígito de forma individual como un número de 4 bits.
tiempo de bit y qué codificación se está utilizando. Así, los números negativos también se representan de
modos diferentes. A veces se hace con un bit independiente
pero otras se usa una conversión de un número a “comple-
Calculando mento a dos”, algo así como incrementar el valor de la
Los dos sensores de temperatura que hemos probado temperatura en, por ejemplo, 30º antes de transmitirla.
utilizaban la longitud del pulso transmitido como una manera Una vez que se ha encontrado el código, sólo se trata de
de enviar un bit, pero aquí es donde se acaban las similitu- escribir un trozo de código de programa para descodificar la
des. Con uno de los sensores un pulso corto a nivel alto temperatura y colocarla en la línea del puerto serie de datos.
significaba un “1” lógico, mientras que un pulso largo a nivel
alto indicaba un “0” lógico. Con el otro sensor sucedía
exactamente lo contrario, un pulso largo a nivel alto significa- Más sensores
ba un “1” lógico y un pulso corto a nivel alto representaba un Si se utiliza más de un sensor, entonces esto es insuficiente.
“0” lógico. Esto fue bastante fácil de deducir a partir de los También necesitamos saber qué temperaturas proceden de un
datos enviados por el microcontrolador AVR. sensor. Si utilizamos diferentes marcas de sensores es muy
Con una longitud constante del “pulso a nivel alto” fue posible probable que seamos capaces de distinguirlos fácilmente,
comprobar que el dato estaba codificado sobre el “pulso a debido a que utilizarán, probablemente, protocolos diferen-
nivel bajo”, al igual que en el otro sentido (véase la Figura tes. Sin embargo, si queremos utilizar múltiples sensores de la
5). La longitud del tiempo en que la señal está a nivel alto es misma marca la cosa comienza a ser algo más complicada.
la misma en cualquier momento; mientras que la longitud del Los fabricantes han encontrado dos soluciones para este
tiempo en que la señal está a nivel bajo indica que se está problema. La primera de ellas consiste en añadir
transmitiendo un “1” lógico (pulso largo) o un “0” lógico sencillamente un “canal” que conmute de sensor. Esta
(pulso corto). La señal representa un número binario con la configuración puede localizarse en la trama de datos
temperatura expresada en décimas de grados, incrementada binarios que cada sensor genera.
en 50. Así pues, la temperatura correcta obtenida es de: La segunda solución genera un número aleatorio cuando
el sensor se activa, el cual se envía con cada temperatura
(727 /10) – 50 = 22.7oC medida. La posibilidad de que este número aleatorio sea
diferente en cada sensor es bastante grande. De este
Aunque este tipo de codificación parece la más sencilla y es modo es bastante sencillo determinar qué temperatura
bastante común, esto no significa que sea el único método que pertenece a cada sensor.
el fabricante podría haber utilizado. También se podría haber Además de la temperatura y del identificador, algunos sensores
usado FM, MFM, RLE u otro tipo de codificación. Estos tipos también envían junto a esta información una “suma de
de codificación pueden reconocerse a menudo por la longitud verificación” (“checksum”), de manera que el receptor pueda
variable de los pulsos, tanto a nivel alto como a nivel bajo. determinar si la temperatura ha sido recibida de forma correcta
Una vez que se conoce la duración de los pulsos largos y o no. El programa interno que aquí hemos generado para el
cortos, se puede calcular fácilmente el significado del tren de microcontrolador AVR no hace uso de esta suma de verificación,
pulsos completo transmitido. Hemos hecho esto adivinando el ya que se realiza una verificación de errores a nivel más bajo.
valor del pulso corto y largo, la temperatura que se recibía Si las duraciones de los pulsos están fuera de un cierto valor
por la estación base (o la indicada por el propio sensor) y un mínimo o máximo, dependiendo del tipo de sensor, el tren de
gran número de bits de inicio que el microcontrolador AVR ha pulsos será ignorado. Esta solución rechaza la mayoría de los
tenido que separar. Con un poco de suerte, se reconoció la errores de forma que la “suma de verificación” no es necesaria.
temperatura inmediatamente en la montaña de ceros y unos
recibidos. Sin este golpe de suerte nos hubiese costado un
poco más. La codificación de la temperatura en un valor Nuestro propio programa
binario tampoco se hace de un modo estándar. Algunos En el momento de escribir este artículo sólo han sido
sensores envían la temperatura en décimas de grados como implementados en el código de programa dos sensores

74 07/2007 - elektor, la electrónica que sorprende


Figura 7. Esto es lo que el microcontrolador AVR hace con toda la información Figura 8. Las temperaturas medias son recogidas fácilmente en una hoja de cálculo.
que le llega. Cada vez que un sensor transmite algo se añade una línea con el Aquí podemos ver un gráfico de la temperatura de una habitación (en color púrpura)
identificador del sensor y la temperatura medida. y la temperatura en el compartimiento del congelador de un frigorífico (en naranja).

que hemos utilizado en nuestro proyecto: el KW 9010 y el donde “s” es el identificador hexadecimal único del
WS 7050 de la casa Conrad Electronics (Figura 6). Si sensor y “t” representa la temperatura (decimal) que el
tenemos que leer otro tipo de sensor, primero tendremos sensor ha medido, en grados.
que escribir el código del programa correspondiente. Este dato puede recogerse con un sencillo trozo de programa
Esto es bastante sencillo para todos aquellos que ya estén que “escucha” el puerto serie (Figura 7). Estos datos pueden
familiarizados con el lenguaje ensamblador del microcontro- usarse para hacer un gráfico bastante interesante (Figura 8).
lador AVR. La estructura para dicho programa ya está Haremos algunos comentarios sobre la conexión a realizar
hecha. Para los primeros pasos en este proceso existen un con el ordenador: como solamente hay una señal TxD,
cierto número de puentes que facilitan este trabajo. El podemos utilizar un único transistor para convertir la señal
puente J3 nos permite seleccionar, para cada tren de pulsos desde el nivel de tensión del microcontrolador al nivel
recibidos, la longitud de los pulsos transmitidos como compatible RS 232. Este método trabaja bastante bien para
números hexadecimales más allá del puerto serie. El puente la mayoría de los puertos serie, aunque algunos puertos
J2 permite que el AVR intente interpretar estos números por serie son un poco más críticos con sus señales. Si éste es el
sí mismo. Así, el AVR intentará determinar por sí mismo si caso, el circuito compuesto por R1, R2 y T1 puede sustituirse
los datos son almacenados como pulsos a nivel alto o a por el circuito estándar MAX 232 (Figura 9).
nivel bajo y generar una línea con las letras “s” (para un El programa interno para este proyecto puede descargarse,
pulso corto) y “l” (para un pulso largo), repetidos un cierto por supuesto gratuitamente, de [1] y [2], entregado bajo el
número de veces. Después de esto le corresponde al formato GPL [3]. Si hemos añadido un tipo de sensor
programador decidir qué es un “0” lógico y qué es un “1” adicional, podemos enviar el código a la dirección de correo
lógico, además de cómo se codifica la temperatura. electrónico en [2]. Nosotros añadiremos el código de manera
Una vez conocido todo esto, tendremos que realizar algo de que otros lectores puedan beneficiarse también de ello.
programación. En primer lugar estableceremos los límites de (070112-I)
las duraciones del pulso a nivel alto y del pulso a nivel bajo,
así como el número de pulsos. Esto se utilizará para Enlaces en Internet
determinar la rutina requerida para el protocolo de descodi- [1] www.elektor-electronics.co.uk, May 2007 page
ficación. En segundo lugar, tendremos que escribir la propia [2] sprite.student.utwente.nl/~jeroen/projects/rftemp
rutina. Aunque esto parece algo complicado, existen unas [3] http://www.gnu.org/licenses/gpl.txt
pocas subrutinas ya preparadas que han sido diseñadas
para hacer esta tarea mucho más sencilla. Recomendamos a
nuestros lectores que echen una mirada a la implementación
existente para tener una mayor información.
El puente J1 puede ser muy útil mientras estamos hacien- 2
10V
+5V
do verificaciones. Normalmente el microcontrolador AVR 1
C1+
V+ 16

suprime la información de depuración para cada tren de IC2


1
3 DSR 6
pulsos reconocido correctamente. Colocando el puente J1 PD1
10V
11
C1–
14 RXD 2
en su lugar, el AVR mostrará la información de depura- IC1
3 10
T1IN T1OUT
7 RTS 7
T2IN T2OUT
ción para cada conjunto de pulsos. ATTiny2313 12
R1OUT R1IN
13 3
9 8 CTS 8
R2OUT R2IN
4 DTR 4
C2+
Hacia el ordenador 5
MAX232
15 GND 5
9

10V C2–
Como el procesamiento de los datos de temperatura se V-

realiza totalmente sobre el microcontrolador AVR, el dato 6


SUB D9
que se transmite hacia el ordenador es bastante sencillo. El
puerto COM necesita configurarse a 115.200 baudios, sin 10V 070112 - 12

paridad, 8 bits de datos y 1 bit de parada. Cada línea de


texto que tiene que transmitirse se construye como sigue: Figura 9. Si la combinación de T1 / R1 / R2 no funciona adecuadamente,
ssss: tt.t podemos utilizar esta solución como alternativa.

07/2007 - elektor, la electrónica que sorprende 75


SOBRE EL TERRENO E-BLOCKS

E-blocks: Pantalla
de Colores ¡Com John Dobson y Ben Rowland

La mayoría de nuestros lectores ya están acostumbrados


a utilizar la pantalla LCD alfanumérica (usada ya de
forma habitual) que tiene dos líneas y 16 caracteres. Esto
es interesante, pero no significa que solo tengamos que
utilizar este tipo de pantallas. En este artículo vamos a
ver cómo se usan las pantallas gráficas más avanzadas
que, gracias a los teléfonos móviles, están disponibles
en el mercado fácilmente.

La pantalla de visualización, cuya imagen tenemos so- sucesor ideal para las sencillas pantallas LCD que todos
bre estas líneas, presenta unas características de 132 hemos utilizado, pero existen algunos problemas:
por 132 píxeles, haciendo un total de 17.424 píxeles vDebido a que estas pantallas de visualización se
direccionables de forma individual. Tienen un máximo usan en teléfonos móviles, la interfaz requiere señales
de 65.536 colores individuales disponibles para cada de 3,3 V, un problema si estamos utilizando compo-
píxel y una luz de fondo blanca que proporciona una nentes de 5 V.
visibilidad máxima a la pantalla, incluso en la oscu- vLa luz de fondo funciona con 14 V, lo que significa que
ridad. La interfaz es una interfaz serie de 4 hilos que los teléfonos que utilizan estas pantallas de visualización
funciona utilizando el protocolo del bus SPI. La panta- necesitan algún tipo de circuito conversor de tensión (as-
lla de visualización usada es compatible con la po- cendente) para convertir la tensión de alimentación nor-
pular pantalla LCD a color del Nokia 6100 y también mal de 5 a 14 V.
utiliza el circuito integrado controlador S1D15G14 vEstos dispositivos son puramente gráficos: a diferencia
[1] de la casa Epson. Las pantallas LCD del modelo de de las pantallas de visualización LCD más sencillas, no
Nokia 6100 están disponibles en el mercado por un incorporan un juego de caracteres. ¡Tenemos que crear
precio de unos 30 ` aproximadamente. nuestro propio juego de caracteres!
vEstas pantallas de visualización están diseñadas para
su fabricación en masa y a menudo utilizan un conector
Problemas de montaje superficial exótico, que raras veces se emplea
Esta breve descripción puede inducirnos a pensar en el en un prototipo.

Afortunadamente para los lectores de Elektor, hemos re-


suelto estos problemas como podréis comprobar. Sin em-
bargo, primero veremos con algo más de detalle cómo
utilizar la pantalla.

Escritura de datos
Para enviar un paquete de datos a la pantalla, estos
tienen que colocarse en formato serie. Afortunadamen-
Figura 1. te el circuito integrado interno de la pantalla trabaja
Detalle de la placa en un formato serie que solamente requiere cuatro ter-
de circuito impreso minales. El diagrama de tiempos de la Figura 2 nos
prototipo original con muestra cómo se consigue. El primer bit del dato que
la pantalla de montaje se envía es marcado como “A” e indica a la pantalla
superficial “conectada” si se está enviando un comando o un parámetro. Los
y sobre soporte. comandos se envían con un nivel lógico 0 en este bit,

76 07/2007 - elektor, la electrónica que sorprende


a LCD con Gráficos de
mprendiendo, programando… presentando!
mientras que los parámetros se envían con un nivel ló-
gico 1 en dicho bit. Lo que sigue a este bit es el byte SD A DATABYTE
de datos. Este byte se transmite un bit detrás de otro,
comenzando por el bit más significativo y acabando CL
con el bit no menos significativo. Cada bit es el leído
en la pantalla gráfica LCD en el cambio de nivel bajo CS
a nivel alto de la entrada de reloj. Figura 2.
RS Diagrama de tiempos
075050 - 11 para enviar comandos
Lista de comandos a la pantalla LCD.
Para ayudarnos a controlar la pantalla, el controlador
interno de la casa Epson dispone de un cierto número de
comandos. Hay un buen grupo de ellos, pero en la Ta- Tabla 1. Lista de comandos LCD
bla 1 se proporciona la lista de los más importantes. Valor
Esto nos da una idea de cómo utilizar la pantalla. Por ejem- Comando Parámetro Función
Hexad.
plo, una secuencia de inicio de la pantalla sería la siguiente: SWRESET 01 - Reinicio del programa
1. Se envía el comando 0x01 para reiniciar el circuito
gráfico. Envía control del circuito
SLPIN 10 -
integrado a reposo
2. Se espera 10 milisegundos.
3. Se envía el comando 0x11 para sacar a la pantalla Activa el circuito
SLPOUT 11 -
de su modo de reposo. integrado de control
4. Se espera otros 40 milisegundos. DISINVOFF 20 - Modo normal de pantalla
5. Se envía el comando 0x29 para encender la pantalla. DISINV 21 - Modo inverso de pantalla
6. Se espera otros 40 milisegundos.
ALLPXOFF 22 - Apaga todos los píxeles
ALLPXON 23 - Enciende todos los píxeles
Trabajando con el color
WRCNT 25 1 Selecciona el contraste
Las pantallas de visualización disponen de dos modos
de color básicos: 65.536 colores y 4.096 colores. Así DISPOFF 28 - Apaga la pantalla
pues, una decisión que tenemos que tomar muy al prin- DISPON 29 - Enciende la pantalla
cipio es la cantidad de colores que vamos a utilizar: Selecciona columna
CASSET 2A 2
para la mayoría de las aplicaciones 65.536 colores de dirección
son demasiados y tienen la desventaja añadida de que PASSET 2B 2
Selecciona página
cada píxel de color necesita dos bytes de información de dirección
para representarlo. RAMWR 2C DATOS Escribe en la memoria RAM
En términos de uso de memoria y de velocidad 4.096 RGBSET 2D 20 Selecciona colores RGB
colores es una opción mejor, válida para fotos pero algo
incómoda para los gráficos. Afortunadamente, la panta-
lla nos permite realizar una sub-selección de 256 colores algunas ocasiones. Creemos que el acortar la parte azul
a partir de la paleta de 4.096 colores. Esto nos permi- de esta manera está basado en que nuestros ojos son me-
te representar información de color con tan sólo un byte nos sensibles a la variación de azul en comparación con
de datos, lo cual hace bastante más sencilla y rápida la la sensibilidad frente al rojo y al verde. Estamos seguros
comunicación con la pantalla. Pero, ¿cómo se seleccio- que alguno de nuestros lectores será capaz de confirmar-
nan 256 colores de la paleta de 4.096? En una paleta nos este hecho.
de 4.096 colores se dispone de cuatro bits para cada El sistema propuesto es el que se muestra en la Tabla 2.
uno de los colores básicos, rojo, verde y azul (212 = Si este sistema fuese posible, entonces para conseguir
4.096). Esto significa un total de 12 bits de información el color que deseamos simplemente tendremos que
por píxel, o lo que es lo mismo, 1,5 bytes. De alguna hacer esta aproximación de color en términos de su
manera es necesario reducir esto a tan sólo 1 byte. Pero, contenido RGB.
¿cómo? Veamos una posible solución. Lo que nos gusta- Por fortuna, esto es posible con otra tabla de consulta.
ría conseguir es dividir cada byte de manera que 3 bits Esta segunda tabla de consulta nos permite adaptar
representen la parte roja de color, otros 3 bits la parte los colores del sistema “3 – 3 – 2” con las tonalidades
verde y 2 bits más para la parte azul. Comúnmente esto del sistema “4 -4 -4” de 12 bits. Consideremos la si-
se denomina una representación “3 – 3 – 2” y se trata de guiente tabla para adaptar los ocho colores rojos en el
una técnica que ha sido utilizada en el vídeo digital en sistema “3 – 3 – 2” con los del sistema “4 – 4 – 4”:

07/2007 - elektor, la electrónica que sorprende 77


SOBRE EL TERRENO E-BLOCKS

Tabla 2. Control / Selección de Color


Color R G B Hexadecimal Decimal
Negro 0 0 0 0x00 0
Blanco 111 111 11 0xFF 255
Rojo 111 0 0 0xE0 224
Verde 0 111 0 0x1C 28
Azul 0 0 11 0x03 3
Amarillo 111 111 0 0xFC 252
Naranja 111 11 0 0xF8 248
Lila/Lavanda 100 0 10 0x82 130

3-3-2 4-4-4
0 0x0 Ventanas con microcontroladores
1 0x2 La pantalla gráfica está basada en un dispositivo de
2 0x4
3 0x6
memoria en el interior de la propia pantalla. Por lo tan-
4 0x9 to, cuando estamos escribiendo sobre la pantalla ne-
5 0xB cesitamos decirle a la pantalla dónde debe enviar los
6 0xD datos que se van a presentar. En la práctica, definimos
7 0xF
una pequeña zona de la pantalla como una “ventana”.
Por lo tanto, las ocho tonalidades disponibles de rojo La ventana puede ser una sección tan pequeña como
en el sistema “3 – 3 – 2” son adaptadas a las ocho un único píxel, o podría ser el área de la pantalla com-
tonalidades de rojo del sistema “4 – 4 – 4”. Esta so- pleta. Debemos seguir una serie de pequeños pasos
lución se utiliza tanto para adaptar el rojo como el cuando escribimos la información sobre zonas dentro
verde. Para el azul tenemos que hacer una elección de ventanas:
algo más estrecha, ya que tan sólo podemos represen- 1. Enviar el comando 0x2A para asignar una “columna”
tar cuatro de las 16 tonalidades posibles, tal y como de direcciones.
podemos ver la tabla siguiente: 2. Enviar el parámetro con la coordenada “x” superior
3-3-2 4-4-4 izquierda (de 0 a 131).
0 0x0 3. Enviar el parámetro con la coordenada “y” superior
1 0x4 izquierda (de 0 a 131).
2 0xB 4. Enviar el comando 0x2B para asignar la “ página” de
3 0xF
direcciones.
Afortunadamente, no tenemos que escribir código ni 5. Enviar el parámetro con la coordenada “x” inferior de-
mirar en tablas para implementar todo esto. La pantalla recha de la ventana (de X1 a 131).
LCD ya viene diseñada con esta facilidad y lo único que 6. Enviar el parámetro con la coordenada “y” inferior de-
tenemos que hacer es escribir la selección de color en el recha de la ventana (de Y1 a 131).
sistema “3 – 3 – 2”, para comenzar a hacer la represen- 7. Enviar el comando 0x2C para seleccionar la ventana
tación correspondiente, utilizando el código de comando como zona editable.
0x2D para seleccionar las tonalidades que deseamos. 8. Enviar el parámetro de valor de color que vamos a
Por lo tanto, después de inicializar la pantalla tenemos asignar al píxel superior izquierdo.
que enviar los siguientes comandos: 9. Continuar enviando el parámetro de valores de color
1. Enviar el comando 0x3A para configurar el modo in- hasta que todos los píxeles de la fila hayan sido asigna-
terfaz en formato píxel. dos como un color.
2. Enviar el parámetro 0x02 para configurar la opción 10. Repetir los pasos 8 y 9 para todos los píxeles de la
de 8 bits por píxel. ventana especificada.
Byte 1
Byte 2
Byte 3
Byte 4
Byte 5

3. Enviar el comando
0x20 para configurar la El concepto de una “columna” de direcciones y de una
opción de “sin inversión “página” de direcciones necesita de una explicación.
LSB
de color”. A diferencia de una pantalla LCD convencional, donde
4. Enviar el comando especificamos la colocación del carácter, en términos de
0x2D para construir una coordenadas X e Y para posicionar el carácter, en una
tabla de consulta de colo- pantalla gráfica tenemos que especificar un área de la
res de 8 bits. pantalla que queremos usar. Esto se corresponde con un
5. Enviar las 20 constan- bloque de memoria en el interior del propio dispositivo
tes (de tamaño byte) de de visualización. Una vez que hemos especificado una
Figura 3. color como parámetros localización de memoria o zona de pantalla, tenemos
Ejemplo de letra “M” MSB para construir la tabla de que configurar el color de cada píxel, uno por uno, que
por medio de “píxelado”.
075050 - 12 consulta: esté comprendido dentro de este bloque. No necesita-
mos especificar la localización x e y de cada píxel de
0x00,0x02,0x04,0x06,0x09,0x0B,0x0D, la ventana, el visualizador se encarga de todo esto por
0x0F,0x00,0x02,0x04,0x06,0x09,0x0B, nosotros. Esto puede parecer una técnica extraña, pero
0x0D,0x0F,0x00,0x04,0x0B,0x0F. el dispositivo está gestionado de esta manera por una
buena razón: permite una escritura muy rápida de las
Después de esto, ya estamos listos para comenzar a es- imágenes sobre la pantalla, algo que es una gran venta-
cribir datos sobre la pantalla. Sin embargo, antes de ello ja para reproducir fotografías e incluso vídeo.
vamos a ver algunos nuevos conceptos.

78 07/2007 - elektor, la electrónica que sorprende


Gestión de texto
Una vez que hemos comprendido cómo escribir en un Listado 1. Mapa de caracteres de texto (extracto)
área de la pantalla, vamos a a intentar ahora dar algu- rom char* ASCII3 = {0x36 , 0x49 , 0x49
nas ideas de cómo escribir un carácter en una posición , 0x49 , 0x36, // 8 // 56 - 67
particular de la pantalla. Como ya hemos mencionado 0x06 , 0x49 , 0x49 , 0x29 , 0x1E, // 9
anteriormente, no existe ningún conjunto de caracteres 0x00 , 0x6C , 0x6C , 0x00 , 0x00, // :
interno con este tipo de pantalla: necesitamos construir 0x00 , 0xEC , 0x6C , 0x00 , 0x00, // ;
uno nosotros mismos. Para sacar texto sobre la pantalla 0x08 , 0x14 , 0x22 , 0x41 , 0x00, // <
el primer paso es el de crear una ventana con un tamaño 0x24 , 0x24 , 0x24 , 0x24 , 0x24, // =
de 5x8 para alojar la información de los píxeles. Cada 0x00 , 0x41 , 0x22 , 0x14 , 0x08, // >
0x02 , 0x01 , 0x59 , 0x09 , 0x06, // ?
carácter ocupará hasta un total de 5 bytes de memo-
0x3E , 0x41 , 0x5D , 0x55 , 0x1E, // @
ria para rellenar la ventana de 5x8. Es algo tan sencillo 0x7E , 0x09 , 0x09 , 0x09 , 0x7E, // A
como ir recorriendo los bytes del 1 al 5 de la ventana y 0x7F , 0x49 , 0x49 , 0x49 , 0x36, // B
verificar los bits menos significativos. Si el bit está a nivel 0x3E , 0x41 , 0x41 , 0x41 , 0x22}; // C
lógico 0 enviamos un color de fondo, en caso contrario,
si el bit está a nivel lógico 1 se envía el color del primer
plano. Una vez que hemos hecho esta tarea para los cin-
co bytes, nos movemos hasta los siguientes 5 bits menos
significativos y hacemos la misma tarea, así hasta que la BEGIN
ventana marcada ha sido rellenada completamente con
los datos para sus píxeles. Inicia la pantalla LCD
Así, para la letra “M”, que se muestra en la Figura 3, trisc = 0x00;
la secuencia podría ser la de: 0x7F, 0x02, 0x04, 0x02, Lcd_init();
0x7F. Borra pantalla LCD
De forma similar, para la letra ’m’ minúscula la secuencia
sería: 0x7C, 0x04, 0x18, 0x04, 0x78. Lcd_clear();
Por supuesto, la construcción de la trama de datos para
que podamos escribir cada carácter es una tarea inter- Coloca el Fondo Azul
minable. En la práctica necesitamos una tabla de con- //L cd_box (X...
sulta que especifique el mapa de bits de la imagen para Lcd_box (0, ...
cada carácter. Crea Bordes Blancos
//L cd_box (X...
Lcd_box(25,...
Gestión de gráficos
La gestión de los gráficos es algo un poco más duro. Escribe el texto
Por ejemplo, para dibujar una línea tenemos que decla- //L cd_print(St... “E-Blocks Graphic LCD”
rar una secuencia de ventanas de un píxel por un píxel Lcd_print("E"...
de ancho y enviar un píxel a dicha ventana, o declarar Dibuja Líneas
una gran ventana y crear algo que nos permita calcular //L cd_drawlin...
el dato que necesitamos enviar a la ventana para obte- Lcd_drawline...
ner el gráfico que queremos.
Pinta Cuadrados Coloreadas
//L cd_box (X...
Preparados para el programa Lcd_box (15,...
Si piensa que todo esto suena interesante pero que es Figura 4.
Programa Flowcode
demasiado para comenzar a trabajar sobre ello, ¡no se
END que produce la pantalla
asuste! Nosotros hemos preparado un kit estándar de cir-
que se muestra en la
cuito y de programa para hacérselo un poco más fácil. El
imagen de la introducción.
programa está formado por un número de rutinas escritas
en lenguaje C y de unas tablas de consulta.
Si hablar del lenguaje C le hace temblar, ¡no se pre- Listado 2. Programa LCD de demostración (ejemplo)
ocupe!, estas librerías se colocan fácilmente sobre el
programa Flowcode 3 y hacen que la pantalla LCD esté Lcd_init();
accesible a los programadores de todos los niveles. He- Lcd_clear();
Lcd_box (0, 0, 131, 131, BLUE);
mos proporcionado incluso un programa de demostra-
Lcd_box(25,20,106,65,WHITE);
ción en Flowcode 3 que reproduce el gráfico que nues- Lcd_print(“E”, 3, 2, 2, BLACK, WHITE, 1);
tros lectores pueden ver en la fotografía de la introduc- Lcd_print(“-BLOCKS”, 8, 2, 1,
ción. Podemos utilizar este fichero Flowcode como un BLACK, WHITE, 7);
punto de inicio para todos nuestros programas. Lcd_print(“Graphic LCD”, 5, 6,
El fichero Flowcode se llama Example_file.fcf. A su 0, BLACK, WHITE, 11);
vez, la librería en lenguaje C se denomina GFX_LCD_ Lcd_drawline (25, 67, 106, 67, BLACK);
Functions.c. Ambos ficheros están contenidos en un Lcd_drawline (20, 69, 111, 69, BLACK);
fichero zip comprimido, con la referencia # 075050- Lcd_drawline (15, 71, 116, 71, BLACK);
11.zip, que nuestros lectores pueden descargar de Lcd_box (15, 90, 35, 110, RED);
Lcd_box (35, 90, 55, 110, YELLOW);
manera gratuita de la página web de Elektor. El archi-
Lcd_box (55, 90, 75, 110, GREEN);
vo también contiene un documento suplementario de- Lcd_box (75, 90, 95, 110, ORANGE);
nominado GFxLCD Programming Strategy. Aquí Lcd_box (95, 90, 115, 110, BRIGHTBLUE);
podemos encontrar una gran cantidad de trucos muy

07/2007 - elektor, la electrónica que sorprende 79


SOBRE EL TERRENO E-BLOCKS

útiles, incluso si no estamos interesados en los Bloques Lcd_drawline (X1, Y1, X2, Y2, Colour) dibuja una
Electrónicos. línea del color adecuado entre los píxeles X1, Y1 y X2, Y2.
Debemos señalar que si estamos utilizando el programa Lcd_print (String, X, Y, Size(0-2), FontColour,
Flowcode debemos tener el fichero C en el mismo direc- BackColour, StringLength) imprime una tira de ca-
torio que el fichero Flowcode, ya que el programa Flo- racteres localizados en X, Y, Tamaño 0, 1, ó 2 (tamaño 0
wcode utiliza dicho fichero como una librería C externa es por defecto, 1 usa 4 píxeles por píxel normal, tamaño
durante los procesos de compilación. 2 usa 9 píxeles por píxel normal) con colores en la fuente
del texto y en el fondo. Con este comando también nece-
sitamos especificar la longitud de la trama.
Mapa de caracteres de texto Lcd_box (X1, Y1, X2, Y2, Colour) dibuja una caja
En primer lugar hemos construido un conjunto están- de un píxel basada en las localizaciones de los píxeles
dar de tablas de caracteres que nos permiten el uso X1, Y1 y X2, Y2 con el color que elijamos.
de la pantalla como una pantalla LCD de 22 por 15
caracteres. Cada carácter está realizado a partir de Haciendo referencia de nuevo a la fotografía de
columnas de cinco píxeles por filas de ocho píxeles y la introducción, en el Listado 2 se proporciona el
está basado en la tabla ASCII. Por lo tanto, para escri- programa completo escrito en lenguaje C que utiliza
bir un carácter simplemente tendremos que escribir su nuestra librería.
equivalente ASCII. Además también hemos añadido los El fichero Flowcode de este programa se muestra en la
caracteres en mayúsculas. Aquellos que necesiten ca- Figura 4.
racteres como diéresis, acentos o símbolos diacríticos,
deberán ampliar esta tabla según sus necesidades. El
Listado 1 sólo muestra un extracto, la tabla completa Un Nuevo módulo de bloques electrónicos
está contenida en el fichero denominado TXTCHAR. Podemos comprar el módulo gráfico LCD de los bloques
txt que, a su vez, se encuentra en el fichero comprimi- electrónicos de la sección SHOP (“Tienda”) de la página
do gratuito de este artículo. web de Elektor. El módulo tiene la pantalla LCD conec-
Los caracteres están divididos en grupos (o arrays). Se tada y asegurada sobre una placa de circuito impreso y
han utilizado varios de estos grupos, ya que algunos de está listo para su conexión sobre un sistema de bloques
los compiladores C tienen un límite superior en el tamaño electrónicos, del que tomará todas las señales de control
de sus “arrays”. y de alimentación. Una descripción más amplia del fun-
cionamiento de esta pantalla LCD en este artículo nos hu-
biese llevado a la conclusión de que el módulo también
Funciones estándar es adecuado para otros sistemas que no pertenezcan a
En segundo lugar hemos preparado un conjunto estándar los bloques electrónicos.
de funciones que se comportan de la misma manera que (075050-I)
una pantalla LCD convencional, con los siguientes coman-
dos disponibles para el usuario:
Enlaces un Internet
Lcd_init () inicializa la pantalla.
Lcd_clear () borra la pantalla. [1] Datasheet of S1D15G14 display at www.epson-electronics.de

Publicidad

¡ SU ANUNCIO PUEDE ESTAR AQUÍ !


Infórmese en:
93 811 0551 - publicidad@elektor.es
80 07/2007 - elektor, la electrónica que sorprende
AGOSTO 2007
www.elektor.es

ESPECIAL ES
TOR
SEMICONDUCTO *
EN AGgO. 1S46
ver pá

¿Qué hay del entorno, el mercado y las curiosidades?

OBD- 2
Constrúyelo tu mismo

Analizador de espectros
Las inmediaciones de los 2.4 GHz

El marcador 15 multímetros con conexión serie

Osciloscopio Linux μClinux y U-Boot | Amplificador a válvulas ese sonido nostálgico |


Medidor de inductancia desde 100 nH a 100 mH en un segundito |
Programador Flash AVR contador de componentes = 7 |
Indicador de velocidad vertical silbidos desde la altura |
(*) Disponible en tu punto de venta desde agosto
y durante 3 meses. No forma parte de la suscripción.
Medir – pero
¿exactamente qué?
Provengo de un siglo en el que podías
decir con toda seguridad que un
volante, ruedas, un motor, asientos y
chasis están entre las piezas funda-
mentales que componen un coche.
También recuerdo muchos otras pe-
queñas cosas que me hacían sentirme
dueño y señor del vihicilo. Alegremen-
te ajustaba el ocho válvulas a mi gusto
y nunca me dejó tirado fuera donde
fuera. Si hemos que creer al experto
en tunear coches Chris Vossen del
Multímetros con una P
laboratorio de diseño de Elektor, mi
concepto del coche se ha quedado Un multímetro es la herramienta de medida estándar para todo
bastante anticuado. El coche o, tal aficionado a la electrónica. Podemos utilizarlos para medir ten-
vez, su ordenador de abordo, echan siones, corrientes y resistencias. Muchos de los multímetros mo-
de su asiento al conductor y toman en dernos también pueden medir capacidades y frecuencias. Algu-
control durante mucho tiempo.

En analizador ODB-2 descrito en ésta


edición, te informará en un lenguaje
sencillo que es lo que ocurre debajo
del capó. Yendo un poco más allá, el
116 Analizador OBD-2 Autónomo
ODB-2 suministra solo un subconjunto
de la masiva cantidad de información
que el coche está procesando (y ¿regis-
trando?). El vendedor de tu coche tam-
poco sabrá mucho más si le preguntas
por el conjunto completo de datos. Así,
siguiendo esta línea de pensamiento,
¿qué ocurriría si el ordenador del co-
che pudiera grabar información sobre
nuestro recorrido y velocidad del vehí-
culo? Esto nos llevaría a una cuestión
importante: ¿quién es el dueño de esa
información? ¿Tu, el vendedor, el pirata
informático, el fabricante del coche, el
fabricante del ordenador, ... ?

El multímetro digital es un fiable instru-


mento de medida que tienen la mayo- Este analizador por-
ría de los aficionados a la electrónica.
tátil manual (disponible
Lo podemos encontrar con múltiples
formas y tamaños, pero las versiones
como kit) realiza el sencillo
con interfaz serie son particularmente trabajo de “rebuscar” entre la información
interesantes. Hemos probado varios almacenada en la parte accesible al cliente
de estos instrumentos y mostramos sus del computador de nuestro coche. El dispo-
diferencias y recogemos los resultados sitivo funciona con todos los protocolos OBD-2 actuales y
de las pruebas en un artículo. puede leer y borrar códigos de problemas almacenados en el vehí-
culo, al mismo tiempo que puede reiniciar la pantalla MIL. Todo ello sin la
Si no estas muy interesado en el ayuda de un ordenador y sin visitar el servicio técnico correspondiente.
ODB-2 o en un nuevo multímetro, te
proponemos un estupendo amplifica-
dor de válvulas Clase-A. Un diseño
robusto basado en una tecnología
ampliamente conocida hará que este
amplificador te lleve, en más de un
sentido, al siglo pasado.

Wisse Hettinga
Editor Internacional
CONTENIDO Julio/Agosto 2007
nº. 326 - 2ª Parte (págs. 84-152)

sobre el terreno
96 Analizador de Espectros
WiFi de 2,4 GHz
102 Silbidos Desde las Alturas
108 Sonido de los Tubos

84
116 Analizador OBD-2 Autónomo
126 Osciloscopio Linux
Puerta Trasera 132 Bobina Clínica
136 Flowcurso: Sistema de Alarma
nos de ellos incluso tienen la facilidad de una conexión con 142 Programación Casera Sencilla
un ordenador. En este artículo ofreceremos una panorámica
general de (casi) todos los equipos que están actualmente
disponibles en el mercado con esta última característica.
tecnología
140 Huellas Dactilares
de Confianza
96 Analizador de Espectros WiFi de 2,4 GHz 145 Trucos de Diseño: Comprobador
SDR de Tarjetas de Sonido

Este proyecto utiliza un circuito integra-


do de radio digital de 2,4 GHz para
informática
construir un sencillo analizador de es- y mercado
pectros. Esto puede darnos una imagen
de qué partes del espectro WiFi de 2,4 84 Multímetros con Puerta Trasera
GHz están siendo utilizadas en las
123 OBD – Entre ecología, plaza
zonas vecinas más inmediatas e
incluso puede usarse como una de mercado y Gran Hermano
ayuda para optimizar el uso 146 Ojeada al Próximo Número
del canal y la detección www.elektor-electronics.co.uk
JULY/AUGUST 2007
£ 5.65

de dispositivos que
interfieran. En platform voor elektr

DOUBLE SUMMER
onica

ISSUE

Agosto
Especial Sensors

Power Supplies & Char


gers

108 Sonido de los Tubos SemiconductoresTips & Tricks


Miscellaneous
R34

Es de destacar que las etapas de salida con


tríodos siguen ocupando un lugar importante
información y
en los amplificadores de audio de alta fideli-
dad. A menudo los amplificadores con tríodos
entretenimiento
son bastante caros. El diseño que presentamos 95 Puente de Impedancia
aquí está basado en una combinación de una Modelo 1650-A (1960)
calidad óptima y un coste de montaje razona-
ble. Las claves: los tríodos de potencia 6AS7 y 147 Información y servicios,
un transformador de salida adaptado. Suscripción
INFO & MERCADO MULTIMETROS EN SERIE

M
una

Harry Baggen

Un multímetro es una herramienta de medición estándar para cualquier aficionado a


la electrónica. Podemos usarlos para medir tensiones, corrientes y resistencias. Muchos
multímetros modernos también pueden medir capacidad y frecuencia. Algunos de ellos
incluso disponen de la facilidad de una conexión a un ordenador para almacenamiento de
datos. En este artículo damos una visión general de (casi) todos los dispositivos que están
disponibles con esta característica.

Los multímetros son las herramientas estándar para cual- medir tensiones con alto grado de precisión, necesitaremos
quier ingeniero electrónico. Con este tipo de instrumento po- un tipo de medidor totalmente diferente, preferiblemente uno
demos medir fácilmente tensiones, corrientes y resistencias. que esté calibrado. Para trabajar en ambientes duros se
Estos dispositivos están disponibles en gran variedad de aplican otro grupo de requerimientos.
precios, desde unos pocos euros, en algunos almacenes de En este artículo no queremos mostrar multímetros dentro de
montajes domésticos, hasta cientos de euros en instrumentos un cierto rango de precios o con una cierta precisión, sino
profesionales. El tipo de multímetro elegido depende mayo- que vamos a concentrar nuestra atención en (casi) todos los
ritariamente de la aplicación para la que queramos utilizar- multímetros disponibles con una determinada propiedad,
lo. Si solamente necesitamos chequear ocasionalmente un una interfaz serie. Estos medidores están disponibles en el
fusible en casa o medir la tensión de una batería, entonces mercado desde hace muchos años y ofrecen la facilidad de
un modelo barato será suficiente. Cuando tengamos que enviar el dato medido, a través de un cable, a un ordena-

84 08/2007 - elektor, la electrónica que sorprende


Multímetros con
puerta trasera
Multímetros portátiles
con una interfaz serie
dor, donde puede verse en la pantalla o almacenarse en un otros modelos, pero podemos ver que el conector óptico del
fichero. Esto es particularmente útil para realizar medidas cable tiene tan sólo un diodo receptor. Para aplicaciones
automáticas sobre largos periodos de tiempo (registro de sencillas de almacenamiento esto no es realmente un proble-
datos). Los valores medidos pueden mostrarse en un gráfico, ma. La mayoría de los modelos más caros siempre parecen
para mostrar su variación a lo largo del tiempo, o procesar- ofrecer verdaderas comunicaciones bidireccionales y con
se en, por ejemplo, una hoja de cálculo de Excel. ello puede controlarse totalmente un medidor mediante el
programa del ordenador, el cual también da acceso a me-
Una gran variedad nús de configuración en los casos en que existan.
En este artículo ofreceremos una visión general de los La mayoría de los medidores tienen un cable RS 232, pero
multímetros serie que están actualmente disponibles en el un cierto número de ellos tiene una interfaz USB en su lugar.
mercado, con una corta descripción de sus especificaciones Alguno de los modelos permiten la elección de ambas op-
típicas, así como una descripción de las características ofre- ciones. Para los aficionados y empresas con modernos or-
cidas por el programa suministrado, ya que a menudo esta denadores el puerto USB es una buena elección, pero para
información es difícil de encontrar. aplicaciones industriales es preferible el puerto RS 232. La
Después de una búsqueda intensiva finalizamos con una velocidad de comunicación no es relevante aquí ya que la
lista de aproximadamente veinte medidores que tienen una cantidad de datos a enviar es muy pequeña (como mucho,
conexión serie, de los cuales algunos no están disponibles unas pocas medidas por segundo).
en Europa o es muy difícil conseguirlos. Finalmente obtuvi- El MTX3283 de la casa Metrix es un modelo superlujoso
mos quince medidores para esta visión general hecha en que incluye la posibilidad de una conexión sin hilos por me-
el laboratorio de Elektor, donde hemos evaluado cada uno dio de una interfaz Bluetooth.
con su programa asociado. Los multímetros de este grupo
son bastante variados. Hay amplias variaciones en precio y El programa
en especificaciones. Los rangos de precios van desde unas Aquí también podemos ver claras diferencias entre los mo-
pocas decenas de euros hasta varios cientos de euros, lo delos más baratos y los más caros. El programa suministra-
que dificulta la comparación, así que eso es algo que no do por las casas Digitek, Sinometer, Voltcraft, UNI and Velle-
intentaremos aquí. man es bastante limitado en lo que a prestaciones se refiere.
Por ejemplo, son suficientes si sólo queremos seguir la curva
Conexión a un ordenador de descarga de una batería de ácido de plomo. En cual-
La conexión entre el multímetro y el ordenador se realiza quier caso, siempre seremos capaces de ver el valor medi-
normalmente mediante un cable con un conector DB9 o un do en caracteres grandes de la pantalla, algo que puede
conector USB en uno de los extremos y un conector especí- ser muy útil bajo ciertas circunstancias. Además, el enlace
fico en el otro extremo, con uno o dos componentes ópticos con el ordenador es una característica adicional interesante,
(un LED transmisor y un diodo receptor). Esto crea un buen la cual explotan los fabricantes mostrando una escala analó-
aislamiento galvánico entre el multímetro y el ordenador. En gica simulada muy atractiva en la pantalla.
algunos dispositivos puede haber un conector donde no esté También hay unas pocas características notables. El progra-
claro que se haya implementado un aislamiento galvánico. ma suministrado con el Voltcraft VC-920 y el UNI UT70B
Con el DT8000 de la casa Digitek, por ejemplo, el medidor (parecen muy similares) ofrece unas pocas prestaciones más
tenía una conexión galvánicamente aislada aunque el medi- que el resto de fabricantes y también pueden almacenar
dor tenía un conector estándar DB9. las medidas sobre el disco duro en varios formatos distin-
La mayoría de los multímetros más baratos no tiene realmen- tos. Esto es muy útil cuando queremos procesar los datos en
te verdaderas capacidades de comunicación, sino sólo un una fecha posterior, en una hoja de cálculo Excel o en un
simple enlace en un sentido con el ordenador. Las medidas programa de base de datos. Cuando un programa usa el
se envían al ordenador a intervalos regulares, pero el or- formato CSV (valores separados por comas), veremos que
denador no puede dar comandos al medidor, por ejemplo, la mayoría de los otros programas también pueden importar
para cambiar un ajuste. Con algunos medidores esto puede este formato. Si estamos buscando además alguna caracte-
verse fácilmente debido a que la interfaz óptica del medi- rística adicional, podemos utilizar también un paquete de
dor consta sólo de un diodo LED. Esto es menos claro para programación adicional que puede usarse con alguno de

08/2007 - elektor, la electrónica que sorprende 85


INFO & MERCADO MULTIMETROS EN SERIE

los multímetros de la lista. En particular, el DMM Profilab que podrá soportar unos pocos golpes sin afectar a su pre-
y Realview, ambos fabricados por la casa Abacom. Por cisión. No deberíamos olvidar tampoco el aspecto de la se-
supuesto, primero deberemos verificar que nuestro tipo de guridad. Los medidores más caros cumplen con los estánda-
medidor es soportado por estos programas. res de seguridad Cat III/1.000 V y Cat IV/600 V, mientras
Con los medidores más caros (Fluke, Agilent, Metrix, Gos- que algunos de los modelos más baratos sólo cumplen con
sen, Sanwa) está claro que el fabricante ha puesto más las categorías menos exigentes.
atención en el programa y que está también mejor adap- La elección aquí es clara: si utilizamos un multímetro de
tado a las funciones disponibles de los respectivos multíme- forma ocasional pero no queremos perder las funciones
tros. Con estas versiones podemos configurar un periodo especiales de medición, tales como capacidad y frecuencia
de almacenamiento por adelantado, ajustar la escala, si el (además de, por supuesto, la conectividad a un ordena-
dato debería ser promediado cada cierto tiempo, iniciar el dor), encontraremos que los medidores baratos de nuestro
almacenamiento sólo cuando se haya alcanzado un valor estudio general se ajustan perfectamente a los requerimien-
umbral, etc. Quizás nunca necesitaremos alguna de estas tos. A nosotros nos gusta particularmente el modelo VC-920
funciones, pero para algunas aplicaciones (industriales) son de la casa Voltcraft y el UT70B de la casa UNI, los cuales
muy útiles. Hay más inteligencia en el control del medidor a vienen también con un programa para ordenador de buena
través de un ordenador y, en algunos casos, el medidor pue- calidad.
de controlarse completamente desde la pantalla. Esta funcio- En el extremo de los más caros de la escala la elección re-
nalidad se nota en el precio, pero para uso profesional el sulta más difícil. Todos los medidores tienen sus propias es-
mayor coste raramente será un problema. pecificaciones y ventajas únicas. En la mayoría de los casos
el programa para el ordenador tiene que adquirirse por se-
Los medidores parado, algo que no debemos olvidar cuando valoremos el
La calidad del medidor tiende a determinar la precisión con coste total. El modelo 189 de la casa Fluke, el U1252A de
la que podemos medir las tensiones, corrientes, etc. Esto la casa Agilent, los dos Sanwas, el Metrixes y el Gossens
viene normalmente reflejado en el precio. ¿Necesitamos son todos medidores robustos y precisos con un programa
un instrumento calibrado? ¡Las empresas con certificado muy amplio. Tenemos que hacer una mención especial del
ISO9001 tienen que usar multímetros calibrados, no debe- modelo MTX3283 de la casa Metrix debido a su diseño
mos olvidarlo! Una vez que hemos adquirido un medidor exclusivo, el cual nunca hemos visto antes en el mundo de
calibrado no debemos suponer que su precisión dura para los multímetros. El tiempo dirá si es también de uso prácti-
siempre. Será necesario volverlo a calibrar en intervalos re- co, pero al menos los diseñadores de Chauvin Arnoux (el
gulares de tiempo. fabricante de Metrix) no carecen ciertamente de creatividad
Un multímetro no se usa sólo para hacer medidas a través ni coraje.
de un ordenador, sino que a menudo se usará como un dis- En las páginas siguientes damos una visión general de los
positivo manual con el que hacer algunas medidas rápidas. medidores junto a su programa para ordenador asociado.
Para esto, un dispositivo robusto es lo más conveniente, ya (060321-I)

Agilent U1252A
dor de onda cuadrada, de ciclo de tra-
bajo ajustable, incorporado. Es posible
realizar verdaderas medidas RMS has-
ta los 100 kHz y medidas de frecuen-
cia hasta los 100 MHz. También es
posible el registro de datos autónomo,
con un espacio de memoria interna
para 200 mediciones. Existen muchos
accesorios disponibles para este me-
didor. A través del modo de configu-
ración se puede acceder a un número
importante de ajustes especiales.

Programa:
La Edición Básica del Agilent GUI Da-
talogger (el Interfaz de Usuario para
el Registro de Datos), muestra una
pantalla clara con la configuración del
Medidor: medidor a la izquierda y en la derecha
Conocemos Agilent en primer lugar por un número de etiquetas. Estas etiquetas
su amplia gama de equipos de medi- pueden seleccionarse para mostrar una
da, pero también tienen varios multíme- tabla de medidas, gráficos, el conteni-
tros manuales en su oferta. El U1252A do de la memoria interna del medidor
tiene un aspecto y sensación robustos. y, finalmente, otra etiqueta para la
La doble pantalla de visualización (de configuración del generador interno nombre de fichero generado automáti-
5 dígitos) tiene una barra gráfica y una de onda cuadrada. Para el registro de camente. El programa destacó debido
clara iluminación de fondo, además de datos podemos ajustar un periodo de a las muchas funciones que tiene para
muchas funciones de medición (tales fecha y hora, junto con el intervalo y el control del medidor.
como capacidad, frecuencia, tempera- otros ajustes. Todas las medidas son
tura), y también viene con un genera- almacenadas en un fichero CSV con un

86 08/2007 - elektor, la electrónica que sorprende


Digitek DT-4000ZC
medir frecuencia y capacidad. Dispo-
ne de botones pulsadores separados
para medidas relativas, una función
de almacenamiento y mantenimiento
(“hold”) y otra para cambiar entre fre-
cuencia y ciclo de trabajo. La conexión
al ordenador es un poco inusual, ya
que utiliza un conector de 3,5 mm en
el frontal del medidor. Con el medidor
se suministra un cable RS 232 aislado
ópticamente. Dispone de la función
de apagado automático, la cual pue-
de inhabilitarse cuando se hagan
mediciones prolongadas a través del
ordenador.

Programa:
El programa DMM suministrado es un
poco diferente comparado con la ma- otros ajustes, pero son más bien limita-
yoría de los otros. La ventana principal dos. Los datos pueden almacenarse en
muestra la medición actual en grandes un fichero usando un formato de texto
dígitos, con una escala analógica con separado por comas.
indicador por debajo. Unos pocos bo-
tones abren nuevas ventanas con una
Medidor: tabla de las medidas más recientes y
Se trata de un sencillo, pero mane- un gráfico de las mediciones a lo largo
jable, medidor manual en una funda del tiempo. Por desgracia no es posible
de goma extraíble. La pantalla tiene redimensionar la ventana. El tiempo to-
una resolución de 3 ¾ dígitos. Este tal de almacenamiento y los intervalos
medidor también tiene la facilidad de de muestreo son programables. Hay

Digitek DT8000
gunas funciones que no se encuentran
en cualquier medidor. Por ejemplo,
tiene un generador de onda cuadra-
da incorporado, con una frecuencia
de hasta 5 kHz y un ciclo de trabajo
programable. Además de los botones
para Max/Min y Rel (Máximo/Míni-
mo y Relativo), también hay un botón
temporizador para generar una alarma
después de un tiempo definido por el
usuario. Es posible que el medidor in-
dique cuándo se rebasan por encima
o por debajo determinados umbrales
ajustados previamente. A pesar del uso
de un conector estándar DB9 en este
medidor, está galvánicamente aislado
del ordenador por medio de un acopla-
miento óptico interno.
de función y configuración del medidor
Programa: están duplicados en el programa del
El programa suministrado es comple- ordenador (con comunicación bidirec-
tamente diferente al que viene con el cional). Cuando abrimos la tabla pre-
DT4000. La ventana principal muestra viamente almacenada, ésta aparece en
los dos valores que también aparecen una ventana separada con una repre-
en la pantalla LCD del medidor. Por de- sentación gráfica por debajo.
Medidor:
El hermano mayor del DT4000 ofrece bajo hay un indicador analógico y a la
una mejor resolución (de 5 dígitos), derecha podemos ver las medidas a lo
una pantalla más comprensiva (inclu- largo del tiempo. Hay muchas configu-
yendo la presentación simultánea de raciones que pueden cambiarse en el
dos valores para varios rangos) y al- programa y la mayoría de los botones

08/2007 - elektor, la electrónica que sorprende 87


INFO & MERCADO MULTIMETROS EN SERIE

Fluke 189
Los rangos en AC tienen una medi-
da RMS verdadera hasta los 100
kHz. Posee botones separados para
las medidas MIN/MAX/AVG (máxi-
mo/mínimo/media), para “Hold”
(Mantener), para medida Relativa y
para seleccionar los flancos de ba-
jada y de subida de la señal. Es po-
sible el almacenamiento autónomo
de datos usando la memoria interna.
Dispone de un menú de configura-
ción para seleccionar las distintas
opciones.

Programa:
El programa FlukeView Forms tiene que
adquirirse separadamente y es una apli-
cación comprensible que trabaja con
Medidor: formularios definibles por el usuario. El
Éste es un multímetro muy versátil en programa dispone de un amplio núme-
un estuche robusto y seguro. Tiene ro de ajustes (por ejemplo los tiempos
una precisión estándar muy elevada de arranque y parada para almacena-
del 0,025 %. Dispone de una clara miento, y para el cambio porcentual
pantalla de 4 ½ dígitos (5000) con de la señal, que tiene que ocurrir antes
una barra gráfica independiente. de que se produzca una acción). Tam- La memoria interna de almacenamiento
Además de los rangos estándar, el bién es posible mostrar las mediciones del medidor puede leerse y mostrarse
medidor también tiene la facilidad de dos o tres medidores al mismo tiem- en pantalla. Se puede acceder a la ma-
de medir dBm/dBV, Siemens, gra- po. Los formularios completos pueden yoría de los ajustes estándar del medi-
dos Celsius y Fahrenheit. También almacenarse, pero también es posible dor desde dentro del programa.
mide la capacidad y la frecuencia. exportar sólo los datos en formato CSV.

Gossen Metrawatt Metrahit X-TRA


frecuencia. La memoria interna tiene
una capacidad de 15.400 medidas.
Cumple con el estándar de pro-
tección IP52 contra agua y polvo.
Con un simple conector se pueden
hacer medidas de corriente con
auto-rango, desde 100 mA a 10 A.
Un Sistema de Bloqueo Automático
único permite acceder solamente
al conector relevante para el rango
seleccionado.

Programa:
El programa asociado, Metrawin
10, es apropiado para uso con
un máximo de 10 multímetros a la
vez. El usuario puede escoger entre
una representación numérica de la
Medidor: medición (con barra gráfica), una
Es un medidor de aspecto robusto escala analógica o en modo grafico
en un estuche de fina protección de (incluyendo una tabla con los valo-
goma. Tiene una pantalla de 4 ½ res). Dispone tan sólo de un número
dígitos con dígitos grandes y una limitado de combinaciones posibles.
barra gráfica. Dispone de muchos Además de un grabador Y-t, también
rangos de medida, incluyendo fre- es posible mostrar un grabador X-Y formatos diferentes. Muchos de los
cuencia, temperatura y capacidad. en la pantalla para generar figu- ajustes de los multímetros conecta-
Ofrece medidas RMS verdaderas ras de Lissajous. Existe una función dos pueden cambiarse desde dentro
hasta los 20 kHz. Hay un filtro separada para un análisis FFT de del programa. La memoria interna
paso/bajo conmutable para uso la señal medida. Los datos medi- del multímetro puede leerse y pre-
con medidas de señales AC de baja dos pueden almacenarse en varios sentarse en la pantalla.

88 08/2007 - elektor, la electrónica que sorprende


Gossen Metrawatt Metrahit 29S
una pantalla de alta resolución de 5
1/3 dígitos y una precisión estándar
de 0,02%. También puede usarse
para hacer lecturas de energía y
análisis de las perturbaciones de la
tensión de red. La memoria interna
del registrador de datos tiene una
capacidad de 128 KB para el alma-
cenamiento de las medidas. Pode-
mos hacer medidas RMS verdaderas
hasta los 100 kHz. Además de las
funciones típicas (tales como V/A/
7/f/C/T), también es posible medir
W, VA, Var, Wh y Vah. Por lo tanto,
¡el medidor viene con tres cables de
prueba como equipamiento están-
dar! Dispone de un generador de
frecuencias y de un reloj integrado.
Este medidor también incluye el Siste- programa: tiene muchas opciones di-
ma de Bloqueo Automático único de ferentes para procesar e imprimir los
los conectores de entrada. datos. También es posible añadir tus
propios comentarios al dato medido,
Programa: por ejemplo, en un gráfico. Con la
El programa Metrawin también se ayuda de una calculadora científica
usa con este medidor. Para conectar podemos aplicar diferentes fórmulas
Medidor:
el 29S a un ordenador se suminis- al dato medido. También podemos
Este modelo parece aún más robusto
tra un adaptador separado que se seleccionar diferentes niveles de dis-
que el Metrahit X-tra. Dispone de
desliza sobre la parte superior del paro de forma independiente para
una triple pantalla con un amplio
medidor. Sólo haremos algunas ob- todos los instrumentos conectados.
rango de ajustes, accesibles median-
te cuatro teclas de función. Tiene servaciones adicionales acerca del

Metrix MX26
ción hay un botón de memoria que
almacena los valores representados
en pantalla en memoria. El botón
“Peak” (“Pico”) se usa para alma-
cenar el valor de una pequeña co-
rriente o picos de tensiones. También
dispone de rangos de medida para
capacidad y frecuencia. El medidor
tiene la posibilidad de medidas RMS
verdaderas de hasta 100 kHz. Es
digno de mencionar que la entrada
de tensión está en el lado izquier-
do del medidor (en todos los demás
equipos está en el lado derecho). El
compartimiento de la batería tam-
bién da acceso a los fusibles de pro-
tección para los rangos de corriente.

Programa: cálculos a partir de las medidas


El programa SC-DMM de Medrix (están disponibles varias funciones)
es un programa bien diseñado con y también es posible tomar varias
muchas funciones. No muestra una medidas al mismo tiempo (o, por
escala analógica, sino sólo una ta- ejemplo, añadir dos medidas diferen-
bla de las medidas con la fecha y tes a la vez). Dispone de una opción
la hora en que han sido tomadas. El separada para exportar los datos en
Medidor:
rango de medida puede seleccionar- formato Excel.
Este es un multímetro compacto, bien
diseñado, con pantalla de 3 ¾ dígi- se usando el programa y el medidor
tos y con barra grafica, con un mé- devuelve inmediatamente un aviso
todo de control un poco inusual. Al cuando el rango se ajusta de forma
lado del conmutador de retroilumina- incorrecta. Pueden obtenerse muchos

08/2007 - elektor, la electrónica que sorprende 89


INFO & MERCADO MULTIMETROS EN SERIE

Metrix MTX3283
pueden mostrarse en formato grá-
fico o numérico. Pueden mostrarse
hasta cuatro valores, más la barra
gráfica, a la vez. Dispone de todos
los rangos estándar, incluyendo ca-
pacidad, frecuencia, temperatura,
“hold”, medida de pico y teclas de
memoria, y muchos más. Las co-
nexiones están en la parte trasera,
de modo que los cables no estorben
cuando se use el medidor.

Programa:
El Programa SX-DMM es el mismo
que el suministrado con el Metrix
MX26. Como dijimos antes, este
Medidor: programa ofrece muchas opciones
Este medidor es el más extravagante para el procesamiento y el almace-
que hemos encontrado. Parece muy namiento de medidas y siempre es
futurista y tiene una pantalla gráfica posible aplicar una formula a las
abisagrada con varias opciones medidas realizadas durante el pro-
de presentación. Las configuracio- ceso de adquisición. Todos los ajus-
nes son controladas por medio de tes del medidor pueden cambiarse quier ordenador que esté conectado
un menú y dispone tanto de una desde dentro del programa. El pro- a la red.
interfaz serie como de una conexión grama puede también actuar como
Bluetooth. El medidor tiene una pan- servidor web, lo cual hace posible
talla de 5 ½ dígitos, y las medidas ver los datos medidos usando cual-

Sanwa PC510
cidad (hasta 10 mF), frecuencia y
temperatura. Hay botones indepen-
dientes para las medidas Max/Mín,
Rel, Hold (Mantener), Peak Hold
(Mantener Pico) y otro botón para
hacer zoom sobre la barra gráfica.
Se pueden realizar medidas RMS
verdaderas hasta 20 kHz. Existen
rangos independientes de medida
para 50 7 y para 50 mV DC.

Programa:
Sanwa ofrece la elección de dos
paquetes de programas, PC Link y
PC Link Plus. Este último es capaz
de registrar medidas de un máxi-
mo de ocho medidores de forma
simultánea. El programa no presta
Medidor: mucha atención a sí mismo, igual
Este medidor tiene una cubierta que el medidor. Hemos presentado
gris con protectores de goma y no en pantalla un gran gráfico de los
es exactamente vistoso pero, sin valores medidos, con una tabla de
embargo, tiene mucho que ofre- las medidas por encima del mismo
cer. El instrumento calibrado tiene y una barra lateral para los ajustes,
una pantalla de 3 ¾ dígitos con a la derecha. Además del intervalo o ajustar los límites superiores e infe-
grandes dígitos y una barra grafica de muestreo, también podemos fijar riores y tomar medidas relativas. Los
independiente que reacciona muy una fecha y una hora de inicio y de datos pueden exportarse en formato
rápido (60 x/s según el manual). fin para la toma de medidas. Del CSV, y también pueden transferirse
Este equipo también dispone de los mismo modo, podemos aplicar una directamente a Excel.
rangos normales, incluyendo capa- tabla de linealización para la señal,

90 08/2007 - elektor, la electrónica que sorprende


Sanwa PC5000
cubierta de goma. Sin embargo, las
especificaciones son mucho mejores.
Al lado de la misma barra gráfica te-
nemos la pantalla de 5 ¾ dígitos, la
cual puede cambiarse a 4 ¾ dígitos
mediante un botón, lo que permite
dar lecturas más rápidas en tensión
DC y frecuencia (5 x/s en lugar de
1,25 x/s). Aquí además tenemos me-
didas RMS verdaderas de hasta 20
kHz, medidas de capacidad y fre-
cuencia, así como rangos de sensibi-
lidad adicionales para resistencias y
tensiones DC. Otras funciones son la
medida de lazos de corriente como
la usada en conexiones serie, medi-
das dBm y de ciclo de trabajo. dígitos a 5 ¾, la siguiente medida
se presentó siempre en el formato co-
Programa: rrecto. El programa también puede
Este modelo también puede usarse prepararse para remitir los datos me-
con la aplicación PC Link. Las fun- didos de una vez, o a intervalos re-
ciones son idénticas a las descritas gulares, a una dirección previamente
Medidor: para el PC510. Cabe destacar que configurada, o para enviar un correo
La apariencia externa de este apa- el programa reaccionó inmediata- electrónico cuando se alcanza un de-
rato es exactamente igual que el mente cuando el medidor se cambió terminado valor umbral.
PC510, con el mismo estuche gris, a una función o resolución diferente.
las mismas dimensiones y la misma Incluso cuando se cambió de 4 3/4

Sinometer MS8218
en la parte superior de la misma. La
precisión estándar es del 0,03 % y
se pueden realizar medidas RMS ver-
daderas de hasta 20 kHz. Además
de las funciones estándar también
hay ajustes para medidas de capaci-
dad y frecuencia (y ciclo de traba-
jo) y dispone de un ajuste separado
para medidas dBm. Tiene grandes
botones para los valores Máx/Min,
Rel y Hold, se desconecta a los 15
minutos (lo cual puede inhabilitarse)
y posee un botón Wake (Despertar)
aparte para volver el instrumento a
su posición anterior. La alimentación
es más bien inusual, ya que usa seis
baterías AAA.
do un indicador real. Sólo hay unos
Programa: pocos ajustes y el propio intervalo
La mayoría de los fabricantes imple- únicamente puede ser de 0,5 ó 5
mentan el programa de la misma s. Esto facilita el uso del programa.
forma. En este caso sucede lo mis- El dato es almacenado en formato
mo: una escala analógica y digi- CSV. Después de abrir un fichero
Medidor: tal, un gráfico y una tabla con las aparece una nueva ventana con una
Éste es un gran medidor con estuche medidas es todo lo que se muestra clara vista general de las medidas
de goma verde oscura. Dispone de en una ventana. Un buen detalle es y un gráfico a lo largo del tiempo.
una pantalla de 4 ¾ dígitos con que la pantalla analógica tiene un Moviendo el cursor por el gráfico se
grandes dígitos, y una barra gráfica ligero retardo incorporado, simulan- muestra el valor real de la medida
en ese punto.

08/2007 - elektor, la electrónica que sorprende 91


INFO & MERCADO MULTIMETROS EN SERIE

UNI-T UT70B
ción de los otros aparatos también
las tenemos en éste: además de las
funciones estándar, tiene medidas
de capacidad, de frecuencia/RPM
y rangos separados para grados
Celsius y Fahrenheit. Hay botones
independientes para los valores de
Hold, Min/Max, Rel. y la selección
de conexión RS 232. La pantalla
reacciona un poco lentamente pero,
aparte de esto, el medidor es fácil
de usar en la práctica.

Programa:
El programa suministrado es casi
idéntico al del Voltcraft VC-920 y tie-
Medidor: ne la misma funcionalidad. Se mues-
Éste es un medidor grande y robusto tran cuatro ventanas: el valor medi-
con un estuche protector de goma. do en dígitos, una escala de un me-
La pantalla de 3 ¾ dígitos es bas- didor analógico, una columna con
tante grande y de fácil lectura, con los valores medidos y un gráfico. El
una barra gráfica también. La ilumi- número de medidas es configurable,
nación de fondo puede encenderse así como el intervalo de muestreo. bién tiene controladores USB.
o apagarse independientemente. La Los datos pueden almacenarse en
función de auto-desconexión apaga diferentes formatos. Este medidor se
el equipo después de 30 minutos. completa con una interfaz óptica RS
Todas las posibilidades de medi- 232, aunque el CD entregado tam-

Velleman DVM 345DI


tiene también un ajuste para medir
el “hfe” de transistores (por medio
de un pequeño zócalo). Dispone
de dos rangos independientes de
capacidad y una configuración de
temperatura que utiliza el sensor de
temperatura suministrado. No tiene
auto-desconexión. Tiene un conector
RS 232 poco usual con cinco largos
terminales, y no se hace mención so-
bre el aislamiento galvánico.

Programa:
El programa MAS-View representa
cuatro ventanas: la configuración
DC/AC y, al lado de esto, el valor
(Identical to the MAS-345) medido en formato numérico, una
barra gráfica con una indicación
Medidor: del porcentaje, una tabla con los
Éste es un medidor estándar de 3 valores medidos, y un gráfico de las
¾ dígitos con una funda de fino medidas realizadas. Cada ventana
plástico reemplazable. La ilumina- puede redimensionarse de modo in-
ción de fondo puede conectarse o dividual, podemos modificar el inter- puede almacenarse en algún tipo de
desconectarse independientemente. valo de muestreo y dispone de una formato de texto (.dat), y la gestión
Hay también una barra gráfica de- función de timbre que suena a modo del fichero en el programa es más
bajo de los dígitos. Además de las de alarma cuando las mediciones bien difícil de usar.
funciones habituales, este medidor alcanzan un umbral. El dato sólo

92 08/2007 - elektor, la electrónica que sorprende


Voltcraft VC820
todas las funciones estándar, junto
con la frecuencia y la capacidad.
Hay botones separados para selec-
cionar el rango, el ciclo de trabajo,
las medidas relativas y una función
de mantener (“hold”). La precisión
estándar es del 1%. Por desgracia
no dispone de la facilidad de auto-
desconexión. Aparte de esto, se trata
de un buen medidor básico, espe-
cialmente en este precio.

Programa:
El programa parece similar al del
VC920, pero ofrece menos funcio-
nes. La conexión al ordenador se
hace por medio del cable óptico RS de, aproximadamente, una por se-
232 suministrado. El programa que gundo. La gestión del fichero es algo
corre en el ordenador es sencillo y limitada en esta versión en la que
fácil de usar. El valor de la medi- los datos pueden almacenarse en un
da actual se muestra en una escala fichero y recuperarse de nuevo, pero
analógica y con grandes dígitos. Los el nombre del fichero es fijo.
Medidor: valores medidos también se muestran
Éste es un medidor de precio compe- en una tabla y en un gráfico. Pode-
titivo con un estuche de fácil sujeción mos ajustar el tiempo entre mues-
y una pantalla clara de 3 ¾ dígitos. tras, pero el medidor mantiene las
A pesar del bajo precio se incluyen medidas enviadas a una velocidad

Voltcraft VC920
de fondo blanca. Se desconecta au-
tomáticamente después de una canti-
dad de tiempo ajustable. La pantalla
principal tiene 4 ¾ (40000) dígitos.
Dispone de muchos ajustes diferentes
tales como indicación Min/Max,
Peak, Hold, Rel., almacenamiento y
presentación de un número de medi-
das, frecuencia (hasta 400 MHz) y
capacidad (hasta 40 mF). Toma me-
didas RMS verdaderas de hasta 400
kHz. Es un equipo bien diseñado
que ofrece muchas funciones.

Programa:
El programa adjunto es claro y fácil
de usar. La ventana muestra el valor baciones predefinido o grabar de
actual, una escala de un medidor modo indefinido. Los valores medi-
analógico y los valores medidos rep- dos pueden ser almacenados en un
resentados en una tabla, así como fichero (Excel, base de datos o for-
en un gráfico. Usa un puerto RS 232 mato texto) y también pueden leerse
para la conexión con el exterior. de nuevo. El tiempo de muestreo
Medidor: También es posible utilizar el bus puede ajustarse con un número de
Éste es un medidor robusto con USB, pero necesitamos comprar un segundos y la grafica puede despla-
bordes de goma fina. Tiene una cable opcional para ello. No dis- zarse y ampliarse.
gran pantalla doble con una barra pone de función de ayuda.
gráfica, y una brillante iluminación Podemos medir un número de gra-

08/2007 - elektor, la electrónica que sorprende 93


INFO & MERCADO MULTIMETROS EN SERIE

PVP (aprox.) Nº de dígitos Precisión AC (RMS


Marca y modelo C f Otros rangos
sin IVA [Hz] básica [%] verdadera)1
Digitek DT-4000ZC 32 3 3/4 (4,000) 0.5 100 μF 10 MHz - ciclo de trabajo
Voltcraft VC-820 40 3 3/4 (4,000) 0.9 100 μF 10 MHz - -
Sinometer MAS-345 49 3 3/4 (4,000) 0.5 400 nF - - hfe
Velleman
63 3 3/4 (4,000) 0.5 400 nF - - hfe
DVM345DI
Digitek DT8000 73 4 5/6 (80,000) 0.03 100 μF 8 MHz - contador de revoluciones, dBm
UNI-T UT70B 73 3 3/4 (4,000) 0.8 40 mF 400 MHz - contador de revoluciones
Voltcraft VC-920 105 4 3/4 (40,000) 0.1 40 mF 400 MHz 400 kHz ciclo de trabajo; RS232
Sinometer MS8218 181 4 5/6 (50,000) 0.03 5 mF 2 MHz 20 kHz ciclo de trabajo; dBm
Sanwa PC510 212 3 5/6 (5,000) 0.06 1 mF 125 kHz 20 kHz -
Metrix MX 26 237 4 5/6 (50,000) 0.3 50 mF 500 kHz 100 kHz
Sanwa PC5000 351 5 5/6 (500,000) 0.03 1 mF 2 MHz 20 kHz duty cycle; dBm; RS232
Gossen Metrawatt
449 4 1/2 (12,000) 0.05 1 mF 1 MHz 20 kHz ancho de pulso
Metrahit x-tra
ancho de pulso; dBm;
Agilent U1252A 450 4 5/6 (50,000) 0.025 100 mF 20 MHz 100 kHz
dBV
Fluke 189 509 4 5/6 (50,000) 0.025 50 mF 1 MHz 100 kHz pulsewidth; dBV
Gossen Metrawatt
639 5 1/3 (310,000) 0.02 30 mF 300 MHz 100 kHz dB;W;VA;Var;Wh;Wah
29S
Metrix MTX 3283
670 5 1/2 (100,000) 0.02 2 MHz 200 kHz dB; ancho de pulso
Bluet.
1 Los valores no son comparables de un equipo a otro debido a las diferentes precisiones dadas por cada uno de los fabricantes.

Luz de
auto funciones extra PVP
Marca y modelo fondo de cable Programa
desconexión M=Min/Max, A=Media, H=Hold, P=Pico, R=Relativo sin IVA 2
pantalla
Digitek DT-4000ZC - • H, R RS232 incl. -
Voltcraft VC-820 - - H, R RS232 incl. -
Sinometer MAS-345 • - - RS232 incl. -
Velleman
• - - RS232 incl. -
DVM345DI
Digitek DT8000 - - P, H, R RS232 incl. -
UNI-T UT70B • • M, H, R, RS232 incl. -
Voltcraft VC-920 • • M, H, P, R RS232 incl. -
Sinometer MS8218 • • M, H, R RS232 incl. -
Sanwa PC510 - • M, H, P, R, Zoom RS232 PC-Link 110
Metrix MX 26 • • P, Mem RS232 SX-DMM 150
Sanwa PC5000 - • M, H, P, R RS232 PC-Link 110
Gossen Metrawatt
• • M, H, generador de onda cuadrada, memoria de datos USB MetraWin 190
Metrahit x-tra
Agilent U1252A • • M, H, P, R, generador de onda cuadrada, memoria de datos USB incl. -
FlukeView
Fluke 189 • • M, A, H, P, R, memoria de datos USB 175
Forms
Gossen Metrawatt M, H, generador de onda cuadrada, memoria de datos,
• • USB MetraWin 190
29S reloj de parada
Metrix MTX 3283
• • M, H, P, R, m emoria de datos USB SX-DMM 150
Bluet.
2 Programa incluyendo el cable

Fabricante Modelo Dirección de internet del fabricante


Agilent U1252A www.agilent.com
Digitek
DT-4000ZC, DT8000
Sinometer www.elv.de
MAS-345, MS8218 UT70B
Uni
Fluke 189 www.fluke.com
Gossen Metrawatt 28S, Metrahit x-tra www.gossenmetrawatt.com/default.htm
Metrix MX 26, MTX 3283 www.chauvin-arnoux.fr
Sanwa PC510, PC5000 www.sanwa-meter.co.jp
Velleman DVM345DI www.velleman.be
Voltcraft VC-820, VC-920 www1.uk.conrad.com

94 08/2007 - elektor, la electrónica que sorprende


RETRONICS INFOMACIÓN Y ENTRETENIMIENTO

Puente de impedancia modelo 1650-A (1960)

Jan Buiting
e inclinar la caja antes de poder manipular cualquier interruptor. Por
Este instrumento de prueba, de color verde pálido, producido por la casa General fortuna, las correspondientes instrucciones estaban impresas en el asa
Radio Corporation (GRC), es bastante corriente para su rango de aplicación (bá- de soporte del instrumento. Hemos intentado describir todo el procedi-
sicamente, se trata de un medidor LCR portátil), pero es prácticamente único por miento en una pequeña captura de imágenes que se muestran en este
su construcción mecánica y su bajo consumo de energía. artículo. Como mandan los cánones en Estados Unidos, la construc-
ción de los brazos y del conjunto asociado para desplazar e inclinar el
De acuerdo con el manual de 1960, el modelo 1650-A es un “sistema instrumento, está protegida bajo patente. Debido a la cuidadosa elec-
de medida de impedancias integrado que incluye cinco puentes para ción del centro de gravedad del propio instrumento y a su conexión
la medida de condensadores, resistencias, e inductancias, así como con los brazos de desplazamiento, es prácticamente imposible dañar
los generadores y detectores necesarios para medidas desde DC a 1 la tapa frontal, o cualquier control del panel frontal del instrumento,
KHz AC”. En 1960, un 1650-A sin accesorios opcionales sólo habría por un mal manejo del equipo. El instrumento se abre de forma muy
costado unos 1.000 dólares americanos escasos. intuitiva y es muy seguro y estable, a la vez que muy cómodo para su
Según especificaciones, los puentes tienen una precisión del 1 % en transporte. Por desgracia, esto no es del todo cierto para este 1650-A
todos los rangos de medidas de C, R y L, y una alta precisión para en particular, el cual tiene dos terminales de desplazamiento de blo-
las características de “D” y “Q”. Aunque estoy acostumbrado a tra- queo que desaparecen en los brazos de inclinación (estas partes he
bajar con la mayoría de estos parámetros de componentes pasivos, tenido que fabricarlas yo mismo a partir de un trozo de acero).
me costó algún tiempo averiguar qué quería decir el parámetro “D”. Aunque el 1650-A dispone de todas las características de un ancla
Afortunadamente, el manual del 1650-A, elaborado por GRC para de barco de tubo, está alimentado por cuatro pilas tipo “D” de 1,5 V,
la mayoría de los científicos, venía con las explicaciones de manejo y las cuales se deslizan dentro de un tubo en el interior del instrumen-
los fundamentos básicos teóricos del instrumento (algo muy raro en to, detrás de una tapa que está en la caja del instrumento. Sorpren-
aquellos días), y el parámetro “D” se podía encontrar en la página 2, dentemente, el alojamiento para las pilas estaba totalmente libre del
donde se explicaba que era el “factor de disipación” = R/X = 1/Q. De tradicional polvillo blanco producido por las pérdidas de las baterías
acuerdo, de modo que cuanto peor es el factor de calidad de una bo- que han sido usadas a lo largo de los 20 años de trabajo de este
bina o de un condensador mayor será su disipación. Bastante bien. equipo. El 1650-A tiene un pequeño consumo de corriente de 60
La explicación de los símbolos usados prolijamente precede a una mA, como máximo, para todas las medidas de continua DC (excep-
abultada sección en el manual sobre la matemática que está detrás to para valores bajos de resistencias) y 60 mA para medidas de AC.
de cada uno de los cinco puentes en el instrumento. Estoy seguro que El secreto: un circuito transistorizado montado sobre una “placa de
la generación de estas páginas se decoró con matemática de núme- circuito cableado”.
ros complejos, símbolos y circuitos equivalentes para todos los com- Nuestros lectores podrán encontrar en nuestra página web un vídeo
ponentes R, L y C, y sus configuraciones de puentes fueron el mayor donde se muestra el uso actual del 1650-A de la casa GRC, hecho
esfuerzo realizado, si no un trabajo infernal. Esto era una cosa que, por el equipo técnico del laboratorio de Elektor.
de nuevo, raramente se veía en aquellos tiempos de “dar al conmu- Tenemos que dar las gracias al Sr. Cor de Boer por poner a nuestra
tador y volver a la tienda si no funciona”. disposición este instrumento.
En el caso del 1650-A se requería utilizar un método poco usual: abrir (075064-I)

Retronics es una columna mensual que trata electrónica antigua, incluyendo legendarios diseños de Elektor. Son bienvenidas todas las contribuciones, sugerencias y
preguntas, por favor enviarlas al mail del editor: editor@elektor-electronics.co.uk, subject: Retronics EE.

08/2007 - elektor, la electrónica que sorprende 95


SOBRE EL TERRENO MEDICIÓN RF

Analizador de espectro
¿Qué está pasando en su zona?
Jason Hecker

Este proyecto se aprovecha de ciertas partes de la circuitería de un circuito integrado de radio digital
de 2,4 GHz para construir un sencillo analizador de espectros. Esto puede darnos una imagen de qué
partes del espectro WiFi de 2,4 GHz están usándose en las zonas vecinas más inmediatas, e incluso
puede emplearse como una ayuda para optimizar el uso del canal y la detección de dispositivos que
interfieran.

La banda Industrial Científica y Médica tencia de salida máxima del transmisor, rencia [1] ya tratamos sobre un dispositivo
(ISM) de 2,4 MHz ha experimentado un ancho de banda, montaje de antena fija bastante similar, el CYWUSB 6934.
gran auge en los últimos años en el mer- y otros aspectos. Estas características de- Tanto las hojas de características del dis-
cado de las comunicaciones personales de ben de fijarse y verificarse antes de que se positivo 6935 como el propio módulo, los
corto alcance. Debido a su naturaleza no permitan las unidades comerciales de 2,4 podemos encontrar en las referencias [2]
necesita licencia y es de disposición univer- GHz en el mercado. Siempre tendremos y [3] respectivamente. Como podemos ver
sal, por lo que se han desarrollado todo que obtener el correspondiente certificado en el diagrama de bloques simplificado de
tipo de dispositivos de comunicaciones de para cualquier equipo transmisor de 2,4 la Figura 1, el circuito integrado 6935 con-
uso doméstico y de oficina. Esto incluye la GHz del Instituto Regulador Nacional de tiene un modulador, una frecuencia de re-
omnipresente tecnología LAN “WiFi” sin cada país, como el FCC en Inglaterra. ferencia programable, un demodulador y,
hilos, los teléfonos digitales sin cable, los lo más importante para este proyecto, un
transmisores de vídeo y de audio, los te- El corazón del proyecto Indicador de Intensidad de Señal Recibida
clados y ratones sin hilos y el sistema Blue- El circuito integrado que estamos utili- (RSSI, del inglés Received Signal Strength
tooth entre otros. El término “sin licencia” zando en nuestro montaje es el CYWUSB Indicator). La combinación del generador
no significa que cualquiera pueda cons- 6935 de la casa CDypress Semiconduc- de frecuencia programable y del RSSI nos
truir su propio transmisor y comenzar a tors, un completo módem digital de dos permite construir un analizador de espec-
utilizarlo, sino que se debe de cumplir con vías que requiere muy pocos componentes tros básico. Así, incrementando la frecuen-
las normas existentes en lo referente a po- externos para poder trabajar. En la refe- cia del generador de señal y leyendo el
registro RSSI un pequeño tiempo después,
podemos generar una imagen muy útil de
la banda de 2,4 GHz, que nos muestre
CYWUSB6935
los niveles relativos de la actividad en esta
DIOV A L
DIO banda ISM.
El propio circuito integrado está construi-
DSSS GFSK RFOUT
IRQ
SERDES
Banda Modulador do sobre un encapsulado QFN. Se trata
A
base
A
de un encapsulado de montaje superficial
SS
SCK
que no permite su soldadura con el tra-
DSSS
MISO Digital
SERDES Banda dicional soldador de estaño. Afortunada-
MOSI GFSK
B base
Demodulador RFIN mente, la casa Cypress ha puesto en el
B
mercado un módulo que puede emplear
RESET
PD Sintetizador
cualquiera que sepa usar un soldador de
estaño en proyectos muy útiles. Este mó-
dulo contiene el propio circuito integrado,
unas pequeñas antenas y el conjunto de
X13OUT
X13IN
X13

070040 - 11
componentes necesarios para su correc-
to funcionamiento. Así pues, todo lo que
nos queda es proporcionar algún tipo de
Figura1. Diagrama de bloques del circuito integrado transmisor/receptor de datos CYWUSB 6935 de la casa Cypress. alimentación y unas pocas señales digi-

96 08/2007 - elektor, la electrónica que sorprende


s WIFI de 2.4 GHz

tales para comunicarnos con el circuito de silicio conectados en serie pueden ha- un nivel lógico de 3 V.
integrado. cer caer la tensión USB de alimentación El propio bus SPI requiere las señales RE-
No debemos olvidar que podemos obtener desde los 5 V a unos 3,6 V. Tres diodos SET, MOSI (salida de datos), MISO (entra-
muestras del circuito integrado CYWUSB harán que esta disminución de tensión da de datos), SCK (reloj de datos) y SS (se-
6935 de la propia casa Cypress. llegue hasta los 2,9 V. Ambas opciones lección de esclavo). Esta última señal indi-
son válidas para el funcionamiento de ca el comienzo y el final de la transacción
Interfaz este circuito integrado. Debemos tener en de datos. El protocolo SPI es una interfaz
Los registros internos del circuito integra- mente que la fuente de alimentación de con señalización síncrona del equipo con-
do pueden leerse y escribirse utilizando el un ordenador permite que la tensión de trolado, donde el dato que va a ser trans-
sencillo protocolo SPI. Como se trata de la línea de + 5 V pueda trabajar hasta
un componente CMOS de 3 V (más exac- con un valor de 4,7 V (llegando a valo-
tamente 3,3 V), esto quiere decir que con res más bajos incluso en fuentes de ali-
la correspondiente conversión de nivel, el mentación baratas o sobrecargadas), de K1
13
3V3

dispositivo puede conectarse a un micro- manera que la opción de dos diodos se- 25
12 PAPEROUT MOD1
2

controlador o un puerto paralelo TTL. En ría suficiente. La solución más cara es la


VDD

24
11
CYWM6935
este proyecto nos hemos decantado por el de utilizar un regulador de tensión lineal 23
10 ACK
8
3
MISO PD
10
4
IRQ RESET
puerto paralelo (debido a su sencillez y a que nos transforme la tensión de + 5 V 22
9
7
5
SCK SS
6

MOSI
su omnipresencia) que está presente en la en una tensión de 3,3 V. También se pue- 21
GND

GND

mayoría de los ordenadores personales, de utilizar la fuente de alimentación de un 20


1

aunque la idea aplicada en dicho proyec- banco de trabajo, si no se dispone de nin- 19


6 GND

to también puede llevarse y aplicarse fá- gún puerto USB. La interfaz TTL del puerto 18
5
R1
cilmente a un microcontrolador conectado paralelo de un ordenador se puede utili- 17
4
SELECT
10k
R2
directamente al componente. zar fácilmente para conectar el puerto SPI 26
16
3
INIT
10k

Debido al uso de una tensión de alimen- de 3 V basado en tecnología CMOS. La 27


15
2 R3

tación de 3 V (o de forma más precisa, tensión de salida digital del puerto para- 14
1
AUTOFEED
STROBE
10k
R4
10k
entre 2,7 y 3,6 V), los requerimientos de lelo puede reducirse para cumplir con la D Connector 25
R6 R5 R7 R8
la interfaz digital de este circuito integra- compatibilidad de niveles realizando tan
15k

15k

15k

15k

GND

do son bastante sencillos, de manera que sólo una sencilla división de tensión. La K2
1
D1 D2 D3
3V3
podemos obtener un esquema eléctrico entrada de señal al puerto paralelo es una 2
3 3x 1N4001 GND

sorprendentemente sencillo para nuestro conexión directa, de manera que un nivel 4

analizador de espectro (véase la Figura 2). lógico alto VH será reconocido como tal
5
6

USB-A
070040 - 14
Existen un par de opciones para alimen- hasta valores de tensión de entrada tan GND

tar el propio circuito integrado. El puerto bajos como 2,4 V. La experiencia del au-
paralelo no puede suministrar suficiente tor de este artículo le ha demostrado que
corriente para hacer trabajar a este com- una salida a nivel alto en el puerto para- Figura 2. Esquema eléctrico del circuito del analizador de
ponente, pero el puerto USB dispone de lelo está siempre por encima de los 4 V, espectros para la banda WiFi de 2,4 GHz, basado en el circuito
la posibilidad de suministrar un valor de por lo que un divisor de tensión con una integrado Cypress CYWUSB 6935. ¡La única función de la
corriente mucho más amplio. Dos diodos relación de 0,6 es suficiente para obtener conexión USB es la de proporcionar alimentación al circuito!

08/2007 - elektor, la electrónica que sorprende 97


SOBRE EL TERRENO MEDICIÓN RF

Búsqueda y RSSI programa están las rutinas del puerto pa-


Para conseguir una imagen de la activi- ralelo y del controlador SPI. Los usuarios
dad en el espectro de 2,4 GHz, el genera- de Linux pueden compilar y ejecutar el
dor de señal ubicado dentro del CYWUSB código siempre y cuando dispongan de
6935 debe estar recogiendo continuamen- las librerías y cabeceras de desarrollo y
te la frecuencia y el nivel de señal para de tiempo de ejecución del QT4 (para el
cada frecuencia medida. El generador de GUI), junto con las librerías y cabeceras de
señal interno del CYWUSB 6935 puede desarrollo del kernel (para el puerto para-
programarse para trabajar a una de las lelo) instaladas. El código binario suminis-
128 frecuencias disponibles, comenzando trado corre bajo Ubuntu 6.10 “Edgy Eft”,
en 2,4 MHz y utilizando intervalos de 1 pero también puede trabajar sobre otros
MHz. La banda ISM se extiende tan sólo sistemas Linux recientes. Para construir el
Figura 3a. Actividad WLAN 802.11b en el canal 9.
hasta los 2,483 GHz, por lo que no hay programa QTScan basta con lanzar el
nada que buscar más allá de esta frecuen- programa ejecutable “make”.
cia (esto quiere decir que el dispositivo no El puerto paralelo debe estar configurado
tiene por qué funcionar por encima del en la BIOS como “SPP” pero, dependien-
paso 83). do de la circuitería interna de nuestro or-
El circuito RSSI situado en el interior del denador, también es posible que funcione
CYWUSB 6935 ha sido diseñado para to- en modo EPP y ECP.
mar una captura de 50 μs de la señal de Como el bus SPI utiliza un protocolo se-
entrada y hacer una estimación de la po- rie, cada byte tiene que colocarse en serie
tencia recibida en ese momento. El pro- y recolocarse en paralelo por programa.
ceso de “escaneo” (o rastreo) es sencillo, Esta transmisión serie combinada con el
basta con seleccionar la frecuencia, dis- puerto paralelo trabaja a velocidades muy
parar el circuito RSSI, y leer el valor del bajas del bus ISA (por motivos de compa-
Figura 3b. Fuente local, probablemente el reloj de una CPU. registro RSSI después de 50 μs. tibilidad histórica), de manera que el pro-
El registro RSSI nos proporciona un valor grama de búsqueda actual trabaja tan
comprendido entre 0 y 31. De acuerdo sólo a una fracción de la velocidad que el
con las hojas de características, el rango circuito integrado es capaz de trabajar. La
entre 0 y 10 nos indica que el nivel de se- velocidad de búsqueda o barrido se po-
ñal recibido está por debajo de los - 95 dría mejorar en gran medida utilizando un
dBm. El rango comprendido entre los va- microcontrolador con un puerto SPI dedi-
lores 28 y 31 significa que el nivel de la cado o un puerto de E/S digital de propó-
señal recibida está por encima de los - 40 sito general que es capaz de trabajar a
dBm. Esto significa que cada paso entre velocidades mucho más elevadas. Ya te-
los valores 10 y 28 se corresponde con un nemos un nuevo reto. Pedimos a cualquie-
valor de – 3 dBm, aproximadamente: ra de nuestros lectores que consiga una
aplicación que corra sin problemas sobre
(- 90) – (- 40) / (28 – 10) = - 3,056 dBm su plataforma ARM, PIC 24F, R8C o AVR
Figura 3c. Unidad Bluetooth USB buscando fielmente otros por cuenta. que nos lo haga saber y nos pondremos
dispositivos Bluetooth.
en contacto con él.
El propósito del registro es darnos una in- Debido a la naturaleza lenta del puerto
dicación de si hay algo o no transmitien- paralelo del bus ISA, hemos realizado al-
do en la banda, más que darnos un va- rededor de unas 600.000 llamadas por
lor preciso de la potencia absoluta de la segundo a la función “ioctl ()”, que po-
señal. Si no hay señal por encima de un drían ejecutarse durante la lectura y es-
cierto umbral, podemos pensar que se tra- critura de los registros de puerto paralelo.
ta de una zona segura donde trasmitir, sin La circuitería del puerto paralelo establece
miedo a ser interferido por otras señales las instrucciones “inb” y “outb” llamadas
en nuestro receptor. por la función “ioctl ()”, algo que es una
Algunos tipos de calibraciones puede que eternidad para las modernas CPUs. Esto
no determinen el nivel de potencia preciso coloca una carga de sistema muy eleva-
para que cada registro cuente de manera da sobre el ordenador, de manera que se
Figura 3d. Horno de microondas con llamada CQ en 2,4 GHz adecuada, y esto puede variar de disposi- produce un enlentecimiento en el ordena-
tivo a dispositivo. Las hojas de caracterís- dor mientras el programa espera que se
mitido se envía en orden MSB (bit menos ticas mencionan que cuando se hace una completen las transacciones “inb” y “outb”
significativo). El primer byte escrito en la búsqueda para un canal vacío, se deben en el puerto paralelo.
línea MOSI contiene dos bits del control y realizar hasta diez lecturas para determi- El programa simplemente hace un barri-
seis bits de direcciones. Una transacción nar claramente si el canal está totalmente do de los 83 canales de modo repetitivo.
de escritura va seguida por otros 8 bits de libre o no. Como podemos ver en los ejemplos de las
datos. Si se ha solicitado una operación Figuras 3a a 3d, el programa QTSacn
de lectura, el equipo esclavo devuelve un El programa muestra los resultados de la búsqueda ac-
byte desde la dirección seleccionada, una El programa QTScan para este proyecto tual como una línea roja. De fondo tene-
vez que el byte de control inicial ha sido ha sido escrito para ejecutarse en Linux y mos la captura de un histograma en verde
escrito. utiliza QT4 como GUI. Dentro de dicho que nos muestra los niveles de pico detec-

98 08/2007 - elektor, la electrónica que sorprende


tados en cada frecuencia. Con este dispo-
sitivo el histograma de picos puede llevar Lista de materiales
decenas de segundos para desarrollar una
imagen útil de la actividad en la banda de Resistencias
R1-R4 = 10k7
2,4 GHz, de manera que tenemos que ser R5-R8 = 15k7
pacientes. Sin embargo, la mayoría de los Semiconductores
barridos tan sólo producirán en torno a un D1,D2,D3 = 1N4001
pico por barrido. Varios
MOD1 = módulo Cypress del tipo
Las líneas verticales amarillas marcan CYWM6935
la frecuencia central de los canales WiFi K1 = conector Sub-D de 25 terminales (ma-
802.11. El eje X comienza a 2,4 GHz y cho) en ángulo recto para montaje en Placa
acaba a 2,483 GHz. Cada paso en el eje de Circuito Impreso (PCI)
X es de 1 MHz. El eje Y representa los 32 K2 = conector USB-B, para montaje en PCI
PCI = Placa de Circuito Impreso con código
valores de niveles disponibles en el regis- de pedido nº 070040-1 en www.thePCBs-
tro RSSI. Si asumimos los valores de nive- hop.com Ficheros con el código fuente, con
les en dBm calculados anteriormente, el descarga gratuita, con código
eje Y comienza a – 125,6 dBm y acaba a nº 060378-01 en la página
www.elektor-electronics.co.uk
- 30,8 dBm. En el momento en que está-
bamos escribiendo este artículo supimos
que se estaba desarrollando un programa Figura 4. Plano de montaje de componentes de la PCI diseñada para el analizador de espectros.
para MS Windows.
Todos los programas para este proyecto ninguno de los lóbulos principales están inevitable que algunas de ellas dejen su
están disponibles de forma gratuita en la solapados. rastro. La Figura 3d muestra los niveles de
página correspondiente a este proyecto, señal dejados por el horno de microondas
dentro de la página web de Elektor. Basta Reloj de la CPU del Ordenador del autor, que trabaja en la cocina a unos
con ir a MagazinemJune 2007m2,4 En la captura de la Figura 3b, el pico de 5 m de distancia, a través de los muros.
GHz WiFi Spectrum Analyser, o buscar por la izquierda es como pensamos, casi con También podemos apreciar como dicha
el fichero 070040-11.zip toda seguridad, la emisión de un orde- señal ocupa el espectro. Las transmisio-
nador. La información proc/cpuinfo nos nes WiFi pueden soportar estas interferen-
Resultados dice que la CPU está trabajando a una cias, hasta cierto punto, debido al proceso
Los resultados caseros obtenidos por el velocidad de 2,310 GHz, pero en el pico de espectro ensanchado que utilizan, junto
autor contienen varias fuentes de radia- que se muestra está a una frecuencia de con las técnicas de retransmisión de pa-

Retos del diseño: Cualquier lector con una aplicación correcta del circuito integrado CYWUSB 6935
que esté funcionando sobre su plataforma ARM, PIC 24F, R8C o AVR puede notificarlo a Elektor.
ción de 2,4 MHz, incluyendo el ordenador, 2,410 GHz en el programa QTScan. Tam- quetes. Esta captura llevó en torno a unos
el horno de microondas, dispositivos Blue- bién podría ser un armónico de los distin- 50 segundos.
tooth y un punto de acceso IEEE 802.11b tos relojes de funcionamiento de un orde-
(WiFi). Si esto les resulta familiar lean lo nador moderno. La señal está presente en
que viene a continuación. todas las pantallas.
Modificaciones de la Antena
Las antenas que se encuentran localizadas
IEEE 802.11b Bluetooth en la placa de circuito impreso (PCI) han
La Figura 3a muestra un punto de ac- Los dispositivos Bluetooth utilizan el espec- sido diseñadas tan sólo para trabajar con
ceso WLAN que trabaja sobre el canal 9. tro completo de 2,4 GHz de la banda ISM. un corto alcance (que, de acuerdo con la
Esta captura nos llevó dos minutos para La Figura 3c muestra un dispositivo Blue- casa Cypress, llegan hasta los 50 m), ya
acumular todos los picos medidos. La se- tooth USB conectado a un ordenador que que el propósito original era realizar una
ñal mostrada proviene de una señal bali- está buscando otros dispositivos Bluetooth. transmisión y recepción de datos. La an-
za regular que cualquier punto de acceso Da la impresión que está saltando entre tena colocada sobre el módulo Cypress
transmite de forma continua. La pantalla varios de sus propios canales en la bús- CYWUSB 6935 puede desconectarse (con
nos muestra el lóbulo principal centrado queda de un dispositivo cliente Bluetoo- un pequeño cuchillo o “cutter”) y montar-
plácidamente en la línea indicadora del th que esté intentando comunicarse. Esta se en su lugar un conector SMA o MCX
canal 9. Las protuberancias a ambos la- captura llevó un tiempo de 10 segundos. para permitir la conexión de una antena
dos del lóbulo principal son lóbulos late- más grande directamente o mediante una
rales típicos de un espectro QPSK (“qua- Horno de Microondas cierta longitud de cable coaxial de bajas
drature phase shift keying”, es decir, mo- No es un accidente que el espectro de los pérdidas. Esto podría aumentar el alcan-
dulación por desplazamiento de fase en 2,4 GHz sin licencia caiga dentro de la ce de detección del dispositivo, al mismo
cuadratura). También podemos ver el so- misma banda asignada a los hornos de tiempo que proporciona una direccionali-
lapamiento de los canales adyacentes. La microondas. Este tipo de hornos puede dad cómoda si utilizamos antenas como la
forma más sencilla de asegurarnos que no generar hasta un kilovatio de potencia de antena Conifer [4], la antena Pringles o la
tenemos varias redes locales 802.11b que una señal (pulsada) de difusión en el es- antena de precisión de Elektor [5].
estén interfiriendo, es asegurándonos que pectro de microondas, de manera que es

08/2007 - elektor, la electrónica que sorprende 99


SOBRE EL TERRENO MEDICIÓN RF

cosas. Desde aquí pedimos nuestras dis-


culpas e intentaremos solucionarlo mos-
trando una foto de la placa prototipo del
autor de este Analizador de Espectros WiFi,
que podemos ver en la Figura 5. Como
podrá observar es fácil remontar todo el
circuito sobre una pieza de placa de pro-
totipo. Estamos ansiosos de recibir algún
correo electrónico de SticklersforPerfection
& Co, en respuesta a la publicación de un
ejemplo de un circuito electrónico “no tan
rápido” (pero totalmente funcional).

(070040-I)

Referencias y Enlaces en Internet


Figura 5. Prototipo del analizador de espectros que Jason nos ha enviado amablemente por correo (desde Australia). [1] Radio Control using WLAN ICs, Elektor Elec-
tronics Febrero de 2007.
[2] www.cypress.com/portal/server.pt?space=C
Montaje ponentes para montar la placa de circuito ommunityPage&control=SetCommunity&Co
Gracias al uso de un módulo ya fabrica- impreso diseñada por Elektor, de la que mmunityID=209&PageID=259&fid=65&rp
do, no tendremos los quebraderos de ca- se muestra el plano de pistas y el plano n=CYWUSB6935
beza que supone ajustar las tiras de pis- de implantación de componentes en la [3] http://download.cypress.com/publishedcon-
tas de la placa de circuito impreso cuando Figura 4. La placa está disponible a tra- tent/publish/design_resources/
trabajamos con gigahercios, comparando vés de nuestro asociado The PCBShop datasheets/contents/cywm6935_8.pdf
placas PTFE Duroid, ajustando osciladores (www.thepcbshop.com). El montaje de los [4] www.mrx.com.au/wireless/
e intentando saber si el receptor trabaja o componentes no debe suponer ningún ConfierModifications.htm
averiguar qué son los gigahercios. problema. [5] Diseño de Antena WLAN, Elektor de Febrero
Sólo necesitamos el módulo Cypress y A veces, Elektor ha sido criticada por no de 2007.
una cantidad ridícula de diferentes com- mostrar el lado menos organizado de las

Una unidad comercial


El analizador de espectros Wi.Spy ha sido anunciado orgullosamente como “el analizador de espectros más pequeño del mundo, una herra-
mienta incalculable para valorar costes de una WLAN, resolver problemas de conexiones WiFi y optimizar la implementación de sistemas WiFi”.
El dispositivo que se suministra en la actualidad está formado por un pequeño lápiz
USB, sellado cuidadosamente, de una longitud aproximada de 4,5 cm. El programa
que se suministra con el CD se denomina Chanalyser 2.0 (beta) y hace una referen-
cia indirecta a metageek.net como su fuente. En la caja se indica que el dispositivo
es totalmente compatible con Windows 2000, XP, Linux y MacOS.
Wi.Spy tiene algunas prestaciones interesantes como la traza de datos, la traza me-
dia, la traza de picos, la visión de la frecuencia de canal y la grabación y reproduc-
ción de dichos datos. Sorprendentemente, Wi.Spy indica que es capaz de capturar y
mostrar interferencias provenientes de teléfonos DECT los cuales (creemos nosotros)
trabajan entre las frecuencias de 1,880 y 1,900 GHz, bastante alejados del rango
de frecuencias de la banda
ISM, que va desde los 2,4
hasta los 2,483 GHz. Pero,
si es así, el receptor interno
debería ser un modelo con
un ancho de banda muy
grande.
El coste de la unidad es
de 119y podemos
conseguirlo a través de la
página web
www.wlan-shop.nl.

100 08/2007 - elektor, la electrónica que sorprende


Visual Basic para Aplicaciones
de Ingeniería Electrónica
41,50 E
*

5.0, 6.0, VBA, .NET, 2005 x 23,5 cm.


Tamaño: 17
Ya hace tiempo que el PC ha dejado su función como 476 Págs.
simple ordenador para convertirse en una máqui-
na multipropósito. Este libro está dirigido a aquellos
que desean controlar desde su ordenador hardware
comercial o construido por ellos mismos.

Utilizando Visual Basic como herramienta rápi-


da para el desarrollo de aplicaciones te llevare-
mos a conocer el mundo del PC más allá de sus
conectores.

Después de familiarizarte con Visual Basic, su en-


torno de desarrollo y las herramientas que ofre-
ce, te enseñaremos extensamente cuestiones
tales como: comunicaciones serie, puertos de
impresora, manejo de bits, emulación de pro-
tocolos, ISA, interfaces USB y Ethernet y el con-
trol remoto de equipos de medida sobre el bus
GPIB. Cada tema va acompañado por código
claro y listo para ejecutar y, cuando es preciso,
se suministran los esquemas eléctricos nece-
sarios para conseguir que tus proyectos estén
listos rápidamente.

Este libró te mostrará cuestiones avanzadas


como: el uso de herramientas como el Debu-
ger para encontrar direcciones de hardware,
establecer comunicaciones remotas vía TCP/IP
y UDP e incluso escribir tus propios servidores
de Internet. O cómo conectar tu propio hard-
ware en un puerto USB o Ethernet y controlar-
lo desde Visual Basic. Otras cuestiones como
la comunicación Internet/programa, DDE y el
nuevo interfaz gráfico de Windows XP tam-
bién están debidamente tratadas.

Todos lo ejemplos están listos para ser


compilados con Visual Basic 5.0, 6.0, NET
o 2005 También están ampliamente co-
mentadas las diferencias entre lo que po-
dríamos llamar el Visual Basic Clásico y el
Visual Basic ..NET y 2005.

(*) Gastos de envío: 8,50 E

Visual Basic para Aplicaciones de Ingeniería Electrónica (Visual Basic for Electronics Engineering
Applications) está escrito en Inglés y puede adquirirse a través de nuestra página web:

www.elektor.es
SOBRE EL TERRENO VSI

Silbidos desde las alturas


Indicador acústico de velocidad vertical
Thierry Charlès

No nos andaremos por las ramas, la única función del


Indicador Acústico de Velocidad Vertical (VSI) descrito
en este artículo es la de proporcionar al piloto de
un planeador de modelismo por RadioControl
(RC) la información sobre la velocidad de
subida (o de caída) del avión.

dad vertical para aviones de modelismo


está equipado con un sensor de presión.
Cuando el planeador se mueve en el pla-
no vertical, la presión atmosférica medida
un montaje extrema- sufrirá una variación. La electrónica aso-
damente cuidadoso, ya ciada convierte este cambio de presión en
que el rango dinámico de una cantidad de aceleración vertical que
las señales que circulan por la es utilizada para modular una señal de
placa de circuito impreso alcanza audio, transmitida a través de un enlace
los 120 dB. de radio hacia el receptor y, por último,
llevada a los oídos del piloto. La ascen-
General sión se indica por medio de una señal de
Todo avión que se precie está equipado tonos elevados, mientras que el descenso
Este indicador está diseñado para detectar con un instrumento de navegación, el se indica por tonos más bajos. En cada
variaciones muy pequeñas en la presión “VSI” (Indicador de Velocidad Vertical), dirección de la variación (ascenso/descen-
atmosférica, de manera que permita que que indica sobre una aguja la “velocidad” so) existen tres rangos de velocidad vertical
un piloto de aviones de modelismo equi- de ascenso o descenso (en ft/mn = pies que producen tres frecuencias diferentes.
pados con este dispositivo, pueda man- por minuto o m/s = metros por segun- Por debajo del umbral de velocidad más
tener el avión dentro de la corriente as- do), en otras palabras, lo rápido que el bajo la frecuencia de audio es modula-
cendente, especialmente cuando éstas son avión está ascendiendo o descendiendo. da por la velocidad, cambiando desde un
poco frecuentes. Nuestro indicador acústi- Un piloto de aviones planeadores de mo- tono continuo a un “beep” intermitente.
co de velocidad vertical es un ejemplo de delismo permanecerá detrás de su control
algunos de los montajes electrónicos más remoto, con los ojos pegados al cielo, in- Prestaciones a conseguir
complejos con los que nos hemos encon- tentando adivinar cuándo aparecerá una El avión debe cubrir una zona de vuelo a
trado, que utiliza tanto tecnología analógi- aceleración vertical para coger la corriente una altitud comprendida entre 0 m (nivel
ca como digital. El proyecto combina tanto térmica que permitirá que el avión remon- del mar) y 3.000 m. Para poder “coger
RF como BF y por esta razón se requiere te el vuelo. Nuestro indicador de veloci- una corriente térmica” cuando el viento

102 08/2007 - elektor, la electrónica que sorprende


aún no está soplando, el VSI debe tener
la capacidad de medir una velocidad ver-
tical baja: la sensibilidad a conseguir es
de 10 cm/s (< 20 ft/mn).
También es necesario que el piloto dispon-
ga de esta información en tiempo real,
de manera que no haya que esperar un
segundo completo antes de que el piloto
sea informado. El tiempo de reacción del
circuito (medidas de presión + procesa-
miento + generación de audio + transmi-
sión) debe ser menor de medio segundo.

Diagrama de bloques
Como se muestra en el diagrama de blo-
ques de la Figura 1, la unidad completa
está formada por dos módulos: un trans-
misor, instalado en el planeador (el blo-
que superior de la serie) y un receptor en
Figura 1. Diagrama de bloques de los dos sub-conjuntos que forman parte del VSI acústico: transmisor (superior) y receptor (inferior).
tierra (el bloque inferior de la serie).
Los componentes que se montan sobre la
placa son un detector de presión absoluta, reaccionar a una variación de 10 cm, la reduce, por lo que la tensión de salida del
un cuádruple amplificador operacional (la electrónica del VSI necesita una sensibili- sensor cae y la señal de salida de la cade-
cadena analógica de procesamiento), un dad mejor de 50 μV. na analógica es negativa con respecto a
microcontrolador de ocho terminales (que La tensión proporcionada por el sensor la tierra virtual VEE. Durante el descenso
se encarga del procesamiento digital), y puede variar desde 0,5 V (0 mb) a 4,75 sucede lo contrario.
un transmisor UHF en miniatura. Una V (1.100 mb). Por otro lado, el rango di- Analicemos más detenidamente todo
fuente de alimentación compacta hace námico de nuestro VSI está limitado entre esto. La resistencia R10, el condensador
posible suministrar la potencia necesaria los valores de 0 y 3.000 m, por lo que la C7 y el circuito integrado IC4A forman
(> 200 mW) a partir de un acumulador tensión de salida válida estará compren- un diferenciador. R7 es una resistencia de
de polímero de litio (LiPo) de 7 V, pensan- dida entre los valores de 4,75 y 2,95 V. Si compensación para establecer la ganan-
do también que cualquier pila o batería establecemos una tierra virtual VEE a la cia del circuito sin tener en cuenta la fase.
recargable con una tensión comprendida tensión de 2,5 V, esto nos permite obtener El análisis del circuito muestra que tam-
entre 6 y 12 V sería válida. una velocidad de salida directamente de bién hay un filtro con una frecuencia de
la cadena analógica. En ausencia de ve- corte baja de 0,23 Hz. Este filtro elimina
Circuitos locidad, la salida es de 2,5 V (tierra virtual los movimientos rápidos que tienden a re-
Ahora que ya tenemos una idea de los cero). Si el avión asciende, la presión se petirse (vibraciones). La ganancia G del
subconjuntos que forman parte de este
proyecto, es el momento de echar una
mirada a los esquemas eléctricos del cir- VCC R2
R3 R1
cuito. Para mantener las cosas lo más sen- GND 47k
500
47k VCC
R4
cillas posibles, hemos dividido el proyec- C5 C6 56k

to en dos esquemas eléctricos indepen- IC2 3 9


3

10u 100n R5
VCC

16V 1 6 8
C7 IC4A 1k IC4C
dientes, uno para el transmisor (Figura VOUT
1
R7
10k
2
IC4B 7
R6
1k5
10
VCC GND 5
GND

2) y otro para el receptor (Figura 3). Va- 68u


25V
R10
Pressure Sensor
2

mos a comenzar con el más complejo, el R9 100k C8


10k

MPX5100AP R8
5k6

JP1 GND
transmisor. VCC
680n

GND
R11 VCC
10k

R12
JP2 VCC
Analógico 13
10k

14
IC4D
Hemos optado por un sensor MPX 5100AP R13
12
C9 C10 4 C1 C2
10k

R14
C11 IC4
(de Motorola, que ya se ha convertido en JP3
10k

10u 16V 100n 11 10u 100n


16V
100n
la compañía Freescale) ya que nos per- R15 GND
8
10k

mite alcanzar la sensibilidad deseada, sin


VCC

JP4 GND GND


7 3 IC4 = AD8040ARZ
PB2 PB3
llegar a ser un componente miniaturiza- VCC
6
5
PB1
IC3
AT-tiny15
PB4
2
1
GND VCC PB0 PB5
do. Es más, es compatible con los que se R16
GND

R17
ANT1 C12
están utilizando en modelismo, mientras
1

10k
4

ANT-433-SP BZ1
100n
que se mantiene a un precio bastante ase- C13 MOD1
GND
D1 GND Vbatt IC1 VCC
7

R18 78M05CKTPR
quible (en la página web de la referencia
VCC

75

10n 2
DATA K2 BAT54 1 3
T1
[7] podemos descargarnos las hojas de GND
5
TXM-433-LC
ANT LADJ
4
SIG
+ K1
+7V...+12V
2

características de dicho sensor). GND R19


FDV301N
R20 GND
BATT.
C4 C3
8
6
3
1

100

1M

100n 100n
El sensor MPX 5100AP es sencillo de usar: GND

proporciona una tensión de salida DC


GND GND GND GND 060044 - 11
con un margen de 45 mV/kPa, como por
ejemplo, 512 μV por metro (a nivel del
suelo y a 15° C). Por lo tanto, para poder Figura 2. Esquema eléctrico del transmisor. La antena integrada y el sensor son los componentes de mayor tamaño.

08/2007 - elektor, la electrónica que sorprende 103


SOBRE EL TERRENO VSI

IC1 a la salida del segundo filtro permane-


VCC Vbatt μA78M05CKC VCC
ce dentro de los límites del nivel de vuelo
1 3
R4
K1 “configurable” (± 20 cm/s o 30 cm/s, por
200
C1 C2
ejemplo, cuando la velocidad vertical es

2
+7V...+15V
0V 100n 100n menos de 3 ó 4 cm/s) durante 7,2 segun-
C9 C8 dos seguidos. Este filtrado proporciona el
100n 10u
GND
valor medio del ruido residual, asumien-
16V
do que este valor representa el “offset” a
5

GND 6
VCC

K3 PDN
6 2
R6 IC3B
7 la salida de la cadena analógica: el valor
16 1 5
ANT MOD1 R5 IC3A 12k correspondiente se emplea en “desplazar
8 3
DATA 47k
GND
R7
C10 digitalmente” el valor de la tierra virtual.
4k7

De esta manera, las líneas a la salida de


15

RXM-433-LC-S
3n3
la cadena analógica se compensan en
GND GND GND
tiempo real.
VCC
En conjunto, todo este procesamiento in-
VCC
C11 4
C12 C13
9
8
crementa la sensibilidad de forma dinámi-
IC3C
IC3 = TL084CN IC3 10u 16V 100n 10 ca para llevar la amplitud de ruido de la
100n 11
señal buscada a ± 2 LSB (± 5 cm/s).
GND GND
C4
GND
En presencia de este procesamiento, y
6n8
LM380N-8
K2 habiendo definido (tras consultar con el
C3
7

13
3
6
100u usuario final) el umbral mínimo de velo-
IC2
R2 R3 IC3D
14 2
16V
cidad detectable a 20 cm/s (de subida),
5

12 R1
el circuito no produce ninguna señal au-
4

5k9 10k
GND
8

2R7

C7
P1
C5 LS1
dible de espurios en el banco de prue-
C6
3n3 100k 470n CMS0231KLX
bas, a menos que haya un pronóstico de
100n
tormenta…
060044 - 12
GND
Por debajo del umbral de velocidad del
“nivel de vuelo”, el programa conside-
Figura 3. El esquema eléctrico del circuito receptor aparenta ser muy pequeño realmente, ya que los módulos integrados hacen la
ra que el avión está volando de forma
mayor parte del trabajo.
nivelada.
circuito diferenciador se calcula por medio tro entradas de 10 bits para un conversor Para producir la señal audible se han defi-
de la fórmula: A/D, dos contadores programables y una nido cuatro valores de velocidad: 50, 75,
G = - 6,8 dP / dT memoria EEPROM. Además, este dispo- 1 y 2 m/s. Por debajo de 1 m/s la frecuen-
El circuito integrado IC4B amplifica la se- sitivo puede trabajar sin ningún compo- cia de audio se genera a 625 Hz, cuan-
ñal con una ganancia G = 56x. nente adicional (cristal, tensión de refe- do estamos descendiendo, y a 1.000 Hz,
El filtro paso/bajo de primer orden, forma- rencia, reset, etc.). Si elegimos VCC como cuando estamos subiendo, siendo modu-
do por la resistencia R6 y el condensador tensión de referencia, el conversor A/D de lada por la velocidad, lo que da un tono
C8, con una frecuencia de corte de 156 10 bits tiene una resolución de 210. Así, codificado a la velocidad más baja, hasta
Hz, elimina la mayor parte de este ruido. por ejemplo, para + 5 V tenemos 5 ÷ un tono continuo a la velocidad de 1 m/s.
La función del último amplificador opera- 1.024 = 4,88 mV, lo que define el um- Entre 1 y 2 m/s, el sonido audible es el de
cional, IC4C, es la de adaptar las impe- bral de sensibilidad del circuito en 12,8 un tono continuo de 1.250 Hz para la su-
dancias entre el filtro y la entrada del con- μV para, por ejemplo, 2,5 cm/s (12,8 μV bida y de 430 Hz para la bajada. Más allá
versor A/D del microcontrolador. x 6,8 x 52). de los 2 m/s el tono audible producido es
Para una velocidad vertical de 10 cm/s En el programa se ejecuta una interrup- una señal continua a 1.650 Hz, para la
la señal de salida buscada del sensor de ción cada 5 ms. Cada interrupción pre- subida, y de 310 Hz, para la bajada.
presión (dP / dT) es de 51 μV, la cual es para una conversión A/D en el siguien- La modulación de activación/desactivación
detectada y amplificada por la cadena de te orden: la tierra virtual, la velocidad, la (codificación) por debajo de 1 m/s se in-
procesamiento analógica. A la entrada del presión absoluta y la tensión de batería. crementa para velocidades por debajo de
microcontrolador, esta señal buscada (de Así pues, cada uno de estos parámetros 75 y de 50 cm/s, de forma que nos permi-
19,3 mV) es “inundada” de ruido y “off- es codificado a 50 Hz. ta detectar las variaciones de velocidades
set” por medio de la tensión de “offset” Para extraer la señal buscada, el progra- más lentas.
(desplazamiento). ma realiza tres operaciones de filtrado. La generación de la señal de audio ne-
El microcontrolador realiza de manera di- La primera proporciona el valor medio de cesita estar “desacoplada” de la tensión
gital el procesamiento de la señal. cinco medidas consecutivas, lo que pro-
porciona una velocidad, facilitada a través Table
Digital de cinco muestras obtenidas a una velo- Speed Climbing Descending
La cadena de procesamiento digital extrae cidad de 10 Hz. El segundo filtro realiza <30 cm/s Nothing Nothing
la señal buscada a partir de la informa- una media a 10 Hz de los últimos valores 1,000 Hz 625 Hz
<1 m/s
ción con ruido y, a continuación, calcula “n” (donde “n” es configuradores) de la keyed keyed
la velocidad correspondiente y genera la velocidad filtrada. Este valor de velocidad 1,250 Hz 430 Hz
señal de audio. obtenido a la salida de esta segunda eta- >1 m/s
steady steady
El microcontrolador que hemos elegido es pa de filtrado se usa para producir la se-
el ATtiny 15L de la casa Atmel, que es lo ñal audible. 1,650 Hz 310 Hz
>2 m/s
suficientemente potente a pesar de dispo- La tercera etapa de filtrado es habilitada steady steady
ner tan sólo de ocho terminales, con cua- cuando la señal de velocidad producida

104 08/2007 - elektor, la electrónica que sorprende


continua para variaciones rápidas de
velocidad.
Sin este procesamiento, la señal de audio
Desarrollo del programa
estaría constantemente variando y, por lo El código ha sido escrito en lenguaje ensamblador, utilizando herramientas proporcionadas por
tanto, dificultando su interpretación. Para la casa ATMEL como Sudio 3,5 y, posteriormente, Studio 4, disponibles para su descarga gratuita
obtener una información de audio con “in- de la página web de ATMEL (Ref. [8]). Una vez que nos hemos hecho con esta herramienta, la es-
formación”, el procesamiento de genera- critura, depuración y emulación son un juego de niños.
ción de audio toma los valores máximos
de velocidad producidos sobre los tres ci- Cargaremos el programa VMR-0-4.hex en la memoria flash utilizando una de las herramientas
clos (el valor máximo medido cada 300 adecuadas que están disponibles en el mercado. En el desarrollo de este proyecto hemos utilizado
ms). la herramienta STK 500 en combinación con el programa Studio 4.
Para alcanzar la sensibilidad máxima en
la cadena analógica, el circuito necesita Una vez que hemos hecho la carga, el microcontrolador tiene que configurarse para trabajar de
obtener una estabilidad térmica y que el manera correcta: RESET = interno, BROWNOUT = 4 V y, si es posible, aunque no esencial, utilizar
condensador de diferenciación “haya al- una calibración constante del reloj interno. En la página web de la casa ATMEL podemos encontrar
canzado su carga de balanceo”. numerosos ejemplos de código (tanto en Ensamblador como en lenguaje C), evitando la necesi-
Para diferenciar casi cualquier tensión con- dad de escribir cualquier cosa desde el principio (Ref. [9]). La explicación que nos permite una
tinua (frecuencia < 0,1 Hz), la constante explotación correcta de los recursos del microcontrolador es bastante clara y contiene una gran
de tiempo del condensador de carga tiene cantidad de información, también podemos descargarnos las hojas de características detalladas
que ser larga. Si partimos de un arranque del microcontrolador en la página web de ATMEL (Ref. [10]).
frío, el funcionamiento estable se alcanza
después de 20 minutos de trabajo. Si co- Una vez creado y depurado, el programa VMR-0-4 ocupa el 99 % de la memoria flash y el 40 %
menzamos desde un “arranque en calien- de la memoria EEPROM.
te”, el punto de trabajo se alcanza entre 3
y 5 minutos después de dicho arranque. El programa se ejecuta a una velocidad determinada por el temporizador “Timer 0”, el cual gen-
Esta diferencia se debe en parte a la esta- era una interrupción cada 5 ms (tiempo de procesamiento de 200 μs). Cada cinco ciclos, se eje-
bilización de temperatura, así como al he- cuta el proceso principal (tiempo de proceso < 400 μs).
cho de que cuando partimos de un arran-
que en caliente, el condensador de dife- La tarea de fondo se encarga de gestionar la conmutación entre la tarea principal de procesa-
renciación está ya parcialmente cargado. miento, de colocar al microcontrolador en su modo de reposo y de generar la señal de audio de
La operación de inicialización se realiza salida. ¡Y todo esto le lleva menos de 50 μs!
en tres etapas:
En lenguaje ensamblador los tiempos de procesamiento son extremadamente cortos.
1.La tensión en el conversor A/D cae rápi-
damente desde los 2,5 V hasta los 195,2 Para esta aplicación, el tiempo de procesamiento no es un factor que limite. El principal problema
mV (la tensión diferencial entre los termi- viene creado por el tiempo de escritura de la memoria EEPROM (como no se dispone de memoria
nales 3 y 2 del microcontrolador) en me- RAM se hace uso de los recursos de la memoria EEPROM para almacenar los datos). La duración
nos de tres minutos. Este retardo puede de un ciclo de escritura es de 8,192 ciclos de máquina que, por ejemplo, son 5,12 ms para una
variar ya que depende del tipo de arran- frecuencia de reloj de 1,6 MHz. La tarea de procesamiento que se realiza a una frecuencia de 50
que: en caliente o en frío. Durante esta Hz ejecuta tres instrucciones de escritura y 16 de lectura. Hay que decir que no existen limitaciones
fase la salida de audio produce una señal en la duración de la lectura.
de 800 Hz modulada con activación/des-
activación. En esta etapa el vuelo es posi- Esta tarea requiere un tiempo de procesamiento de 3 x 5,1 ms = 15 ms, un valor que sigue siendo
ble, pero se recomienda encarecidamente compatible con el tiempo de ciclo de 20 ms. Para limitar los efectos de un proceso sin control, el
no hacerlo. generador de interrupciones, que establece la velocidad de procesamiento en 5 ms, está interrum-
2.La tensión de entrada del conversor A/ pido durante esta tarea.
D está por debajo de los 190 mV, el um-
bral de nivel de vuelo ha sido fijado a 30
cm/s y el microcontrolador “compensa” el 3.Una vez que la corrección de “offset” En Internet (Ref [3]) podemos encontrar
“offset” de esta entrada analógica. Esta calculado en la fase anterior ha caído y descargarnos el conjunto de las hojas
compensación se establece de dos modos: por debajo de los ± 20 cm/s, la primera de características de dicho módulo, que
ajustando el “offset” de forma dinámi- auto-calibración correcta finaliza el proce- son justas y adecuadas. Con un receptor
ca por medio de una auto-calibración re- so operacional de inicialización y seleccio- estándar, así como con un receptor dedi-
gular mientras la medida de la velocidad na, de forma automática, una sensibilidad cado construido especialmente para esta
permanece por debajo de los ± 30 m/s de ± 20 cm/s. aplicación, el rango es suficiente.
(asumiendo el nivel medio del vuelo); y su- La antena del transmisor, de 50 7, ha
perponiendo sobre esta primera compen- Sección de RF sido diseñada para la mayoría de los ni-
sación inversa la corrección (predefinida) El transmisor de RF es lo más pequeño veles de radiación más bajos, por lo que
para la carga del condensador de dife- posible y su frecuencia de trabajo la más ocupa un espacio muy pequeño. Así que
renciación. Durante esta fase el vuelo es alta permitida, de manera que se asegure hemos optado por una antena integrada
posible, aunque con una ligera reducción una compatibilidad en frecuencia con el de tipo planar, la 433 SP2 “Splatch” de
de las prestaciones: sensibilidad reducida receptor de Radio-Control (RC) y se limite la casa LINX (¡otra vez!). La elección de
y un riesgo de aparición de pequeños ni- el tamaño de la antena que acompaña este tipo de antena nos permite producir
veles de tensión de continua en la salida a este módulo. Hemos elegido el módulo un transmisor extremadamente compacto.
de audio. TXM-433 de la casa LINX Technologies. Nada sobresale de la placa, ni siquiera el

08/2007 - elektor, la electrónica que sorprende 105


SOBRE EL TERRENO VSI

R4
Antenna C8

+
ANT1
IC1

K3 MOD1

MOD1 R19 BZ1


C9
R20 P1
IC3 C4 C1 C2
© ELEKTOR
R18
C9 060044-1 R2
T1 R12 K1 +7..15V
C11 R3
R14 C11
R16 C13 R3 C7 R5 C5
C1 IC4
R6 C8 IC3 C10 R7
R2 R8 C2
R6
R1 K2 0V
D1 C10 IC2
SIG
C6 C13
+
IC2 R17 R7 LS1 C12 +
0V R10 R5 R4 © ELEKTOR
C12 C5 060044-2
SERVO IN
C7 C3 R1
R15 +

K2 C6
JP4 C3 C4
JP3
+7..15V 0V JP2
K1 JP1
© ELEKTOR R13
060044-1 R11

IC1
R9

Figura 4. Diagrama de pistas y plano de implantación de componentes del circuito transmisor. Figura 5. Diagrama de pistas y plano de implantación de componentes del circuito emisor.
sensor de presión. El circuito debería alimentarse de la pro- ción, junto con la interferencia generada
Ahora es el momento de hablar del recep- pia batería del avión. Para conseguir esto por los servomotores, nos lleva a un fun-
tor. Esta versión del receptor, cuyo circuito todo lo que tenemos que hacer es sustituir cionamiento incorrecto de la cadena ana-
se muestra en la Figura 3, es extrema- el circuito integrado IC1 por una bobina lógica y en la consecución de la sensibili-
damente sencillo: un modulo receptor de tipo “choque” de protección. Aunque esta dad requerida.
RF, RXM-433-LC, también de la casa LINX solución ahorra el espacio ocupado y el La cadena de procesamiento necesita una
Technologies, un filtro paso/bajo para su- peso, no es la mejor recomendación. Los tensión de alimentación estabilizada (ten-
primir todo excepto la señal de audio de- aviones de modelismo están alimentados sión de + 5 V regulada), y la masa virtual
seada, y un amplificador. por medio de baterías cuya tensión varía debe estar “centrada” con un error de me-
desde los 4,7 a los 5,6 V, a plena carga. nos de 1 mV. Para evitar cualquier interfe-
Fuente de alimentación Esta variación de la tensión de alimenta- rencia potencial que pudiera molestar el

IC2 = MPX5100AP(sensor de presión ABS, R7 = 4k77


Lista de 16,68 psi MAX.)
IC3 = ATtiny-15 (de la casa Atmel, progra-
P1 = 100k7 potenciómetro
Condensadores
componentes mado, con código de pedido 060044-41)
IC4 = AD8040ARZ (SMD)
C1,C2,C6,C9, C11 = 100nF
C3 = 100MF condensador electrolítico de 16 V
Transmitter (060044-1) C4 = 6n8F
Varios C5 = 470nF
Resistencias JP1 – JP4 Conector tipo “pinheader” SIL de C7,C10 = 3nF3
(all 0805 SMDs) dos terminales C8,C12 = 10MF condensador electrolítico de 16 V
R1,R3 = 47k7 K1= Bloque terminal de 2 vías, de 5 mm de C13 = 100nF
R2 = 5007SMD preset de 4 mm separación entre terminales, para montaje
R4 = 56k7 en placa Semiconductores
2K7 de circuito impreso (PCI). IC1 = μA78M05CKC
2K7 K2 = Conector tipo “pinheader” SIL de 3 IC2 = LM380N-8
R7,R9,R11–R15,R17 = 10k7 terminales IC3 = TL084CN
2K7 ANT1 = Antena Splatch SMD (ANT-433-
R10 = 100k7 SPND de la casa LINX) Varios
R19 = 1007 BZ1 = Zumbador de 5 V, de 12 mm de ANT1 = Antena ANT-433-CW-HWR-RPS
R16 = 17 diámetro, por ejemplo, PB-12N23P-03Q (LINX)
R18 = 757 (Mallory 12 mm) K1 = Bloque terminal de 2 vías, de 5 mm de
R20 = 1M7 MOD1 = TXM-433-LC (LINX) separación entre terminales, para montaje
PCI = con código de pedido 060044-1 en la en placa
Condensadores (todos los condensadores SMD) tienda de Elektor de circuito impreso (PCI)
C1,C5,C9 = 10MF condensador electrolítico de 16 V K2= Conector tipo “jack” estéreo de 3,5 mm
C2,C3,C4,C6,C10,C11,12 = 100nF Receiver (060044-2) hembra (para montaje en PCI)
C7 = 68MF condensador electrolítico de 16 V K3 = Zócalo SMA, Digikey # ACX 1231-ND
C8 = 680nF Resistencias LS1 = altavoz miniatura (CMS 0231 KLX)
C13 = 10nF R1 = 277 MOD1 = RXM-433-LC-S
R2 = 5k79 SMD preset de 4 mm PCI = con código de pedido 060044-2 en la
Semiconductores R3 = 10k7 tienda de Elektor
D1 = BAT54 R4 = 2007 Programa = Fichero con referencia #
T1 = FDV301N R5 = 47k7 060044-11.zip en la página web
IC1 = 78M05CKTPR R6 = 12k7 www.elektor-electronics.co.uk

106 08/2007 - elektor, la electrónica que sorprende


funcionamiento de nuestro VSI o del avión, terminales 2 y 3 de dicho zócalo. momento el sonido se detiene y el VSI está
el circuito ha sido diseñado para alimen- listo para comenzar a volar.
tarse a partir de una batería dedicada. Nota: El zumbador BZ1 podría montarse La inicialización operacional continúa de
en el espacio proporcionado para ello (lo forma transparente durante el tiempo en
El montaje cual podría usarse para identificar el mo- que el “offset” de entrada del microcontro-
Las dos placas de circuito impreso (PCIs), delo, una vez que el programa ha sido lador, medido por el proceso de autor-ca-
cuyos planos de montaje de componentes modificado para este propósito, función libración, no está dentro del nivel del ran-
y de distribución de pistas se muestran en aún no disponible en el momento de es- go de vuelo (± 20 cm/s). Se recomienda
las Figuras 4 y 5, requieren un montaje cribir este artículo). dejar el circuito alimentador permanen-
cuidadoso y los componentes deben ser temente, de modo que se permita una
elegidos con sumo cuidado para minimi- Vamos a ver ahora el montaje del módulo buena búsqueda del cero del programa.
zar el nivel de ruido. receptor. Aquí también tenemos una placa Las interrupciones de la alimentación y las
Las dos placas están disponibles en nues- de circuito impreso de doble cara con ta- desconexiones de la batería deben estar
tras direcciones habituales. ladros pasantes plateados. En este circuito, espaciadas dos minutos.
En una de las placas de circuito impreso la mayoría de los componentes son dispo- Para comprobar el funcionamiento, todo
encontramos los cinco bloques del diagra- sitivos convencionales, excepto el módulo lo que necesitamos hacer es abrir o cerrar
ma de bloques: fuente de alimentación, receptor propiamente dicho, el cual es un la puerta de la habitación. Con esa ac-
transmisor de RF, microcontrolador, ampli- componente SMD. La distribución de pis- ción, el VSI detectara la variación de pre-
ficador operacional y sensor. Cada uno de tas y la disposición de componentes es re- sión provocada por la apertura o el cierre
estos bloques dispone de sus propios con- lativamente densa. Deberemos comenzar de la puerta.
densadores de desacoplo y de longitudes montando el módulo SMD y, a continua- Cuando conectamos el “jack” de un alta-
de pistas lo más cortas posibles. ción, los componentes más pequeños. El voz sobre el conector proporcionado, se
La placa de circuito impreso es de doble resto de componentes no necesitan ningún corta el altavoz interno. De este modo, evi-
cara y con taladros pasantes plateados y comentario especial. El altavoz en miniatu- taremos la generación de ruido que nues-
está equipada con componentes SMD en ra será colocado en la cara inferior. Es po- tros colegas de modelismo sabrán apre-
ambas caras. sible que también consideremos el monta- ciar y agradecer.
El orden recomendado de montaje de los je de dicho elemento sobre la tapa de una Todo lo que queda es verificar el circuito
componentes sobre la placa de circuito caja, creando el enlace correspondiente en el entorno del aeromodelismo que se
impreso es el siguiente: con los puntos de la placa, utilizando dos le demanda. ¡Buena suerte!
trozos de cable flexible.
• Colocar y pegar los componentes SMD
y, a continuación, soldarlos. Debemos te- Pruebas iniciales
ner cuidado y verificar el valor de cada Una vez que hemos verificado la calidad
El futuro
componente antes de montarlo. Estos de nuestro montaje, lo conectaremos a la En la versión del programa “VMR 0-4”,
componentes no son demasiado difíciles batería (teniendo cuidado si estamos utili- la señal de audio se genera en la pro-
de montar y podemos decir que son casi zando una fuente de alimentación conec- pia placa y no es posible volar dos VSIs
tan fáciles de montar como los compo- tada a tierra, con el osciloscopio, lo cual al mismo tiempo, uno cerca del otro, ya
nentes discretos. Un truco es el de usar un podría llevarnos la tierra virtual por debajo que las señales de RF interfieren entre sí
par de pinzas para sujetar los componen- de la tierra verdadera). La señal de salida en el camino de recepción. Sin embargo,
tes SMD en su lugar mientras hacemos la estará saturada durante unas pocas de- la arquitectura del equipo ha sido diseña-
soldadura. cenas de segundos, a partir de lo cual irá da para permitir que puedan volar simul-
• A continuación, montamos la antena y descendiendo de modo gradual hasta la táneamente cinco unidades de este tipo.
la soldamos a los seis puntos de conexión tierra virtual (0 V sobre la pantalla del ca- Esta funcionalidad estaría disponible en
que se proporcionan. ble y 2,5 V en términos absolutos). una versión posterior de este programa.
• Colocamos en su posición el sensor, cui- Retiramos la tensión de alimentación, car- (060044-I)
dando que los agujeros de fijación estén gamos el programa correspondiente sobre
alineados correctamente. Para asegurar el microcontrolador, utilizando la herra- Enlaces en Internet
un buen montaje mecánico podemos in- mienta adecuada (o comprando el micro- [1] www.freescale.com/files/sensors/doc/app_
sertar, si lo deseamos, dos arandelas pla- controlador ya programado desde Ele- note/AN1646.pdf
nas entre el sensor y la placa de circuito ktor), y los insertamos en su zócalo. Antes [2] www.freescale.com/files/sensors/doc/
impreso y, seguidamente, lo fijamos utili- de volver a dar alimentación, colocamos [3] www.linxtechnologies.com/Documents/TXM-
zando dos tornillos PTFE. Una vez hecho el VSI sobre un soporte firme de modo que xxx-LC_Data_Guide.pdf
esto lo podemos soldar. no pueda moverse y cerramos las puertas [4] http://membres.lycos.fr/cepls/plan.html
• Si fuese necesario, añadimos un cable y las ventanas (para evitar la detección de
[5] http://courelectr.free.fr/AOP/COURS.HTM
de lazo sobre uno de los tornillos de fija- corrientes y limitar las interferencias de los
ción, de manera que recoja el cableado cambios de presión ambiente). [6] www.freescale.com/files/sensors/doc/app_
note/AN1100.pdf
del circuito. Después de alimentar el circuito, el pro-
grama comienza a ejecutarse en el modo [7] www.freescale.com/files/sensors/doc/data_
sheet/MPX5100.pdf
En el siguiente paso, soldamos el amplifi- de trabajo “inicialización operacional”. En
cador operacional en la cara inferior de la este estado el circuito emite una señal de [8] www.atmel.com/dyn/products/tools_card.
placa y, seguidamente, soldamos los ocho audio de 800 Hz intermitente. Este estado asp?tool_id=2724
contactos del transmisor de RF. se mantiene por al menos tres minutos, [9] www.atmel.com/dyn/products/app_notes.
No debemos colocar el microcontrolador siempre y cuando el microcontrolador no asp?family_id=607
todavía sobre su zócalo, sino que en su lu- detecte un cambio por debajo de 1 m/s [10] www.atmel.com/dyn/resources/prod_docu-
gar conectamos un osciloscopio entre los durante un periodo de 3 segundos. En ese ments/doc1187.pdf

08/2007 - elektor, la electrónica que sorprende 107


SOBRE EL TERRENO AUDIO

Sonido de lo
Amplificador clase-A push-pu
Wim de Jager

Este año se cumple el centenario del nacimiento del triodo, que fue inventado por Lee
de Forest. Es extraordinario que las etapas de salida con triodos aún ocupen un lugar
importante en los amplificadores de sonido de alta fidelidad. Los amplificadores con
triodos son a menudo muy costosos. El diseño que presentamos aquí está basado en
una combinación de calidad óptima y un coste de construcción razonable.

Especificaciones:
Medidas de prueba obtenidas con una carga de 8 7
Potencia de Salida (1 kHz, 2%): 9,5 W sobre 4 7 y 8 7
Sensibilidad de entrada (9 W, 1 kHz): 200 mV (sin realimentación negativa) 600 mV (con realimentación negativa)
Impedancia de salida (1 kHz): 2,3 7 (sin realimentación negativa) 0,8 7 (con realimentación negativa)
Ancho de banda (1 W): de 20 Hz a 27 kHz (sin realimentación negativa) < 20 Hz a 64 kHz (con realimentación negativa)
THD + ruido (1 W, 1 kHz) 0,15% (con realimentación negativa) 0,3% (sin realimentación negativa)
Zumbido/Ruido: –72 dB (sin realimentación negativa) –82 dB (con realimentación negativa)

El tema de “Tubos frente a transistores” amplificación alcanzable cuando existe muy fuertes con tensiones que pueden
se ha tratado frecuentemente en la li- una fuente de corriente continua (DC) llegar a ser tan altas que salte un arco
teratura especializada. En lugar de re- en el camino del ánodo. en las tomas de corriente de la válvula o
petirlo todo de nuevo, vamos a ofrecer Con los pentodos (Figura 1b) la tensión en el transformador de salida.
una referencia en su lugar. En el artí- de la pantalla de rejilla en particular de-
culo “Comparativa de Tubos de Vacío y termina la corriente del ánodo. Como Con los tríodos, la atenuación del alta-
Transistores” (véase http://milbert.com/ resultado, la influencia de la tensión de voz y la atenuación de la resonancia del
TVTFC.bdc) se presenta una compara- ánodo sobre la corriente de ánodo es transformador es mucho más favorables
ción exhaustiva. Además de los tríodos, más pequeña, lo que se traduce en una debido a un μ más bajo. Las desventajas
los pentodos también se usan en am- impedancia de salida más alta y, por lo de las etapas de salida del triodo son:
plificadores de sonido. La elección entre tanto, un μ más alto. 1. La consecuencia de un μ más bajo
los dos se realiza en base a un cierto Las desventajas de las etapas de salida quiere decir que la etapa de control tie-
número de consideraciones. con pentodo son: ne que proporcionar una señal con una
1. La atenuación del altavoz es práctica- amplitud relativamente grande.
¿Tríodo o pentodo? mente cero y se requiere la realimenta- 2. Debido a la impedancia de salida
En los tríodos, la influencia de la tensión ción negativa para reducir la impedan- más baja la influencia del rizado en la
de ánodo sobre la corriente de ánodo cia de salida del amplificador a un valor fuente de alimentación es mayor con
(realimentación negativa) es bastante aceptable. los tríodos que con los pentodos. Esto
grande (Figura 1a) El resultado de esto 2. La atenuación de las resonancias del quiere decir que el filtro de la fuente de
es una impedancia de salida más baja y transformador es tan pequeña que, en alimentación tiene que cubrir requisitos
un factor de amplificación “μ” más pe- ausencia de carga (salida en circuito más altos.
queño, es decir, la máxima tensión de abierto), se pueden generar oscilaciones

108 08/2007 - elektor, la electrónica que sorprende


os tubos
ull con triodos

+10 Vg = 0V -10 -30 -40 -50 -60


-20 Svetlana 6AS7 8
V g1 = 0V
250 Filament = 6.3 Volts
I a (mA) V g2 = 140V
Typical Characteristics
-70 (for each triode) V g3 = 0V
- 0.5V
Triode 200 Pentode 6
-80
PLATE CURRENT, mA (Ip)

a a - 1V

-90
150
g3 - 1.5V
-100 4
g1 g2
-110
g1
-120 - 2V
100
k -130
k
-140 - 1.5V
f f f f 2
-150
50 - 3V
- 3.5V
- 4V
0 - 4.5V
0
0 100 200 300 0 100 200 300 400 500 V (V) 600
a
PLATE VOLTAGE (Vp) 070067 - 11

Figura 1. Curvas características del un tríodo y de un pentodo.

08/2007 - elektor, la electrónica que sorprende 109


SOBRE EL TERRENO AUDIO

Triode Penthode

8 8
d d
[%] [%] d
6 6
d3
d d2
4 4
d2
2 2
d3

0 0
0 1 2 3 4 5 0 1 2 3 4 5
PA [W] PA [W] 070067 - 12

Figura 2. Distorsión con un tríodo y un pentodo.

¿Acabado único o “push-pull”? con una correcta adaptación en DC, no


+UA +UA En la Figura 2 se comparan las dis- aparecen tensiones DC en el núcleo del
torsiones de un tríodo y de un pentodo. transformador de salida. Por lo tanto, el
a b
Esta comparación nos muestra que con núcleo puede ser más pequeño y, por
tríodos, la curva d2 es dominante, mien- consiguiente, la fabricación del trans-
tras que d3 lo es con pentodos. Incluso formador es más económica. Además,
RG
los armónicos pares son cancelados en el efecto del rizado de la tensión de ali-
su mayor parte en circuitos push-pull, mentación se cancela mayormente en la
RG RK
CK mientras que los armónicos impares no etapa de salida.
UG
lo son. El resultado de esto es que un Una desventaja de un amplificador push-
amplificador push-pull con tríodos tie- pull es que requiere el uso de un despla-
070067 - 13 ne una distorsión de lazo abierto más zador de fase para controlar la etapa de
baja que la de un amplificador push-pull salida con dos señales que tienen la mis-
con pentodos, principalmente debido al ma amplitud y la fase opuesta.
Figura 3. a: Valor fijo de la tensión de rejilla. b: Con la ayuda
tercer armónico (d3). La segunda venta-
de una resistencia de cátodo podemos hacer un sencillo ajuste
automático de la tensión negativa de rejilla.
ja de un amplificador push-pull es que Tensión de salida y eficiencia
Es incorrecto pensar que el sonido apa-
rece dos veces más fuerte a nuestro oído
cuando el rango de potencia del amplifi-
cador es dos veces más grande. La sen-
a b c sibilidad de nuestros oídos es logarítmica
R4 R11

V3.A
y tiene un rango de 0 dB (umbral en el
que empezamos a oír) a 120 dB (umbral
de dolor). Duplicar la potencia de salida
de un amplificador proporciona una ga-
TR2
V1.A V2.A nancia de potencia de 3 dB más.
El amplificador publicado en este artí-
R17
C6 culo proporciona una potencia de sali-
IK da de 2 × 9 vatios con una THD (Total
RK
Harmonic Distortion, es decir, Distorsión
C7
R18 Armónica Total) del 2 % (en bucle abier-
to). Esto es una cantidad razonable de
potencia, considerando las caracterís-
ticas logarítmicas de nuestros oídos, y
V1.B V2.B también el comportamiento de recorte
suave (picos excesivos de señal no nos
R5 R12
llevan a una distorsión “agresiva”). Si se
desea, también podrían utilizarse alta-
V3.B
voces de alta eficiencia.
El manual de válvulas de Philips [3] esta-
070067 - 14 blece que una etapa de salida push-pull
con pentodo, con 2 x EL34, tiene una
eficiencia del 53%. Sin embargo, esto no
Figura 4. Esquema eléctrico del principio de funcionamiento del amplificador push-pull con tríodos. tiene en cuenta la disipación de la pan-

110 08/2007 - elektor, la electrónica que sorprende


talla de la rejilla. Por lo tanto, debemos distorsión aumenta con potencias de sa- tivo y del envejecimiento de la válvula. A
incluir esta disipación para una compa- lida más bajas. Con amplificadores de menudo es necesario hacer correcciones
ración justa entre etapas de salida con válvulas, las preocupaciones aparecen manuales para configurar correctamente
tríodos y con pentodos. Al incluir la di- con los armónicos de orden más bajo la tensión DC de polarización para obte-
sipación de la pantalla de la rejilla, la y, de acuerdo con Douglas Self [4], el ner el resultado deseado. Con una ten-
eficiencia es del 42%. La eficiencia del umbral de la audibilidad en este caso sión de rejilla negativa con ajuste auto-
amplificador push-pull con triodos que está alrededor del 1%. Por lo tanto, hay mático (Figura 3b), la tensión de rejilla
presentamos en este artículo asciende muy poca motivación para diseñar am- de cátodo viene determinada por la caí-
hasta el 35%, de manera que aún es plificadores de válvulas con distorsión da de tensión en los extremos de la re-
competitivo. más baja. sistencia de cátodo Rk, la cual es provo-
cada por la corriente de cátodo. En este
Distorsión método de realimentación negativa, Rk
La audibilidad de la distorsión depende
Configuración de la tensión DC determina la configuración de la tensión
fuertemente del espectro. La distorsión Una tensión de rejilla negativa fija (Fi- DC de una forma significativa y los efec-
de cruce en amplificadores con transis- gura 3a), tiene la desventaja de que la tos de los parámetros de la válvula se
tores es muy molesta debido a que tiene configuración de la tensión DC es de- reducen drásticamente. Si no se desea la
un espectro muy amplio. Además, esta pendiente de las tolerancias del disposi- realimentación negativa de AC, entonces

ECC 83 ECC 82 6AS7


f f 1g 1a
f 5 1a f 5 1a 4 5
4 6 4 6

2k 3 7 1g 2k 3 7 1g 2k 3 6 1k

2 8 2 8
2g f f 1k 2g f f 1k 2 7
f
2a
1 9 1 9
1 8
2a fM S1 2a fM 2g f

Feedback
+220V +270V

R4 R11
2
22k
100k

C4 R15 V3.A
R3 1W 1W 1
C1 1k
1
8k2

33n
3
150p C2 V2.A
2
1 grey
33n 87
3 TR2
V1.A
2 blue

R2 R7 R13 R17
3 C6
390 7

1k2
470k

470k

Amplimo

R6 R9 R10 100M 350V 47


6W red yellow
33k 470 7 4k7
R1 R8 R14 R18 red yellow
C7
1k2
470k

470k
470k

8 3A524
100M 350V
6W
P1 7
–50V 0
6 black
V1.B
C3 white
50k 6 7
6
33n V2.B
C5 R16
8 4
1k
R5 R12
33n V3.B
22k
100k

5
1W 1W
+220V R19 R20 +290V
33k 150 7
C8 1W C9 5W
V1 = ECC83
10M +270V V2 = ECC82 100M
350V V3 = 6AS7 350V
070067 - 15

Figura 5. Esquema eléctrico completo del amplificador (sólo se muestra un canal). A señalar la realimentación negativa conmutable.

08/2007 - elektor, la electrónica que sorprende 111


SOBRE EL TERRENO AUDIO

mas de onda de las señales de salida


del cambiador de fase no son iguales
D1 D2
con cambios de señal muy grandes, lo
F2 +290V que se traduciría en una distorsión ma-
4x
TR1 1N4007 yor en la etapa de salida de lo que se-
400mA T
R21
ría necesario. La segunda etapa de este
230V D3 D4 C10 C11
amplificador emplea un amplificador

150k
100M 100M push-pull con una resistencia de cátodo
S1 350V 350V 1W
F1
común. Esta resistencia no está desaco-
800mA T plada porque las corrientes de cátodo
D5 R22 –50V de AC están en la fase opuesta y por lo
100 7
1N4007
tanto no causan una caída de tensión
230V
40V
C12 C13 en los extremos de Rk. La primera eta-
470M 470M
pa es un amplificador diferencial utili-
63V 63V zado como un cambiador de fase. De
todos los circuitos adecuados para este
6V3
propósito, éste es el único tipo donde
R23
ambos terminales de entrada permane-
100 7

6V3
Amplimo
5N1609
V1
4
V2
4
V3 cen totalmente disponibles. Para conse-
9 9
7
guirlo, el diseño tiene que ser similar al
8
R24 5 5 de un amplificador operacional, lo cual
significa que aquí también pueden usar-
100 7

se los métodos habituales para hacer la


realimentación negativa alrededor de un
070067 - 16
amplificador operacional. La implemen-
tación de la fuente de corriente Ik puede
ser una resistencia, cuyo valor óhmico
Figura 6. La fuente de alimentación utiliza un transformador de red especial que está disponible en la casa Amplimo.
debe ser mucho más grande que el 1/S
de las válvulas (donde S es la conduc-
Rk tiene que desacoplarse normalmente lla negativo automático, independien- tancia mutua). Esto requiere una fuente
con un condensador electrolítico. te para cada tríodo. Por consiguiente, la de alimentación de tensión negativa de
tensión de “offset” DC es mucho más r 50 VDC.
pequeña comparada con una resistencia Una ventaja importante de este diseño
Esquema eléctrico simplificado de cátodo común y esto es una ventaja es la estructura completa de un push-
En la Figura 4, donde podemos ver el con respecto a la tensión DC de alimen- pull, de manera que la distorsión y la
esquema eléctrico simplificado para el tación en el transformador de salida. Es sensibilidad al zumbido y las interferen-
amplificador, se han omitido, por mo- necesario el desacoplo de las resisten- cias son mínimas.
tivos de sencillez, los componentes de cias de los cátodos para impedir que el
acoplamiento RC entre las diferentes preamplificador tenga que entregar una El amplificador completo
etapas. tensión de control aún mayor. La Figura 5 nos muestra el esquema
El bajo μ de los triodos utilizados ha he- Frecuentemente la etapa de salida de eléctrico del circuito completo del am-
cho necesaria una configuración de tres un amplificador push-pull está contro- plificador push-pull con tríodos. La fuen-
etapas. Para la configuración de la ten- lada por un desplazador/cambiador de te de alimentación se muestra de forma
sión DC de alimentación en la etapa de fase. Esto no es recomendable con eta- independiente en la Figura 6.
salida, hemos elegido un ajuste de reji- pas de salida de tríodos, ya que las for- El coste de las válvulas de salida y los

R21 = 150k7 1W D5 = 1N4007


components list R22,R23,R24 = 1007
P1 = 50k7 potenciómetro logarítmico Varios
Resistencias (all metal film 1%, 0.25W or Tr1 = transformador de red tipo 5N1609,
0.6W unless otherwise specified) Condensadores primario de 230 V, secundario de 230 V /
C1 = 150pF cerámico 40 V / 6,3 V (www.amplimo.nl)
R1 = 470k7 C2-C5 = 33nF 400V Tr2 = transformador de salida tipo 3A524
R2 = 3907 C6,C7 = 47μF 100V electrolítico (www.amplimo.nl)
R3 = 8k72 F1 = fusible de 600 mA, lento
C8 = 10μF 350V electrolítico
R4,R5 = 100k7 1W F2 = fusible de 300 mA, lento
C9,C10,C11 = 100μF 350V electrolítico
R6 = 33k7 Perfil de esquina de aluminio, por ejemplo,
C12,C13 = 470μF 63V electrolítico la ref. # 04.90.2208 (www.tessatronic.nl;
R7,R8 = 470k7
R9 = 4707 www.display.nl)
Valvulas Elemento de sujeción autoadhesivo, por
R10 = 4k77 V1 = 12AX7 or ECC83 ejemplo, la ref. # 532037-89 de Conrad
R11,R12 = 22k7 1W V2 = 12AU7 or ECC82 Electronics.
R13,R14 = 470k7 V3 = 6AS7 Tira autoadhesiva de montaje para cable,
R15,R16 = 1k7 por ejemplo, la ref. # 543234-89 de Con-
R17,R18 = 1k72 6W (hilo bobinado) (1) Semiconductores: rad Electronics.
R19 = 33k7 1W D1-D4 = 1N4007 o puente rectificador de
R20 = 1507 5W (hilo bobinado) 400 V con piv de 1 A (1) adaptado preferiblemente

112 08/2007 - elektor, la electrónica que sorprende


transformadores de salida a menudo
supone la parte más significativa del
precio final del amplificador de poten-
cia con válvulas. Para este diseño hemos
elegido la válvula 6AS7, en combinación
con el transformador de salida 3A524.
La 6AS7 es una válvula de alta calidad a
un precio relativamente bajo (los detalles
y precios pueden consultarse en la pá-
gina www.amplimo.nl). La válvula 6AS7
es un tríodo doble de potencia (con una
disipación máxima de 2 × 13 W) que
originalmente fue diseñada para ser el
elemento serie de paso en fuentes de
alimentación de alta tensión reguladas.
Esto puede verse por el valor máximo
permitido para la tensión entre el cátodo
y el filamento (± 300 V). Esto demues-
tra un aislamiento de alta calidad. Las
otras propiedades de la válvula 6AS7
son comparables a las de otros tríodos
de potencia. El transformador de salida
3A524 (máximo 40 W) está dimensiona-
Figura 7. El amplificador visto desde arriba.
do generosamente para un amplificador
de 9 W. La impedancia del primario es
de 3,545 7. Para una salida óptima la conectar las resistencias R7, R8 y R9 a mentación negativa fija y automática, lo
configuración DC para las válvulas de una tensión de - 50 V en vez de a masa. que da como resultado una capacidad
salida es: Esto incrementa la tensión de la fuente de control mejor. Como V2 es también
de alimentación para V2 en 50 V, hasta un circuito push-pull de tríodos, la dis-
Ua = 270 V, Ia = 67 mA, Uk = 80 V y los 320 V. torsión también es pequeña debido a la
Rk = 1k2. En este caso hemos seleccionado una cancelación de los armónicos de orden
“configuración híbrida de la tensión impar.
La etapa de salida push-pull con tríodo DC”. R9 es una resistencia de cátodo La configuración de la tensión DC, com-
tiene, por su propia naturaleza, una ca- común (con ajuste automático de la ten- binada con los valores de las resistencia
racterística de clase A que opera como sión negativa de rejilla). La tensión en R11 y R12, asegura que se puede su-
se indica a continuación. los extremos de la resistencia R9 viene ministrar suficiente corriente capacitiva
Supongamos que, debido a la señal de determinada en parte por la corriente a a la etapa de salida a las frecuencias
control, la corriente de ánodo de V3a se través de la resistencia R10. La conse- más altas. La capacitancia de entrada
incrementa de forma significativa, por lo cuencia de esto es que la configuración de V3a y V3b asciende a, aproximada-
que Ua de V3a se reduce también signi- de la tensión DC es un híbrido de reali- mente, 40 pF.
ficativamente. La influencia de V3a so-
bre el transformador de salida es ahora
dominante y esto provoca un aumento
en la tensión Ua de V3b. Como la ten-
sión Ua del tríodo tiene un efecto impor-
tante en la corriente de ánodo, V3b no
deja de conducir, a pesar del aumento
de la tensión Ug (más negativa), lo cual
provoca un funcionamiento en clase A
de la etapa de salida.
La “corriente de reposo” de unos 10 mA
tiene muy poco efecto sobre la eficiencia.
Debido a este comportamiento en clase
A se impide la “distorsión de cruce” y
el consumo de corriente de la fuente de
alimentación es casi independiente del
control de salida.
El preamplificador (V2) tiene que ser ca-
paz de entregar dos tensiones de con-
trol de hasta 70 VAC a la etapa de sali-
da y esto supone una alta demanda en
el rango dinámico. Una válvula ECC82
(12AU7) resulta muy apropiada para
esta función. Para obtener la tensión de
control posible más elevada debemos Figura 8. Así es como se montan los componentes sobre la cara inferior.

08/2007 - elektor, la electrónica que sorprende 113


SOBRE EL TERRENO AUDIO

Para el cambiador de fase la elección


más adecuada es una válvula ECC83
(12AX7), en particular debido a su μ re-
lativamente elevado, para que la ganan-
cia de la primera etapa sea lo más alta
posible. La influencia de la resistencia R6
sobre la tensión de “offset” (la funciona-
lidad de la fuente de corriente no ideal
no nos concierne en este caso) es de,
aproximadamente, un 4 %. No merece
la pena una mejora adicional incremen-
tando la tensión negativa de la fuente de
alimentación y aumentando el valor de
la resistencia R6.
La señal de entrada para el amplifica-
dor se conecta a V1b y la señal de reali-
mentación negativa, a través de un con-
mutador y de R3 ||C1 y R2, a V1a. El
intercambio de los terminales de entrada
produce una realimentación positiva, lo
que provoca que el amplificador osci-
le peligrosamente. Por lo tanto, es muy
importante que verifiquemos cuidadosa-
mente la numeración sobre las válvulas
y los códigos de colores del transforma-
Figura 9. Comportamiento con recorte a 11 W / 1 kHz (5 V/div.).
dor de salida.
Debido a las buenas características en
bucle abierto, hemos seleccionado un
factor moderado de 3 (9,5 dB) para la tensión de red que, de otra forma, po- comparable al de una resistencia delan-
realimentación negativa. Podemos co- drían acoplarse de modo capacitivo a tera de una válvula de rectificación. Esto
nectar y desconectar la realimentación la fuente de alimentación a través del forma un filtro paso/bajo que suprime,
negativa de vez en cuando para deter- transformador. de forma eficaz, la tensión de rizado (en
minar la diferencia en las pruebas de es- Los tríodos hacen una gran demanda particular, los armónicos más altos), per-
cucha. Cuando la realimentación nega- del filtro de rizado de la fuente de ali- turbaciones de la tensión de red que se
tiva está conectada, la señal de entrada mentación. El diseño de estos filtros está acoplan, de forma inductiva, a través del
tiene que ser más grande en un factor basado en la denominada red en “P”, transformador y de los pulsos de con-
de 3 (600 mV en lugar de 200 mV para como el que forman C10 ||C11, R20 mutación de los diodos del rectificador.
una potencia de salida de 9 W). y C9. La resistencia serie tiene un efecto Como el amplificador (incluyendo las
Es importante considerar que con am-
plificadores de válvulas, una gran rea-
limentación negativa puede causar una
inestabilidad en RF, además de una ines-
tabilidad en AF. Debido al desplazamien-
to de fase de AF, resultante del acopla-
miento RC entre etapas y del transfor-
mador de salida, se puede producir una
oscilación de frecuencia muy baja. An-
tiguamente esto se denominaba efecto
“motor de barco”. Las resistencias R15 y
R16, y el denominado “tapón de rejilla”
reducen el riesgo de inestabilidad de RF
en la etapa de salida.

Fuente de alimentación
En la Figura 6 podemos ver la sección
de fuente de alimentación. El transfor-
mador de la fuente de alimentación,
cuyo código de fabricante es 5N1609,
ha sido específicamente diseñado para
este proyecto por la compañía holan-
desa Amplimo, y está disponible en su
página de Internet (www.amplimo.nl). El
revestimiento electroestático tiene que
estar conectado al chasis y su misión es
desviar a tierra las perturbaciones de la Figura 10. Respuesta a una onda cuadrada sin realimentación (2 kHz, 5 V/div.).

114 08/2007 - elektor, la electrónica que sorprende


etapas de salida) funciona en clase A, bordes en esquina) con tornillos y tuer- el panel frontal tiene un interruptor de
el consumo de corriente de la fuente de cas de M5. Entre el chasis y los paneles encendido, un conmutador para selec-
alimentación es prácticamente constante frontal y trasero hay una lengüeta de 15 cionar la entrada y un control de volu-
y la utilización de resistencias serie no es mm para asegurar el enfriamiento su- men. En la parte de atrás de la caja po-
un problema. ficiente. Las láminas superior e inferior demos añadir, además de las entradas
Para limitar el zumbido de la tensión del (placas de acero perforadas, 1 mm de y salidas habituales, el conmutador para
filamento, el “centro eléctrico” de los fi- grosor, con bordes frontales y traseros conectar y desconectar la realimentación
lamentos está conectado a masa a tra- esquinados) se unen con tornillos de M3 negativa, de modo que sea muy fácil ex-
vés de las resistencias R23 y R24. y tuercas cuadradas que se fijan en el perimentar con este equipo.
Bajo ciertas circunstancias, cuando, por perfil de esquina.
ejemplo, el amplificador se desconecta La conexión y el montaje de los com-
poco después de que haya sido conec- ponentes están construidos utilizando el
tado, es posible que los condensadores método de “cableado duro”. Esto lle-
Resultados de las pruebas
de electrolitos de alta tensión en el filtro va un poco más de tiempo si lo compa- Con amplificadores de válvulas se acos-
de la fuente de alimentación tarden un ramos con el montaje de una placa de tumbra a dar la máxima potencia de
tiempo muy largo en descargarse com- circuito impreso, pero ese retardo no es salida con una THD = 5%. Como este
pletamente. Esto es algo que no desea- un problema si consideramos la senci- amplificador también puede usarse en
mos, particularmente desde el punto de llez del circuito. Una ventaja adicional bucle abierto, hemos supuesto un valor
vista de la seguridad. Conectando una es que los resultados son mejores, entre del 2%. Este valor también corresponde
resistencia de “sangrado” (R21) en para- otras cosas porque el cableado trenzado al límite antes de que se produzca el re-
lelo con los condensadores C10 y C11, para los filamentos tiene un riesgo me- corte de la señal.
conseguimos descargar los condensado- nor de zumbido y está mejor adaptado La Figura 9 muestra el comportamien-
res electrolíticos a una tensión menor de para trabajar con corrientes elevadas. El to de recorte suave a una potencia de
50 VDC en unos dos minutos. ensamblaje (Figura 8) utiliza discos cor- salida de 11 W (5 V / div.). La Figura
tados de placas de circuito para los pun- 10, por su parte, indica la respuesta en
Realización tos de masa, tiras de placa de circuito bucle abierto a una onda cuadrada a 2
El punto de partida para la construcción para la masa y para el soporte de los kHz y 5 V / div.
de la caja (Figuras 7 y 8) es un perfil cables. Los discos, las tiras y soportes Es interesante señalar que este amplifi-
de esquina de aluminio (por ejemplo del de cable se fijan al chasis con elementos cador, debido a las características de la
tipo 04.90.2208, disponible en las casas autoadhesivos. Para los condensadores etapa de salida del tríodo, es estable en
Tessatronics, Display y otros). El perfil se de desacoplo C2 a C5 existen dos op- bucle abierto.
suministra normalmente en una longitud ciones: de película de poliéster, más ba-
de 1 m y podemos utilizarlo para cua- ratos, por ejemplo, de la casa ERO, o los (070067-I)
tro perfiles de esquina de 25 cm cada de alta calidad en papel en aceite, de la Literature
uno. Los paneles frontal y trasero están casa Jensen. [1] Lecture Notes on Electronics, Technical
hechos de una hoja de aluminio dura y Se recomienda utilizar resistencias de University Delft, 1957.
gruesa de 3 mm de espesor y se fijan a película metálica de 1 W y del 1 % para [2] Audio Frequency Amplifier Design, E. Ro-
los terminales del perfil de esquina con las resistencias de ánodo R4, R5, R11 denhuis, 1959 (reprinted by Audio Ama-
tornillos de M5. Los paneles laterales y R12. Esto se debe a que las dimensio- teur Press, 1994)
(hojas de aluminio de 1,5 mm de gro- nes más grandes de estas resistencias [3] Electron Tube Handbook, Volume 1, Phi-
sor) se fijan en las ranuras del perfil de son más adecuadas para trabajar con lips, 1964.
esquina. Estos paneles laterales sopor- tensiones elevadas. [4] Audio Power Design Handbook, Douglas
tan la lámina del chasis (de 2 mm de Como este amplificador está implemen- Self, page 9.
grosor de plata de aluminio con cuatro tado como un “amplificador completo”,
Publicidad

¡ SU ANUNCIO PUEDE ESTAR AQUÍ !


Infórmese en:
93 811 0551 - publicidad@elektor.es
08/2007 - elektor, la electrónica que sorprende 115
SOBRE EL TERRENO EQUIPO DE TESTEO VEHICULAR

Analizador OBD-2
Interpreta
“códigos de
error” sin un
ordenador
Folker Stange and Erwin Reuss

Este analizador portátil manual realiza el sencillo trabajo de “rebuscar” entre la


información almacenada en la parte accesible al cliente del computador de nuestro coche.
El dispositivo funciona con todos los protocolos OBD-2 actuales y puede leer y borrar códigos de error
almacenados en el vehículo, al mismo tiempo que puede reiniciar la pantalla MIL. Todo ello sin la
ayuda de un ordenador o de visitar el servicio técnico correspondiente.

Desde la llegada del nuevo milenio, cada motor puede interpretar incorrectamente distribuidor.
vez más, los nuevos modelos de coche la nueva situación del motor y genera un En las revistas de electrónica ya han sido
han sido equipados con la última versión error. En algunos casos la gestión del mo- descritos un cierto número de analizadores
de la interfaz de diagnostico de a bordo tor puede llegar a convertirse en una con- OBD (incluyendo Elecktor), donde se de-
OBD-2. Con el incremento de la sofisti- dición de emergencia. Cualquier que sea scribe una interfaz entre el conector OBD
cación en la gestión de los modernos mo- la causa el resultado es el mismo: un MIL del vehículo y un ordenador portátil. Sin
tores, muchos nuevos propietarios han (indicador luminoso de mal funcionami- embargo, la configuración que presenta-
visto los beneficios de un analizador OBD, ento) montado en el salpicadero se en- mos aquí es menos enrevesada. Esta uni-
de manera que rápidamente se ha con- ciende, se registra una condición de fallo dad autónoma no requiere un ordenador
vertido en una parte esencial del kit de y es necesario hacer una visita (cara) al portátil o una batería, reconoce todos los
herramientas de su garaje, junto con la taller más próximo para arreglar el “prob- protocolos habituales OBD-2 o EOBD y es
llave de bujía y la llave dinamométrica. lema” y reiniciar el MIL. Con el analizador lo suficientemente pequeña como para
Se ha escrito que, algunas veces, cuando OBD descrito aquí en nuestra guantera, es guardarla en la guantera del coche. El
los propietarios instalan una nueva radio un trabajo sencillo conectar el equipo al manejo es bastante sencillo por medio de
o sistema de navegación en su coche, el conector OBD de nuestro coche, encontrar sólo dos botones, 580 de los códigos de
sistema de gestión del vehículo innecesari- de qué problema se trata, reiniciar el error los problemas más comunes se pueden
amente registra un fallo, de forma similar y continuar viaje. Pensando en el coste reconocer y describir en su pantalla de
a algunos propietarios que modifican el básico, sólo el precio del analizador estará texto.
motor para poder usar un combustible al- más que recuperado si evitamos una úni-
ternativo y han notado que la gestión del ca e innecesaria visita al taller del

116 08/2007 - elektor, la electrónica que sorprende


2 autónomo
Especificaciones
• Selección automática o manual del protocolo OBD-2.
16 x 3 LCD DISPLAY
• Búsqueda automática muy rápida del protocolo (de 0,1 a 2,6 s por protocolo)
• Secuencia de arranque de programa muy rápida (listo para trabajar un segundo después
ISO/KWP del encendido).
• Lee y muestra información importante sobre el vehículo (dependiendo del coche).
PWM
AGV4900 • Lectura de sensores en tiempo real (seleccionable).
VPWM
• Muestra el número de chasis del vehículo (si es soportado por el fabricante del vehículo).
CAN • Lee y muestra el código de error almacenado en memoria.
• Lee y presenta en pantalla el dato de captura de trama.
conector
A B • Borrado del código de error de la memoria.

escáner portátil • Selección de idioma (Inglés o Francés).


070038 - 12 • 580 códigos de error con descripción de los mismos en texto deslizante.
• Están soportados todos los protocolos OBD existentes para vehículos privados:
ISO9141-2
Figura 1. Diagrama de bloques del analizador OBD-2. ISO14230-4 (KWP2000)
J1850 PWM
J1850 VPWM
El circuito
ISO15765-4 (CAN, 11/29 Bit, 250/500 kbits/s)
El analizador OBD-2 emplea un micro- • La alimentación para el analizador se suministra del conector OBD-2 del vehículo (12 V).
controlador AT-90CAN128 de la familia
• Pantalla LCD de tres líneas con iluminación de fondo y contraste ajustable.
AT mega128 de la casa Atmel. Este par-
ticular modelo tiene equipado una interfaz • Señal acústica que proporciona unos tonos audibles cuando se reconoce un código de error.
para el bus CAN, tal y como se muestra • Diodos LED indicadores del estado de la conexión y del flujo del tráfico de datos.
en la Figura 1. El controlador se suminis- • Funcionamiento sencillo utilizando tan sólo dos pulsadores.
tra pre-programado con el programa in- • Conexión por medio de un cable OBD-2 estándar.
terno (“firmware”) del AGV 4900, el cual
• Formato manejable y portátil: 80 x 135 x 30 mm (ancho x alto x fondo), peso 150 g
maneja la interfaz de usuario, incluyendo (aprox.).
botones, timbre, diodos LEDs y pantalla
• Suministrado como KIT a través de Elektor SHOP.
LCD.
La asignación de terminales en el conector
OBD-2 se muestra en la Figura 2. Para
poder soportar todos los protocolos OBD- 82C250 y se ocupa de la interfaz CAN.
2 actuales, el analizador necesita poder El programa de la interfaz de usuario ha
Puntos a señalar
conectarse a varios interfaces sido diseñada, lógicamente, de modo que
16

+12 V
8

bidireccionales: El se
sólo analizador OBD
necesiten dossólo es adecuado
botones para para
mane-
15

K-Line L-Line jarvehículos equipado


el analizador con un conectortodas las
y seleccionan
7

• Interfaz K/L OBD-2/EOBD.


posibles opciones del menú. Las conex-
14

CAN-H
6

CAN-L
• Interfaz PWM iones para elEOBD
El conector zumbador,
se montalos diodos LEDs
sobre
• Interfaz VPWM de vehículos
“conect”vendidos
(conectado) y “Data
en la Unión Traffic”
Europea:
13

S-GND
5

• Interfaz CAN (tráfico de datos) no deberían


· Después del 01 / 01 / 2001, para requerir
12

C-GND
4

más explicaciones.
vehículos con motor Elde control
gasolina.de la pan-
Los primeros tres de esta lista han sido talla LCD de tres líneas es un poco más
11
3

· Después del 01 / 01 / 2004, para


implementados en el esquema eléctrico complejo. Así, una interfaz SPI de cinco
PWM+ vehículos con motor de gasoil.
del circuito (Figura3) usando transistores hilos conecta la pantalla al controlador. El
10

PWM-
2

VPWM
y comparadores configurados para AntesLED
diodo de conectar el analizador
de iluminación a ningún
de fondo aseg-
1

adaptarse a las interfaces estándar. Las ura que la pantalla es legible defechas,
vehículo fabricada antes de estas noche. La
es importante verificar la compatibilidad
resistencias especificadas de “pull up” velocidad relativamente baja del reloj del
de dicho vehículo con el estándar OBD-2.
para la señales K y L tienen una impedan- 070038 - 14 controlador (8 MHz) tiene un buen com-
La página web de Florian Schäffer [3]
cia relativamente baja, por lo que los tran- promiso entre la producción de un nivel
contiene una base de datos de vehículos
sistores MOSFETs se han usado aquí como bajo de emisiones
donde EMI y una
podemos comprobar si el amplia
nuestro ve-
controladores. El circuito integrado contro- Figura 2. Identificación de terminales en el conector locidad de con
es compatible operación para esta
el estándar OBD-2.
lador del bus CAN es del tipo PCA OBD-2 / EOBD. aplicación.

08/2007 - elektor, la electrónica que sorprende 117


SOBRE EL TERRENO EQUIPO DE TESTEO VEHICULAR

+12V +5V
K/L-Interface +5V

R6 R11 8 RN3 2 RN3


560 7

560 7

6k8

6k8
Q6 R1 R12
C10

1k

1k5
R4 7 1
K-Line 100n
100k
5 BS170
R3 64 52 21 LED1 LED2
L-Line 2
IC2.A

VCC
VCC
100k

AVCC
4 51 20
(AD0)PA0 RESET red green
50
Q4 (AD1)PA1
49 19
(AD2)PA2 PG4(TOSC1)
S1 S3 48 18
2x (AD3)PA3 PG3(TOSC2)
Q3 47 43
BS170 (AD4)PA4 PG2(ALE)
46 34
(AD5)PA5 PG1(RD) R5
2 RN1 45 33
(AD6)PA6 PG0(WR) 33 7
10k

44 1
(AD7)PA7 PEN
KL IN BZ1
IC3 D2
1 K OUT 35 17
(A8)PC0 PB7(OC2/OC1C)
L OUT 36 16
(A9)PC1 PB6(OC1B) 1N4148
+5V PWM+ OUT 37 15 F/CM12P
(A10)PC2 PB5(OC1A)
PWM- OUT 38 14
RN3 (A11)PC3 PB4(OC0)
PWM IN 39 13
6k8 (A12)PC4 PB3(MISO)
6 5 40 12
Q8 +8V +5V (A13)PC5 PB2(MOSI)
41 11
(A14)PC6 PB1(SCK)
VPWM-Interface 42 10
(A15)PC7 PB0(SS) +5V +5V
3 RN1
BS250
D5 PWM-Interface 10 RN2 RN2 6 3 RN3
10k

9 54
(IC3/INT7)PE7 PF7(ADC7/TDI) R9
10k

10k

6k8

8 55
(T3/INT6)PE6 PF6(ADC6/TDO)

33 7
1N4148 4 RN1 7 56
7 (OC3C/INT5)PE5 PF5(ADC5/TMS)
10k 9 11 5 4 6 57 26 40 37 36 25 24 35 34 33 32 31 30 29 28
9 10 1 Q7 (OC3B/INT4)PE4 PF4(ADC4/TCK)
IC2.B

RST
VCC

R/W
E
VIN

D0
D1
D2
D3
D4
D5
D6
D7
VOUT
RN1 13 5 58
PWM- 6 IC2.D (OC3A/AIN1)PE3 PF3(ADC3)
10k 10 4 59 1
5 6 (XCK0/AIN0)PE2 PF2(ADC2) A1
8 RN1 Q5
VPWM 3 60 20
BS250 OUT (TXD/PDO)PE1 PF1(ADC1) 38 A2
CSB LCD
10k

2 61
D4 (RXD/PDI)PE0 PF0(ADC0) 39 2
RS EA DOG-M163E C1
AT90CAN128 19
7 8 62 C2
BS170 1N4148 VPWM AREF
AGV4900

GND

PSB
14 IN
VPWM RN2 IC2.C CAP1N CAP1B
PWM+ 9 29 25
10k 4 RN2 (IC1)PD4 PD0(SCL/INT0) 27 23 21 3
2 1 30 26
(XCK1)PD5 PD1(SDA/INT1)
10k

31 27
(T1)PD6 PD2(RXD1/INT2)
K2 +5V 32 28
CAN-H 3 (T2)PD7 PD3(TXD1/INT3)
1 IC1
AGND

6 CAN-Interface
GND
GND

2 8 RN2 IC4 +12V 78L08 +8V


7 3 XTAL1 XTAL2
10k

3
8 63 24 23 53 22
4 7 1 IC5
9 CANH TXD Q1
5 CAN-L 7 6 4
+12V CANL RXD 7805 +5V
R7 R8
D1
100 7

100 7

8 5 C5 C4
RS VREF
3
1N4004 22p 8MHz 22p C1 C2 C3
IC2 = LM339N C6 C7 IC2
2 PCA82C250 12
100n 100n 100n
+12V 470p 470p

070038 - 11

Figura 3. El microcontrolador AVR, con la interfaz CAN incorporada, es la parte principal del esquema eléctrico del circuito.

El programa interno canadienses). La casa Stange Distribution Se ha prestado atención al diseño de la


El corazón del analizador OBD-2 es el mi- está especializada en el equipo OBD co- Placa de Circuito Impreso (PCI) (Figura
crocontrolador pre-programado con la mentado y producen varios controladores 4); no se han usado componentes SMD
designación AGV4900 [1] disponible sola- OBD-2 para aplicaciones en el campo del para este diseño para simplificar el mon-
mente en la casa Stange Distribution. El desarrollo ODB. taje de componentes. La PCI ha sido pro-
programa ha sido desarrollado por el co- Todas las funciones del analizador OBD ducida siguiendo la norma industrial y us-
autor Erwin Reuss. Como otros proyectos pueden seleccionarse desde el menú us- ando tarjetas tipo FR4 con un chapado de
similares OBD, el programa interno ando tan sólo dos teclas. Una característi- oro. El oro es químicamente inerte y da a
(“firmware”) para este analizador sólo está ca del programa es el rápido proced- la tarjeta vida casi ilimitada. El chapado
disponible pre-programado dentro del imiento de arranque, el cual asegura que también asegura que no habrá problemas
propio microcontrolador donde es copia el aparato está preparado para su uso en de corrosión, algo que sí se puede pro-
protegida. El fichero fuente no está dis- poco más de un segundo tras su encendi- ducir cuando se usan soldaduras sin plo-
ponible para su descarga. Sin esta protec- do. Los 580 códigos de errores más im- mo en placas sin este chapado. El oro
ción de copia del programa no sería posi- portantes tienen un claro texto descriptivo tiene unas excelentes propiedades de con-
ble ofrecer el analizador en forma de kit. del fallo, el cual se indica en texto desli- servación y permite el uso tanto de solda-
No hay posibilidad para el montador zante (en el lenguaje seleccionado). Esta duras libres de plomo como de soldaduras
casero de ensamblar una versión de bajo característica ayuda dando una rápida y de plomo/estaño. Además de la necesi-
coste de este diseño a menos que, por su- efectiva diagnosis del problema. En la dad de cuidar la colocación de compo-
puesto, toda la programación necesaria gran mayoría de los casos no será necesa- nentes y las soldaduras, no es necesaria
sea escrita desde cero. rio buscar el código en un libro de códigos una especial destreza en electrónica o en
Una opción del menú cambia toda la in- de errores OBD. el dominio de la programación para com-
formación de la pantalla entre inglés o pletar este proyecto.
francés (por el interés de nuestros lectores Colocación de todos los bits juntos Aparte de los dos diodos LEDs “connect”

118 08/2007 - elektor, la electrónica que sorprende


Figura 4. La Placa de Circuito Impreso (PCI) está libre de componentes SMD.

LED2 = 3mm, verde


(conectado) y “data-trafic” (tráfico de da-
tos), los dos botones pulsadores y la pan-
Lista de componentes Q3-Q6 = BS170 (TO92)
Q7,Q8 = BS250 (TO92)
talla LCD, todos los demás componentes Resistors Varios
se montan en el lado impreso de la PCI, RR1 = 1k7 Q1 = Cristal de cuarzo de 8 MHz (HC49/S)
donde se aporta la forma de los compo- R3,R4 = 100k7 LCD = Pantalla de 3 x 16 líneas, tipo
R5,R9 = 337 EA DOGM163E; con luz de fondo: EA
nentes y su identificación (Figura 5). El R6,R11 = 5607 LED55X31-A
montaje de componentes comienza con la R7,R8 = 1007 S1 – S3 = Pulsador para montaje en PCI tipo
soldadura de cada una de las resistencias R12 = 1k75 40-XX B3F (Omron) con tapa de aluminio
en su lugar, seguido por los diodos, los RN1,RN2 = 10k7 matriz SIL de 10 adaptada
resistencias SG1 = Zumbador DC
condensadores, el cristal de cuarzo, los zó- X2 = Conector Sub-D de 9 terminales macho
RN3 = 6k78 matriz SIL de 8 resistencias
calos para los circuito integrados, las re- Condensadores para montaje en PCI
des de resistencias (debemos asegurarnos C1,C2,C3,C10 = 100nF Zócalo = DIL de 14 terminales
de que los estamos montando de forma C4,C5 = 22pF Zócalo = DIL de 8 terminales
correcta), el regulador de tensión y, por C6,C7 = 470pF Zócalo = QIL (4 segmentos de 16 terminales)
Semiconductores PCI = Caja con lámina de serigrafía para el
último, los transistores. Antes de soldar los D1 = 1N4004 panel frontal.
terminales del circuito integrado 7805 de- D2,D4,D5 = 1N4148 Materiales de montaje
berá fijarse primero de forma mecánica a IC1 = 78L08
la placa. Una vez que el timbre y el conec- IC2 = LM339N NOTA: el KIT de montaje Nº 070038-71 con-
IC3 = AT90CAN128 (de la casa Atmel, en- tiene todos los componentes, la caja (con la
tor sub-D están fijados en la tarjeta, po- lámina de serigrafía para el panel frontal
capsulado QIL, programado como “AGV
demos dar la vuelta a la placa y soldar en 4900”). montada), los materiales de montaje y el
su lugar los pulsadores, la pantalla y los IC4 = PCA82C250 (Philips) cable OBD-2. Vea la nota de publicidad en
diodos LED. IC5 = 7805 Elektor SHOP o visite la página web www.
Por desgracia, el circuito integrado AT- LED1 = 3mm, rojo elektor-electronics.co.uk

08/2007 - elektor, la electrónica que sorprende 119


SOBRE EL TERRENO EQUIPO DE TESTEO VEHICULAR

Figura 5. Cara de componentes de la PCI. Figura 6. Los dos pulsadores, los diodos LEDs y la pantalla LCD se montan sobre la otra
cara de la PCI

90CAN128 de la casa Atmel usado en final del conector en su conjunto sea fácil- también todas a la misma altura de la tar-
este proyecto sólo está disponible en el en- mente ajustable, hasta que cumpla exact- jeta) todos los terminales que quedan
capsulado TQFP o en el MLF/QFN, y nin- amente al dibujo marcado en la placa. pueden ser cuidadosamente soldados has-
guno de estos es realmente adecuado Una vez que las cuatro tiras están alinead- ta completar el conector.
para proyectos de auto-montaje. Por lo as con precisión (verificaremos que están Vamos a montar ahora la pequeña placa
tanto, el controlador es suministrado (en que monta el controlador, que será fijada
encapsulado MLF) ya montado en una sobre unos terminales que se instalan so-
pequeña tarjeta. Sólo es necesario montar bre este conector. Las tiras de terminales
una especie de zócalo intermedio, pre- suministradas deben separarse cuidadosa-
parado para conectar la tarjeta a la PCI mente en trozos de 8x2 terminales.
principal. La distribución de terminales de Cualquier esquina rugosa puede reba-
este conector es el mismo que el de un jarse con una lima fina. Las tiras se intro-
encapsulado QIL64 (cuatro bloques de 64 ducen totalmente en el conector, tal y
terminales en línea). Todos los componen- como podemos ver en la Figura 8a (és-
tes para este conector están incluidos en el tas sólo se fijan por un lado: los terminales
kit. Para asegurar el éxito del montaje será más finos van dentro del conector).
necesario seguir las instrucciones cuida- La tarjeta del controlador puede colocarse
dosamente, un error aquí será difícil de ahora sobre los terminales que sobresalen
corregir. (Figura 8b), asegurándose que el pin 1
El conector completo está hecho de cuatro está correctamente alineado (a la izquierda
tiras (Figura 7) fijadas a la PCI principal. de C10). Una vez montado todo correcta-
Cada tira se fija en su lugar inicialmente mente, podemos ahora, cuidadosamente,
soldando sólo un terminal de cada una de Figura 7. El conector para la placa del controlador está hecho soldar los 64 terminales de la tarjeta del
las tiras. Esto permite que la disposición de cuatro secciones independientes. controlador (Figura 8c).

120 08/2007 - elektor, la electrónica que sorprende


a b c
Figura 8. Tres sencillos pasos para montar la placa del controlador.

Una vez que todos los componentes se metro de distancia, como máximo, del tos puntos. En nuestra página web tam-
han fijado, podemos hacer un pequeño asiento del conductor. En la página web bién hay un simulador on-line, de modo
test conectando una alimentación de 12 V www.elektor-electronics.co.uk podemos que podamos familiarizarnos “de forma
al conector sub-D (terminal 9 = +12 V, encontrar y descargar un conciso manual virtual” con el funcionamiento y manejo
terminales1 ó 2 = 0 V). La corriente con- de operación para el analizador. Con el del analizador.
sumida por el analizador no debería ex- kit de todos los componentes también se Al conectar y encender el equipo, es posi-
ceder de unos 150 mA. La pantalla con entrega un pequeño manual, de modo ble cambiar el contraste de la pantalla
iluminación de fondo se encenderá y el que no debería haber problemas con es- (Figura 9a). Esto se consigue mantenien-
número de la versión de ar- do pulsadas las teclas A y B y
ranque cargada aparecerá en la conectando el analizador en el
pantalla, seguida del mensaje conector OBD-2. El contraste
de bienvenida. La corta prueba cambia cada vez que se presione
inicial ya está completada. a la tecla A. Una vez que estemos
Una vez terminada la PCI, po- conformes con el ajuste, solta-
demos montarla en su caja: fi- mos la tecla A y presionamos la
jaremos los tapones de los pul- B para grabar la configuración.
sadores y la caja del conector Este método básico se usa para
sub-D, quitaremos la película de controlar el analizador: con la te-
protección de la pantalla y, con cla A nos movemos a través de
la pantalla hacia abajo, colo- b las opciones del menú, mientras
caremos la PCI sobre la tapa que con la tecla B confirmamos
frontal de la caja. Ahora podem- una selección o damos una re-
os atornillar cuidadosamente los spuesta desde el equipo.
pequeños tornillos, dejándolos La pantalla muestra ahora el
bien apretados. Por último, fija- mensaje de bienvenida “ELE-
mos la otra mitad de la caja y el c KTOR OBD2 1.4” con el menú
Analizador OBD-2- queda pre- de arranque (Figura 9b) con-
parado para trabajar. tinuando, un corto periodo de
tiempo después, con las op-
ciones: Start Diag (Inicio del di-
Funcionamiento del agnóstico), Protocol (Protocolo) y
analizador Language (Idioma). Cuando el
El primer requerimiento antes de d analizador se usa regularmente
que el analizador OBD-2 pueda en el mismo vehículo y estamos
usarse es que el coche esté do- seguros del protocolo adecuado,
tado del correspondiente conec- podemos seleccionar la opción
tor OBD-2 (ver el apartado “código 0” para que el analiza-
“Puntos a Señalar”, dentro de dor encuentre automáticamente
este artículo). Si es así, el cable e el protocolo correcto. Presionan-
OBD-2 suministrado se conecta do la tecla B comienza la búsque-
en el conector OBD-2 del coche. da (si la interfaz del vehículo no
El conector no debería ser de- es compatible con el protocolo
masiado difícil de colocar, la OBD el test finaliza con un men-
norma insiste en que debe estar Figura 9. Presentaciones en pantalla: (a) ajuste del contraste, (b) menú inteligente, (c) saje de fallo). Cuando el proceso
montado en el vehículo, a un presentación del estado, (d) Menú PID MIL / DTC, (e) número de código DTC de avería. de búsqueda ha finalizado, la

08/2007 - elektor, la electrónica que sorprende 121


SOBRE EL TERRENO EQUIPO DE TESTEO VEHICULAR

través de sucesivos parámetros mientras el


errores encontrados: botón B vuelve al menú anterior.
2 de 4 El manual de usuario, que se puede des-
código de error 2 cargar de Internet, contiene vistas gene-
rales de todas las opciones del menú, se-
descripción lecciones y mensajes de pantalla. Cuando
del error 2
queramos una mayor familiaridad con el
selecciona el error 3 funcionamiento del equipo, podemos pro-
con el botón B
bar el simulador online mencionado ante-
070038 - 13 riormente, o mejor aún, ¡haz tu pedido y
construye tu propio analizador OBD-2!.
También podemos descargar un fichero
Figura 10. Menú con el código de avería que muestra una descripción de la misma en un texto que se desplaza.
extra que contiene consejos de soldadura
y ensamblaje, desde la página web de
Elektor.

(070038-I)

Literatura
[1] Datasheet for the AGV4900-Controller:
www.obd-diag.de
[2] Source of the AGV microcontroller: www.
stange-distribution.de
[3] http://www.blafusel.de/misc/OBD-2_
scanned.php
Figura 11. Menú con la trama capturada (PID seleccionado).

pantalla mostrará el estado del MIL/DTC, gestión del motor detecta un fallo, el orde-
indicando si hay algún problema almace- nador de a bordo realizará una captura
nado en memoria (Figura 9c). Seleccio- de una imagen o “congelará la trama” de
nando la opción Live Data con el botón B todos los sensores leídos y almacenará
se mostrará el valor actual del parámetro. todo en la memoria del vehículo. Un
El número de chasis o el Identificador (ID) chequeo de este dato puede dar una
del vehículo puede leerse y se mostrará el valoración de la causa del fallo. Al
protocolo de comunicaciones, así como la seleccionar los códigos de fallo de
opción volver a buscar. la trama capturada, es posible
En la opción de menú PID (parámetro seleccionar sucesivos valores
identificador) se da la lectura actual de un de sensores, almacenados en
sensor (datos en vivo). El ejemplo mostra- torno al momento en que
do en la Figura 9d es una lectura del se produjo el fallo.
consumo de Flujo de Masa de Aire (MAF El ejemplo de la Figura
en g/s). Presionando la tecla B volvemos 11 indica la lectura de
al menú anterior. Cuando se detecta un un sensor cuando se
fallo por el sistema de gestión del motor, registró el fallo; fal-
el analizador indicará que el MIL está ac- lo F000, un PID
tivado (MIL:ON), y se proporciona el 0D (velocidad)
número de DTCs almacenado (Disgnostic medido de
Trouble Code, es decir, Código de Fallo de VSS = 33
Diagnóstico) (Figura 9e). Ahora podemos km/h. El
elegir entre presentar los códigos de fallos botón A
o las tramas de datos capturadas. Para los nos lle-
códigos de fallo, el número de código se va a
indica (en la mayoría de los casos) con
una descripción detallada del fallo (Figu-
ra 10).
Cuando se muestra el código del fallo, al
presionar la tecla A aparece una opción
para borrar los códigos de la memoria del
vehículo.
Podemos obtener más información acerca
del fallo seleccionando “Freeze Frame”
(capturar trama). Cuando el sistema de

122 08/2007
elektor, la-electrónica
elektor, la electrónica que sorprende
OBD INFO & MERCADO

OBD ? ! ? ! ? ! ? !

Entre ecología,
mercado y Gran Hermano
Dr. Thomas Scherer

OBD - Diagnóstico de a bordo para vehículos- se concibió inicialmente como un sistema para reducir las
excesivas emisiones de gases de escape y cuidar la calidad del aire. Desde su introducción, el aspecto
ecológico del sistema de diagnóstico ha mejorado algunas cosas. Actualmente el OBD es un elemento
llave en la revisión de vehículos para todos los talleres, convirtiéndose en una herramienta de mercado
en la competición entre talleres de distribuidores y talleres independientes. La información recogida
desde el conector del OBD también es interesante para los departamentos de venta de los fabricantes.
La próxima generación del sistema OBD-3, permitirá pasar la información del vehículo sobre un enlace
radio a un monitor al borde de la carretera por la que circulamos, y los políticos nos convencerán de
que el sistema es por nuestro propio interés, para mejorar la calidad del aire…

Si retrocedemos a 1930, el estado de California ya tenía plomo de la gasolina. En el mismo año Volvo empezó la
seis millones de habitantes y unos sorprendentes (a la vez) fabricación del primer vehículo (Figura 1) con un conver-
dos millones de coches. Diez años después casi cada cali- tidor catalítico de tres vías controlado electrónicamente y
forniano adulto masculino poseía un coche. En 1943 la una sonda lambda. Esto no fue solo un avance ecológico
ciudad de Los Ángeles sufría de humo asfixiante (ver vídeo y medioambiental, sino que también marcó el comienzo
[1]). En un primer momento no se pensó que los gases de de la gestión electrónica de motores en vehículos produci-
escape fueran la causa. En 1947 se promovió un progra- dos en masa. En el año 1984, la legislación de California
ma nacional para vigilar la calidad del aire en América. dispuso que todos los coches vendidos incorporaran un
En 1960 se alcanzó un acuerdo en política medioambi- sistema indicador de los gases de escape para avisar al
ental cuando la investigación vio los efectos de los gases conductor cuando se sobrepasasen los límites. Ya en 1982
de escape en la atmósfera. El eslabón de unión había se pusieron en marcha los trabajos necesarios para estanda-
sido probado y en 1967 La Carta de Recursos Aéreos de rizar un sistema de diagnóstico de a bordo, el cual podría
California (CARB) se concretó con la condición de mejo- medir las emisiones de gases de escape. En 1988 todos los
rar la calidad del aire. En 1971 CARB introdujo un límite fabricantes de coches montaban este estándar, naciendo el
en la emisión de óxido de nitrógeno (NOx) en los coches sistema OBD-1.
vendidos en el estado y en 1976 redujo el contenido en California fue el estado pionero en la regulación de la

Figura 1.
El padre de todos los demás: un
Volvo 240 de 1977. El primer modelo
de coche que fue equipado con un
convertidor catalítico de tres vías
controlado electrónicamente.

08/2007 - elektor, la electrónica que sorprende 123


INFO & MERCADO OBD

a pasar al estándar OBD-2, el cual fue


desarrollado por CARB en 1994 y fue
obligatorio para todos los vehículos
vendidos en el estado de California.
Dos años más tarde se aplicó a todos
los coches vendidos en América. El EOBD
es la variante europea del OBD-2 y es básica-
Figura 2. mente la misma que la del modelo americano. Esta
Un analizador OBD. El estandarización tiene muchas ventajas para los fabri-
modelo KTS 115 de la casa cantes, ya que reduce los costes de producción. También las
Bosch es un dispositivo tiene para talleres independientes, por la estandarización
portátil similar al de los equipos de prueba. La rueda de la burocracia de
analizador OBD autónomo la UE giró excesivamente lenta, de modo que no fue hasta
que se presenta en esta cinco años después de que la regulación americana había
revista. sido impuesta, que el sistema EOBD se convirtió finalmente
una norma obligatoria para coches con motor de gasolina
emisión de gases de escapes y aquí, en Europa, se obligó vendidos en Europa en 2001 y, en 2004, para vehículos
a los fabricantes a montar convertidores catalíticos en los con motor diesel. Los vehículos equipados con el conector
coches vendidos en América, pero no estaban disponibles de diagnóstico EOBD de 16-pines pueden, no sólo pasar la
para el mercado local. Los fabricantes eran reticentes a información del sensor como se describe en el documento
montarlo como estándar debido al incremento de los costes estándar, sino también información específica del fabri-
de producción. Sin embargo, para cambiar esta situación cante. OBD-2 y EOBD tienen muchos más datos de sen-
aparecieron por toda Europa grupos medioambientales que sores, parámetros y condiciones de fallo que antes. Muchos
ejercieron una presion muy eficaz. Aún así, aún actual- DTCs (Diagnostic Trouble Codes, es decir, Códigos de Diag-
mente encontramos que los filtros de partículas para motores nóstico de Fallos) y protocolos han sido estandarizados.
diesel tampoco son obligatorios. Peugeot ha desarrollado Los convertidores de los catalizadores gastados tambiéin
un sistema de filtrado altamente estable y efectivo que po- pueden detectarse, junto con otras condiciones de fallo pro-
dría haber estado montado como estándar en sus vehícu- vocadas por el desgaste general.

Algunas personas cuestionan lo que los fabricantes de coches hacen con


todos los datos que descargan de un coche cuando éste entra a una revisión.
los desde el 2000, pero hasta ahora sólo se ha ofrecido ¿De quien son los datos?
como un extra. Los coches diesel representan alrededor del Además de producir gases de escape más limpios, se han
50% de las ventas de coches nuevos en algunas partes de hecho evidentes otros aspectos del sistema de diagnóstico.
la UE. La próxima generación de estándares para coches Los fabricantes de coches están instalando sistemas cada
(“Euro5”) ha publicado una directiva que limita la emisión vez más complejos que pueden almacenar mucha más
en Materia de Partículas (PM) a 5 mg por kilómetro, lo cual información que los requerimientos de la interfaz estándar
significa que todos los nuevos motores diesel fabricados OBD-2. Los pequeños talleres independientes no disponen
desde 2009 necesitarán incorporar un filtro de partículas. de los recursos o el acceso a las herramientas especiales
La directiva tiene menos de seis meses de vida. de diagnóstico, específicas de cada fabricante, para leer
toda la información almacenada en el sistema de gestión
OBD-2 y EOBD en los vehículos. Los compradores son persuadidos de que
El estándar original era relativamente tosco, sólo monitoriza- sólo los garajes oficiales pueden ofrecer un servicio com-
ba el sensor de oxigeno (la sonda lambda), un posible sis- pleto. Por esta razón es esencial intentar mantener un cierto
tema de reciclado de emisión, un sistema de combustible y grado de estandarización de la interfaz, de modo que los
un control de suministro de combustible del motor según los talleres independientes estén libremente capacitados para
niveles de emisión. Se equipó al vehículo con una lámpara usar la información de diagnóstico almacenada a bordo
intermitente montada en el salpicadero o MIL (Malfunction del vehículo. Sin esta información muchos talleres indepen-
Indicator Light, es decir, Luz Indicadora de Mal Funcionami- dientes ya no podrían proporcionar el servicio correspon-
ento), de manera que cualquier error detectado se alma- diente, quedándoles sólo las reparaciones de los fallos
cenaba en una memoria que podía examinarse, a través tradicionales.
de una interfaz serie, por técnicos del taller en la próxima El resultado sería que los talleres independientes desapa-
revisión. recerían en el futuro y los clientes no tendrían otra alterna-
Por desgracia, las normativas no fueron suficientemente es- tiva que usar los talleres oficiales. Ya no sería un mercado
pecíficas, así que cada marca apareció con su propia ver- libre, sino un monopolio controlado por los fabricantes, sin
sión de interfaz. Esto causó estragos para muchos pequeños competencia en los precios, estos subirían de forma inevita-
talleres independientes, para los que era necesario comprar ble. La otra cara de la moneda es que los fabricantes están
lectores y adaptadores OBD de terceras empresas que les ansiosos de que cierta información, por ejemplo, sobre sus
permitieran realizar el servicio a las diferentes marcas de técnicas de control de sus motores [2] [3], esté protegida de
coches. Incluso con esas herramientas algunos fabricantes los ojos de sus competidores. Los fabricantes han invertido
impusieron restricciones, de modo que no todos los datos se duramente en el desarrollo de vehículos y el futuro de su
pudieran leer por una tercera parte. compañía depende en cierto modo de lo bien que se prote-
La debilidad de la especificación del sistema OBD-1 obligó jan sus inventos. La línea entre datos protegidos específicos

124 08/2007 - elektor, la electrónica que sorprende


del fabricante y datos abiertos que pueden ser usados por cantidad de parámetros almacenados, de forma que se
talleres de vehículos no es tan fácil de marcar y va más allá optimizarían las prestaciones del coche y se podrían cam-
del estándar EOBD actual. biar los ajustes. Otro modo podría transferir una cantidad
Alguien se ha preguntado alguna vez ¿qué ocurre con la limitada de información por medio de una ráfaga de datos
información que se descarga de su coche cuando va a muy corta. Por ejemplo, cuando el coche que se conduce
un taller? Esto podría tener sentido siempre y cuando la pasa al lado de un sistema de monitorización ubicado en
información se hiciese de forma anónima por el fabricante la carretera. Esta posibilidad de supervisión individual de
para ser utilizada para identificar cualquier tendencia que vehículos en un flujo continuo de tráfico, sugiere un número
pudiera indicar un fallo en el diseño de un modelo particu- de aplicaciones interesantes; será posible anotar el número
lar o de un motor. Seguro que si los coches se diseñaran de chasis de cada vehiculo junto al valor instantáneo de
para ser más fiables nos beneficiaríamos todos. ¿Qué dirías la emisión de gases y enviar la información a un ordena-
tú como propietario de un Ford Focus normal si el distribui- dor central donde puede ser evaluada. El propietario de
dor de Ford empezara a enviarte publicidad sobre el nuevo cualquier vehículo que supere los límites de emisión puede
deportivo Focus ST? Probablemente no le dedicarías ni un ser sancionado con una multa o avisado para llevar el ve-
segundo, pero ¿qué pasaría si hubieras sido concretamente hículo al taller en los próximos días. Analizando el sistema
informado por el departamento de ventas porque la última desde un punto de vista un poco más siniestro, tendríamos
vez que llevaste el coche al taller se “detectó”, a partir de la infraestructura ubicada y la información disponible para
los datos de diagnóstico, que tiendes a pisar demasiado el rastrear el movimiento de cualquier vehículo. El Gran Her-
pedal del acelerador? ¿Seria esto un uso inocente de los mano estaría orgulloso de nosotros.
datos? ¿Qué pasa si tenemos un fallo mecánico de mayor El impacto del atentado del 11 de Septiembre de 2001 ha
importancia justo después de que la garantía del vehiculo hecho del mundo un lugar menos seguro. Habitualmente
concluya y antes incluso de que podamos continuar defendi- se da la justificación de una mejora en la seguridad con
endo nuestro caso delante del director del taller, un mecáni- el fin último de tomar nuevas medidas para incrementar el
co conecta el EOBD y anuncia que la rotura no es ninguna nivel de vigilancia pública, pero en este caso sería bajo
sorpresa considerando el modo que tenemos de conducir? la excusa de una “supervisión para emisión de gases más
Por supuesto, estos ejemplos son enteramente hipotéticos, eficiente”. La probabilidad de que la calidad del aire sea
pero no están fuera de la realidad posible. La tecnología mejorada de forma clara con un nivel continuo de vigilan-
está disponible y, por lo tanto, el dato, con lo que el mod- cia, comparado con el test de emisión de gases MOT anual
erno sistema EOBD ya no va a ser durante más tiempo un actual, es bastante baja. La primera norma de las investiga-
mero purificador de aires, sino un Grabador de Datos del ciones criminales cuando buscan un motivo es “cui bono”
Vehículo… (es decir, ¿a quién beneficia?) y esto puede aplicarse al de-
sarrollo de una cara infraestructura de supervisión nacional,
¿Qué tiene que ofrecer el OBD-3? la cual eventualmente tendría un impacto más profundo
Como la tecnología es cada día más y más sofisticada, la en nuestra libertad civil que el único e inocente objetivo
cuestión de cómo podemos proteger nuestro derecho a la ecológico de la vigilancia de las emisiones de los gases de
privacidad se hace cada vez más difícil de resolver. Una escape.
vez que el sistema OBD-2 ha sido ampliamente adoptado,
se han iniciado los trabajos sobre su sucesor, el OBD-3. (070246-I)
Mientras tanto, el progreso tecnológico ha hecho posible [1] www.arb.ca.gov/videos/clskies.htm
incorporar sistemas mucho más potentes y complejos que [2] Circuito Integrado para Tuneado, Elektor de Sept. de 2005.
no habrían sido posibles diez años atrás. Las indicaciones
iniciales son que el OBD-3 no será solo una mejora del [3] Modificación de la velocidad según se desee, Elektor de Marzo
OBD-2 con procesadores más rápidos y más capacidad de 2007.
de memoria. El concepto global está sufriendo un replanteo
radical. Se prevé que los sistemas se implementarán en todo
el mundo de forma que la propuesta final será el resultado
de la incorporación de muchas partes y comités interesa-
dos. No es necesario decir que el procedimiento total no
será rápido.
Algunas de las sugerencias que se han debatido suenan
un poco inverosímiles, si no irrisorias, y son probablemente
el resultado de estudios de viabilidad más que una aproxi-
mación a un diseño considerado. Por ejemplo, se ha sug-
erido que el número de sensores del vehículo se incremente
para medir cosas corrientes tales como las puertas del ve-
hiculo, de modo que la próxima vez que el coche vaya al
taller se le podrá informar de que “la puerta del conductor
se ha abierto 623 veces el último año y la del pasajero sólo
Figura 3.
346 veces, por lo que las bisagras en la puerta del conduc- Pequeños ayudantes de
tor necesitarán engrasarse durante la revisión, ¿desea que Gran Hermano: cámaras
hagamos también la puerta del pasajero?” montadas en estructuras
Menos extravagante es la sugerencia (en la que muchos sobre las autopistas
fabricantes de coches están actualmente trabajando) de que alemanas para registrar los
el conector de a bordo OBD sea sustituido por un enlace movimientos de vehículos.
radio. En muchos de los sistemas RFID que se están dis- Sistemas similares se están
eñando, cada vehículo tendrá su propia y única identidad. probando en el Reino
Un modo de operación usaría principalmente las visitas de Unido. Foto: Stefan Kühn
mantenimiento al taller, donde se podrían analizar una gran (www.webkuehn.de).

08/2007 - elektor, la electrónica que sorprende 125


SOBRE EL TERRENO MEDICIÓN

Osciloscopio bajo

Sascha Hoverath and David Tews

Linux se utiliza cada vez más frecuentemente para el control de distintos dispositivos
electrónicos, tales como teléfonos móviles, routers y receptores de satélite. Estos dispositivos
utilizan a menudo un derivado especial del Linux, denominado μCLinux. Esta variante tiene
la ventaja de ocupar poco espacio y poder usarse en una gran variedad de diferentes tipos
de microcontroladores. Como introducción a esta variante del Linux veremos el desarrollo
de un osciloscopio basado en Linux. ¡Este osciloscopio puede incluso realizar mediciones a
través de Internet!

Con la llegada de μCLinux para las series de controla- reinventar la rueda una y otra vez.
dores PSD de la casa Blackfin, el desarrollo de aplicacio- Utilizando un sistema operativo ya existente se ahorra
nes de Internet para esta familia se ha facilitado mucho. mucho tiempo y dinero durante la fase de desarrollo. La
Además de hacer una versión μCLinux apropiada para mayoría de las partes del programa requeridas ya están
los controladores de Blackfin, la compañía Analog disponibles en Linux. Por ejemplo, escribir y comprobar
Devices también tiene un kit de desarrollo en su progra- nuestra propia pila TCP/IP requerirá mucho tiempo, pero
ma, llamado STAMP BF537 o Stampboard. Así, dispone esto tan usual, ya está disponible en Linux como están-
de un cierto número de módulos adicionales, incluyendo dar. Además, los controladores están disponibles para
un convertidor analógico-digital rápido. Vamos a hacer toda clase de circuitos y han sido exhaustivamente
un osciloscopio con la combinación de este kit de evaluados por muchos usuarios.
desarrollo y el módulo de ampliación del conversor A/D. Pero Linux, obviamente, también tiene las ventajas de un
¡Lo inusual de este proyecto es que está completamente sistema de multitarea: la posibilidad de ejecutar múltiples
basado en μCLinux!. programas (aparentemente) de forma simultánea.
Escogiendo un sistema operativo como μCLinux, el
¿Por qué Linux? diseñador puede concentrarse en esos aspectos que
El uso de Linux en dispositivos embebidos no es tanto hacen que su producto sea diferente de otros de la
una cuestión de “estar a la última moda”, como de no competencia.

126 08/2007 - elektor, la electrónica que sorprende


Linux Desarrollo con μCLinux
Ethernet.
Stamp-kit Todas las líneas de señal importantes del controlador
El corazón electrónico de este proyecto es el STAMP- kit. están disponibles a través de los conectores tipo “hea-
La potencia del procesador la proporciona un controla- ders”. Esto nos brinda la posibilidad de prolongar la
dor de Blackfin 537, con una frecuencia de reloj de más placa con toda clase de circuitos adicionales.
de 500 MHz. En nuestro caso, vamos a usar un convertidor A/D
El núcleo de este circuito integrado tiene una gran (analógico a digital) rápido, que está disponible como un
semejanza con un DSP, debido a las potentes instruccio- kit de extensión ya montado.
nes para generar bucles más las unidades 2 MAC que
pueden multiplicar simultáneamente y sumar el El programa
resultado a la instrucción previa. Las rutas de El kit de desarrollo, como estándar, se suministra con un
datos internas también han sido diseñadas de cargador de arranque (U- Boot), un kernel μCLinux y un
tal manera que el controlador pueda BusyBox pre-instalado en la memoria flash. U-Boot será
procesar grandes tramas de datos sin el primero en arrancar tan pronto como se suministre
esfuerzo. tensión al circuito. Éste, a su vez, cargará Linux en la
Todo esto se suministra con memoria y lo ejecutará. Podemos seguir el proceso de
cantidades copiosas de la arranque completo a través del puerto serie con un
memoria, con valores de programa de emulador de terminal, como Hypertermi-
64 MB de memoria RAM nal, por ejemplo. La configuración del puerto serie es
y 4 MB de memoria flash. bastante estándar: 57.600 baudios, 8 bits de datos, sin
Esta cantidad de memoria es suficiente paridad, y 1 bit de parada.
para acomodar un sistema Linux completo y funcio- En cuanto hayamos realizado todo esto, tendremos un
nal, incluyendo aplicaciones junto con un elemento sistema Linux trabajando. Los comandos usuales como,
“cargador de arranque” (“bootloader”) apropiado. ls, cp, cat, etcétera, pueden enviarse a través del mismo
Podemos realizar la comunicación con esta placa, bien puerto serie para realizar las tareas de Linux.
por medio de una interfaz de serie, o de una interfaz Todavía nos falta una cosa: una aplicación, que tendre-

Figura 1.
placa de desarrollo que incluye
la extensión del conversor A/D.

08/2007 - elektor, la electrónica que sorprende 127


SOBRE EL TERRENO MEDICIÓN

mos que escribir nosotros mismos. Pero antes debemos comprende tres partes: μCLinux , la cadena de herra-
instalar el entorno de desarrollo. mientas y el cargador de arranque U-Boot.
μCLinux contiene el código fuente completo para el
núcleo (kernel) de μCLinux con BusyBox. Para poder
compilar el kernel se requiere un compilador puente y
Entorno de desarrollo unas herramientas de acompañamiento, la denominada
En nuestro caso el entorno de desarrollo que necesitamos “cadena de herramientas”. Esta cadena contiene el
compilador ggc para los procesadores de la casa
Blackfin, así como un “linkador”/ensamblador. Además,
también hay un cierto número de utilidades para
convertir entre los distintos formatos.
Por último, necesitamos el programa U-Boot para
trabajar con el programa de ayuda “mkimage”. Este
programa es necesario para convertir nuestro núcleo en
un formato que el cargador de arranque U-Boot pueda
leer de la memoria flash.
Podemos encontrar el entorno de desarrollo usado en el
conjunto de elementos descargados que acompañan este
artículo. Tenga en cuenta que la cadena de herramientas
sólo funciona bajo Linux. Si realmente quiere continuar
trabajando bajo Windows, puede usar el programa
coLinux, que nos permitirá desarrollar el sistema de
Linux. Por desgracia, no podemos dar ningún detalle
Figura 2. sobre cómo trabaja, ya que solamente hemos trabajado
Configuración del kernel. bajo Linux para el desarrollo de este proyecto.
Podemos encontrar instrucciones en Internet de cómo
instalar este entorno de desarrollo. Para facilitar este
proceso a nuestros lectores hemos escrito un “script” que
recoge todas las instrucciones del proceso y que instala
los tres componentes de forma automática.
Este script se denomina “Installbf” y podemos encontrarlo
en la carpeta ‘\blackfin\environment’, en el fichero
comprimido que podemos descargar de la página web
de Elektor (EPS 060241-11). Utilizaremos el comando
'./installbf /’ , para instalar todo desde el directorio
raíz del sistema de ficheros.

Configuración y verificación de la instalación


Antes de continuar debemos configurar la cadena de
Figura 3. herramientas (“toolchain”). Para hacer esto, ejecutaremos
Adición del controlador del los siguientes comandos dentro del directorio
conversor A/D al kernel. “uClinux-dist”:

>make clean
>make config
>make

Después de ejecutar el segundo comando, nos sorpren-


derá un programa que nos ofrece la opción de configu-
rar el kernel y demás.
Escogeremos la opción “Vendor/Product” (“Distribuidor/
producto”) en primer lugar y seleccionaremos “Analog
Devices Products” en la siguiente ventana. Seguidamente,
escogemos la opción “BF537-STAMP”. Después de esto
abandonamos la ventana de configuración.
El programa, ahora nos preguntará si queremos salvar la
nueva configuración del kernel, a lo que respondemos
“YES” (Sí).
El último comando compila el kernel de Linux completo,
incluyendo las aplicaciones que se acompañan. ¡Debe-
mos tener cuidado! Esto puede llevarnos un buen rato
cuando hacemos esta operación por primera vez. Así
que, podemos prepararnos un café o irnos a lavar el
automóvil tranquilamente. El resultado de todo este
Figura 4. esfuerzo se traduce en el fichero “Linux” que podemos
Captura de la pantalla del encontrar en la carpeta “UClinux-dist /images”.
programa del osciloscopio. Utilizando, por ejemplo, un programa TFTP, podemos

128 08/2007 - elektor, la electrónica que sorprende


enviar esta versión recién “sacada del horno” de Linux al
circuito y verificar que todo trabaja como debe.
Si todo ha ido bien, podemos comprobar ahora, con la
ayuda de un emulador de terminal, cómo arranca este
programa de Linux.
Hemos llegado al punto donde ya tenemos que escribir
el código para nuestra propia aplicación y lo añadiremos
a nuestra imagen.

Adición de nuestra propia aplicación


Nuestra aplicación es un servidor que envía valores
medidos con el conversor A/D a través de la red a un
cliente. Por lo tanto, tenemos que añadir esta aplicación
(llamada oszi) a nuestro código fuente.
Para realizar esto, añadimos una carpeta llamada oszi
en la carpeta “/uClinux-dist/user/”. Todo el código fuente
que necesitamos para nuestro programa tiene que
guardarse en esta carpeta.
Necesitaremos el comando Makefile personalizado, que
contiene las instrucciones para compilar nuestro progra-
ma. Además tenemos que cambiar tres ficheros más, ya
que la cadena de herramientas también compilará
nuestro programa.
En la carpeta “/ uClinux-dist/user/” podemos encontrar opción, obviamente.
la herramienta Makefile. Tenemos que modificarla para Después de que hemos guardado esta nueva configura-
que makefile reconozca nuestro programa. Únicamente ción, podemos utilizar el comando “make” para compilar
tenemos que añadir la siguiente línea: un nuevo kernel de Linux. Este nuevo kernel es enviado al
circuito, igual que la última vez, y ya podemos compro-
dir_$(CONFIG_USER_OSZI_ISZID) += oszi bar el programa.

Ha sido fácil, ¿no?


Los dos cambios siguientes son necesarios para que Programa del cliente
podamos seleccionar la compilación de nuestro progra- Hemos escrito una aplicación de Java para el programa
ma a través de la herramienta “make config”. Estos cliente. La ventaja de esto es que es independiente del
ficheros están en la carpeta “/uClinux-dist/config”. sistema operativo. Este programa ha sido verificado tanto
El primer fichero que trataremos es “Configure.help”. sobre Linux como Windows XP. También debe trabajar sin
Añadiremos las siguientes dos líneas al final de este ningún problema bajo, por ejemplo, MAC-OS.
fichero: Las direcciones IP que se han utilizado se han fijado en el
código fuente del programa de Linux con el valor de
CONFIG_USER_OSZI_OSZID 192.168.1.15 para el circuito. El cliente (un ordenador
Oscilloscope-Server por ejemplo) tiene que tener la siguiente dirección IP:
192.168.1.2. Podemos cambiar esta dirección fácilmen-
El último cambio que haremos será en el fichero “config. te, si fuese necesario, entrando en el código fuente (de la
in”. En la sección “Network Applications”, añadimos la casa Blackfin, ¡obviamente!).
siguiente línea:

bool ‘oszi’CONFIG_USER_OSZI_OSZID

Una vez realizados estos cambios podemos configurar el


kernel a través del ahora familiar ‘make config’.
Ahora vamos a cambiar un poco el kernel. Para hacer
esto seleccionamos “Kernel/Library/Defaults’.
En la pantalla siguiente indicamos que queremos
cambiar tanto la configuración del kernel como la
configuración de usuario (User-settings”).
Bajo la cabecera “Character Devices” (“Dispositivos de
Carácter”) seleccionamos el controlador para poder
trabajar con el conversor A/D. Este controlador nos
permite utilizar el conversor A/D desde dentro de Linux,
que es precisamente lo que necesitamos para nuestra
aplicación.
Una vez dejamos esta ventana, aparece una nueva
ventana donde podemos escoger también qué aplicacio-
nes adicionales queremos compilar.
Bajo la opción “Network Applications”, encontraremos
nuestra propia aplicación “Oszi” que está puesta ahora
en una lista junto con otros programas. Escogemos esta

08/2007 - elektor, la electrónica que sorprende 129


SOBRE EL TERRENO MEDICIÓN

Supongamos que en este momento ya hemos arrancado tener una dirección IP de 192.168.1.3:
el nuevo kernel sobre la tarjeta de Blackfin. Haciendo clic
en el fichero “Oszi.jar” sobre el ordenador, se inicia la >ifconfig eth0 192.168.1.3 up
ejecución del programa cliente.
A través del terminal podemos ordenar a Linux que Después de realizar estos cambios, es necesario compilar
ponga en marcha la aplicación del osciloscopio con el el kernel de nuevo (make, ¿recuerda?).
comando “/usr/oszid”.
Con un clic de ratón sobre el botón “conect” (“Conec- Versiones
tar”), se establece una conexión de red con el circuito. Una vez satisfechos con nuestro programa, sería muy
Los valores medidos aparecen ahora sobre la pantalla en conveniente guardarlo en la memoria flash. De este
forma de un gráfico, justo como con un osciloscopio modo también nos aseguramos de que el programa
normal. arranque automáticamente cuando se encienda el
La máxima frecuencia de muestreo utilizable de este circuito.
osciloscopio es de, aproximadamente, 200 kHz. Primero tenemos que hacer lo que se llama una “ima-
gen” para la herramienta U-Boot. Esta imagen contiene
Programa del servidor todo nuestro programa, que debe comprimirse antes de
Por desgracia, no está al alcance de este artículo volcarlo sobre la memoria. Además, esta imagen
proporcionar una descripción completa del programa del contiene un encabezamiento que incluye la información
servidor. El código fuente para este programa contiene de la ubicación de la imagen en la memoria.
bastantes comentarios, así que no resulta demasiado Este proceso completo está realizado a través de un
difícil imaginar cómo trabaja. “script” con el nombre “mk_uImage”. Podemos encontrar
Lo que no queremos dejar pasar por alto, sin embargo, este script en la carpeta principal de nuestra descarga.
es cómo podemos cambiar la dirección IP del servidor y El
la dirección que nuestra aplicación espera encontrar en resultado
el ordenador del cliente. En el fichero “Oszid.h” existe final “uImage” está en la carpeta ‘/uClinux-dist/images’.
una línea para la dirección IP del ordenador cliente: Pongamos en marcha el circuito de nuevo, y presionemos
la barra espaciadora en la ventana del terminal. El
#define IP_ADDR “192.168.1.2” cargador de arranque está trabajando ahora en el
llamado “command mode” (“modo comando”).
Es obvio que podemos cambiar esta línea según nos Introduciremos los siguientes comandos:
convenga.
Si añadimos la siguiente línea al final, podemos asegurar >tftp 0x1000000 uImage
que el programa del osciloscopio arrancará automática- >erase 0x20100000 0x203FFFFF
mente cuando el sistema se inicie: >cp.b 0x1000000 0x20100000 $(filesize)
>bootm 0x20100000
oszid &
El primer comando el fichero uImage del ordenador a la
Para cambiar la dirección IP del osciloscopio de Li- memoria RAM del circuito.
nux, tenemos que abrir el fichero “rc” de la carpeta El siguiente comando borra los tres últimos megabytes de
“uClinux-dist/vendors/AnalogDevices/BF537-STAMP’. la memoria flash. Estos 3 MB deben programarse con el
En este fichero encontraremos una línea con el comando fichero que acabamos de cargar.
“ifconfig”. Cambiamos esta línea para, por ejemplo, ob- El último comando asegura que U-Boot descomprime
esta imagen en la memoria y la ejecuta.

Finalmente...
El desarrollo del programa con la ayuda de μClinux es
fascinante. Para los que empiezan, consideremos todas
las posibilidades que nos brinda este sistema operativo.
Deseamos que este artículo haya “abierto el apetito” a
nuestros lectores para comenzar con μClinux por sí
mismos, con o sin el circuito que hemos descrito en este
artículo. ¡Muchos ya lo han conseguido!
(060241-I)

130 08/2007 - elektor, la electrónica que sorprende


Arranque mediante un submarino: “Das U-Boot”
Después de una reinicialización, un controlador siempre eje- En el modo comando podemos enviar varias órdenes a U-Boot.
cutará el código que podemos encontrar en una ubicación de Con el comando “print’ U-Boot mostrará todas las variables del
dirección establecida. En nuestro caso, el controlador Blackfin entorno en curso. La variable más importante es “bootcmd”.
tratará de arrancar el código que está almacenado en el inicio
Esta variable indica lo que U-Boot tiene que hacer cuando se
de la memoria flash.
recibe un carácter de espacio durante el bucle inicial de espera.
En esta ubicación está normalmente el programa “Das-U-Boot”,
Estas variables se parecen a esto:
que a menudo está abreviado como ‘U-Boot’. Este programa es
el llamado cargador de arranque (“bootloader”) y es compa- bootdelay = 5
rable a la BIOS de un ordenador normal. Su tarea principal es bootcmd=bootm 0x20100000
arrancar el kernel de Linux. Para hacer esto, el kernel de Linux
primero tiene que descomprimirse, considerando que éste se En el ejemplo anterior, U-Boot esperará hasta 5 segundos para
guarda en la memoria flash en un formato comprimido. Una recibir un carácter de espacio del puerto serie. Si este tiempo
vez descomprimido, el kernel de Linux está en la RAM y el con- transcurre y no se recibe ningún espacio, entonces U-Boot eje-
trolador tiene instrucciones para que ejecute este código. cutará el comando “bootm 0x20100000”. Esto quiere decir que
Además de esta tarea principal, el U-Boot tiene muchas funcio- U-Boot espera una imagen en la dirección 0x20100000, lo
nes adicionales. Todas estas funciones están relacionadas con descomprime en la memoria, verifica su integridad y, finalmen-
la carga de programas, más el borrado y programación de la te, ordena al controlador que ejecute el programa que tiene
memoria flash. cargado.

El U-Boot puede, si así se requiere, cargar un programa sobre


la red con TFTP (Trivial FTP) o incluso NFS, y ejecutarlo. Esto, TFTP
obviamente, también es posible a través del puerto serie, pero
evidentemente será mucho más lento que a través de la red. Durante el desarrollo es probable que tengamos que cargar
una nueva versión del programa de modo frecuente. Para ase-
Esta funcionalidad es muy útil durante las etapas de prueba gurar que U-Boot carga automáticamente el nuevo programa
en la fase de desarrollo del programa. Este método de prueba desde nuestro ordenador utilizando el protocolo TFTP , es mejor
evita la necesidad de borrar y reprogramar la memoria flash transformar el “Bootcmd” en
repetidamente.
Bootcmd = tftp 0x1000000 li-
nux; bootelf 0x1000000
Cuando arranquemos por primera vez, U-Boot esperará un
tiempo determinado. Si se recibe un carácter de espacio a tra- El cambio de comando en U-Boot es el siguente:
vés del puerto serie, dentro de este tiempo, cambiará a modo set bootcmd = tftp 0x1000000
comando. Si no es así, continuará con la operación asignada linux;bootelf 0x1000000
(arrancar Linux). saveenv
¿Cómo hace U-Boot para comunicarse con las variables del
El último comando asegura que las variables de entorno se al-
entorno? Estas variables también están almacenadas en la me-
macenen en la memoria flash, por lo que estas variables no se
moria flash.
pierden cuando desconectamos la fuente de alimentación.

μCLinux y Busybox
El sistema operativo Linux se ha hecho famoso como sistema Una pieza del circuito con un sistema operativo únicamente es,
operativo para servidores y ordenadores de sobremesa. Con por supuesto, totalmente inútil. Éste es el motivo de por qué el
el paso de los años, este sistema operativo ha sido desarrolla- estándar de Linux contiene una gama completa de herramien-
do por numerosos usuarios y lo han convertido en una entidad tas más pequeñas, como por ejemplo, programas para copiar
fuerte que continuará siendo desarrollado en el futuro. El Linux ficheros, crear carpetas o navegar en el sistema de ficheros.
actual es en realidad demasiado grande para los sistemas Todos estos comandos distintos son, nor-
embebidos. Además, el estándar de Linux requiere un llama- malmente, pequeñas aplicaciones. Una
do gestor de memoria. Este elemento es un componente físico colección grande de estas herramien-
dentro del procesador, que asegura que cada aplicación tiene tas requiere de una memoria grande
su propio espacio de memoria, sin sobrescribir la memoria de para almacenar todos estos comandos
otra aplicación de forma accidental. (aplicaciones).
El controlador en un sistema embebido estándar no contiene BusyBox fue desarrollado para ahorrar
este circuito de forma habitual. aún más memoria. Este programa pue-
de proporcionar todos los coman-
El deseo de poder utilizar Linux sobre un controlador con una
dos más habituales en un sistema
cantidad de memoria limitada y sin una unidad de gestión de
Linux. Un único programa ocupa
memoria, ha dado como resultado el desarrollo de μCLinux,
mucho menos espacio que mu-
una versión de Linux que puede funcionar sin un gestor de
chos programas individuales. El
memoria.
usuario de BusyBox no nota en
Linux está desarrollado en un modo muy modular, por lo que absoluto que estos coman-
podemos omitir las partes del kernel que no vamos a utilizar. dos son procesados por
Eliminando también aquellas librerías que se acompañan y BusyBox en lugar de por
que no nos serán necesarias, podemos conseguir que el hueco programas individuales.
de memoria que necesitamos sea bastante más pequeño.

08/2007 - elektor, la electrónica que sorprende 131


SOBRE EL TERRENO TESTEO Y MEDICIÓN

Bobina clínica
Un medidor de inductancia de único rango, de
100 nH a 100 mH, con lectura en pantalla LCD.
Gert Baars

En este artículo presentamos un instrumento portátil que mide la auto-inductancia rápidamente y


con exactitud. La Bobina Clínica es un medidor con auto-rango, “todo en uno”, controlado por un
microprocesador. Todo lo que tenemos que hacer es conectar el inductor desconocido y leer el valor
sobre la pantalla LCD.

El inductor, con su propiedad “Inductan- los recién llegados que intentan instalar mero de vueltas, encontrar el núcleo ade-
cia” (símbolo: L), es uno de los tres com- alegremente un trozo de cable de 50 cm, cuado y comprender la diferencia entre
ponentes pasivos alrededor de los cuales para llevar una señal de AF de alta impe- nano-, mico- y milihenrios (nH, μH y mH,
se ha desarrollado toda la electrónica. Los dancia desde el extremo de una tarjeta a respectivamente).
otros son, la resistencia (símbolo: R) y el otra, y terminan preguntándose por qué Para añadir a todas estas dudas unas po-
condensador (símbolo: C). De los tres, el la Radio del Vaticano bendice su montaje cas más, muy pocos (si no ninguno) de los
inductor es el único que parece dividir co- al anochecer o (con menos cosas intere- multímetros digitales soportan las medidas
munidades enteras en dos: podemos ado- santes que escuchar), por qué escuchan el de inductancia, y para colmo de males,
rarlo u odiarlo. ¡Esta última opinión es la secador de pelo de mamá. la industria de bobinas, tanto fijas como
mantenida por muchos que pertenecen a Otros aficionados con mayor destreza en ajustables, está situada mayormente en
las generaciones más jóvenes y que han la “simulación-generación” de bobinas Asia y Japón (Toko, Murata, etc.), con una
quedado frustrados por inductancias que (inductancias), tienen dificultades en con- sólida tradición para producir modelos
están presentes literalmente en todas las trolar los parámetros teóricos relaciona- con diseños caprichosos y un gran núme-
partes de los circuitos, pero totalmente in- dos con las bobinas (por ejemplo, la auto- ro de componentes que salen al mercado
visibles! Tenemos que desarrollar un “ojo inductancia de una bobina y, por consi- sin referencias y sin marcas.
clínico” para localizar y medir estas “in- guiente, su frecuencia de funcionamiento) Al final de todo, la mayor parte de es-
ductancias ocultas”. No suele haber pro- y los reales de una bobina construida por tas objeciones y malas opiniones contra
blemas para el radioaficionado experi- nosotros mismos. Este último trabajo está las “pobres bobinas” pueden retirarse si
mentado, pero se convierte en una fuente lleno de trampas y problemas: conocer las se dispone de la ayuda de un instrumen-
de confusión y en dolores de cabeza para propiedades del núcleo y del hilo, el nú- to que “mida el valor de las cosas”. Y es

132 08/2007 - elektor, la electrónica que sorprende


Breves especificaciones de la Bobina Clínica
• Mide en un único rango que va desde 100 nH hasta 99,9 mH.
• Resolución del 2% (aproximadamente). • Lectura directa sobre una pantalla LCD.
• Alimentada por pilas. • Indicador del estado de la pila.
• Consumo de corriente de 35 mA. • Microcontrolador ATMega 48.
aquí donde entra en escena nuestra Bo-
bina Clínica.

El principio de la medida que no hay mucho más que decir. tal y como veremos más adelante.
Después de algunas investigaciones, en- Como el microcontrolador trabajaba a Por último, el circuito es alimentado por
contramos que el mejor método disponi- una frecuencia de 20 MHz, la frecuencia un regulador de tensión de 5 V, de baja
ble para conseguir que la Bobina Clínica más elevada que puede aceptarse desde caída de tensión, que toma su tensión de
trabajase sobre el rango de inductancias el oscilador está alrededor de los 8 MHz. entrada a partir de una pila PP3 de 9 V.
establecido, era la medida de la frecuen- Aquí no podemos utilizar una configura- El potenciómetro “preset” P1 nos permite
cia de resonancia. Hemos de mencionar ción de oscilador Colpitts normal debido hacer el ajuste del contraste de la panta-
que, para dar una visión general más cla- a que el factor de calidad Q del circuito lla LCD.
ra, también estudiamos otro método que, LC será muy bajo si intentamos medir una
al final, abandonamos. pequeña inductancia con un condensador El programa y el microprocesador
Debido a su propiedad de auto-induc- C (fijo) de un valor relativamente peque- La salida del oscilador es llevada directa-
tancia, cualquier bobina proporciona una ño. Después de todo, la ganancia interna mente a la entrada PD4 (contador) del mi-
tensión que es proporcional a la variación del oscilador tiene que ser mayor que la crocontrolador ATMega 48. Esta entrada
de la corriente que pasa a su través. En unidad (1), no sólo para compensar las es disparada durante 100 ms bajo control
consecuencia, una bobina proporciona pérdidas LC, sino también para conseguir del programa y realiza una división de la
una onda cuadrada cuando es alimen- el elevado ancho de banda requerido, de señal de entrada en ÷ 10 (por ejemplo,
tada con una corriente en rampa (trian- unos 8 MHz, sin que haya problemas de fr/10), con lo que la señal resultante es lo
gular). La amplitud de la onda cuadrada arranque. suficientemente “lenta” para ser medida
es proporcional a la velocidad de subida El rápido amplificador operacional AD (de nuevo por programa). De hecho, casi
de la corriente y, al mismo tiempo con la 8099 de la casa Analog Devices tiene el 95 % de la funcionalidad de la Bobina
inductancia que deseamos medir. En la una alta ganancia de lazo abierto, aco- Clínica es debida al cuidadoso diseño del
práctica, un efecto denominado “resonan- plada con una alta impedancia de entra- programa interno que corre en el circuito
te” (oscilaciones amortiguadas), echa por da para minimizar la carga de la red LC. integrado ATMega.
tierra el método propuesto, sin olvidar la En esta configuración especial, las osci- Tanto las medidas como los cálculos han
resistencia serie de la bobina que causa laciones no se producen principalmente sido programados en lenguaje ensambla-
estragos a bajas frecuencias. debido a que la impedancia de la red LC dor. Los ficheros del código fuente (.asm)
La frecuencia resonante fr de una red se hace máxima a la frecuencia resonante y del código hexadecimal (.hex) de este
LC no es difícil de calcular a partir de la (como el libro de texto nos dice), sino que proyecto están disponibles, totalmente
fórmula: es más bien debido al desplazamiento de gratuitos, en nuestra página web, con el
fase que es de cero grados a la frecuencia nombre de fichero 060195-11.zip (Junio
fr = 1 / (2 P •L C) de resonancia. Esta interesante caracterís- de 2007). Es posible que nuestros lectores
tica nos ofrece medidas bastante precisas, prefieran tener el listado del fichero .asm
Así, si fijamos el valor de C (valor conoci-
do), podemos aislar el valor de L:
IC1
LP2951CZ5.0
D1
L = 1 / C (2 P fr)2 3 1
+5V
K1 R1
BAT85
100k

Dicho valor se puede deducir fácilmente a +5V


partir de la frecuencia resonante medida R2
GND +5V
GND P1
por un circuito adecuado, como pueda ser C1
10k

GND
el de un microcontrolador. 470n
50k C2
+5V +5V
Para evitar cualquier tipo de conmutación K2
20
21

GND GND 1 470n


7

de rango, se ha desarrollado un oscilador


VCC
AVCC
AREF

2 GND
R3 +5V
C3 23 3
capaz de cubrir un amplio rango de fre- PC0
24 4
1k

PC1
cuencias, utilizando un único condensador 470n 2
PD0 PC2
25 5
IC3 3 26 6
C de valor fijo.
LCD MODULE

GND PD1 PC3


4 27 7
1

R4 PD2 PC4
1 x 16

La salida de este oscilador de “rango an-


7

2 5 28 GND 8
1M
5

PD3 PC5
6 6 IC2 1 9
cho” es llevada a la entrada del micro- 3
AD8099
11
PD4
ATmega48
PC6
10
PD5
controlador para disparar la “puerta” de 12 14 11
4

K3 C6 PD6 PB0
8

13 15 12
su contador interno, 100 ms antes de que 4n7 R6
PD7 PB1
16 13
PB2
sea inhabilitada de nuevo. El resultado de R5
680
PB3
17 14
C7 C8 18
todo esto es la frecuencia de salida divi- Lx PB4
1k

19
22n PB5
dida por 10. Utilizando la fórmula an- 470n
GND

GND
PB6

PB7

S1
terior (¡por programa!), el microcontro-
22
9

10
8

X1
GND GND
lador convierte la frecuencia en un valor
C5
de inductancia y la muestra más tarde en C4 GND

pantalla. 12p 20MHz 12p


060195 - 11
GND
Circuito práctico
En la Figura 1 podemos ver el esquema Figura 1. Esquema eléctrico con todo lo que lleva la Bobina Clínica. Un microprocesador ATMega controla el medidor de inductancias
eléctrico del circuito sobre el que hemos con una presentación directa de las medidas sobre una pantalla LCD, sin conmutación manual de rango. La cruz del circuito es el
basado casi toda nuestra teoría, por lo oscilador de banda ancha que está al lado del amplificador operacional AD 8099.

08/2007 - elektor, la electrónica que sorprende 133


SOBRE EL TERRENO TESTEO Y MEDICIÓN

IC3 = AD8099ARDZ
Lista de materiales Varios
S1 = pulsador, por ejemplo, RA3FTL6 (de
K2
C2 R1 IC2 Resistors Multimec)
P1 C5 R1 = 100k7 X1 = cristal de cuarzo de 20 MHz, de bajo
R2 = 10k7 perfil
R3,R5 = 1k7 Pantalla = LCD alfanumérica de 1 x 16 carac-
R4 = 1M7 teres, compatible HD44870 (ver texto)
R6 = 6807 K2 = conector tipo “pinheader”SIL de 14
1 IC1 R6 C3 P1 = 50k7 potenciómetro preset terminales
X1
3 C4 Condensadores K3 = tira de terminales receptores SIL de 6 ter-
R5
IC3

C1 S1 minales (ver texto)


D1C8 R3 C1,C2,C3,C7 = 470nF
R2 C4,C5 = 12pF PCI = con ref. 060195-1, disponible en
C6 Elektor SHOP
C7
C6 = 4nF7
R4
K1 C8 = 22nF
K3 Semiconductores Ficheros con código fuente y hexadecimal para
D1 = BAT85 el ATMega 48, con descarga gratuita desde
IC1 = 78L05 la página web www.elektor-electronics.co.uk
Figura 2. Placa de circuito impreso de doble cara para el
IC2 = Atmega48-20PU (order code 060195-41)
medidor de inductancias.

delante de ellos para poder seguir más nente puede sumar, restar y multiplicar, aquí?) que hemos fabricado a partir de
fácilmente la explicación que sigue. pero buscaremos en vano para localizar dos tiras de terminales receptores SIL, cor-
De los dos temporizadores internos dis- la instrucción en código ensamblador que tados en longitudes de 14 terminales.
ponibles en el ATMega 48, uno es utiliza- realice la división. Nos guste o no, en al- Aunque la pantalla LCD está configura-
do como contador de frecuencias y el otro gún momento tendremos que dividir un da para trabajar en su modo de 4 bits, la
para gobernar la puerta de 100 ms de la número de 24 bits por otro número de PCI dispone de un conector de 14 termi-
señal. Se ha hecho uso de estos contado- 24 bits. La solución encontrada ha sido nales, ya que también necesitamos con-
res por la posibilidad que tienen de gene- la del método de “divisiones largas” que trolar las señales E (habilitado), RS (selec-
rar interrupciones internas en una condi- aprendimos en la escuela hace muchos ción de registro), contraste y tensión de
ción de desbordamiento. El temporizador años. ¡Aquí lo hemos hecho a nivel de bit! alimentación.
utilizado como contador tiene un ancho Como el autor de este artículo descubrió, La pantalla LCD puede ser un componen-
de tan sólo 8 bits. Sin embargo, incremen- escribir una división larga en lenguaje en- te de propósito general de 1 x 16 carac-
tando un registro de 16 bits en una uni- samblador no es una tarea que se pueda teres, siempre y cuando disponga de un
dad, cada vez que se produce un desbor- hacer mientras tomamos una taza de té. controlador HD 44780 (o equivalente).
damiento, el ancho efectivo total pasa a De hecho, el autor se vio obligado a pedir Para el prototipo de laboratorio hemos
ser de 24 bits, el cual ya es suficiente para ayuda al depurador interno presente en la utilizado una pantalla MC 16011A-STR
nuestros propósitos. aplicación AVRStudio 4.0. Pronto nos di- de la casa Farnell, con un código de pe-
El temporizador que “llama” a la puer- mos cuenta de que el depurador era una dido 1ZZ0423, que puede conectarse di-
ta del microcontrolador está configurado herramienta de un valor incalculable para rectamente sobre K2. Tenemos que ase-
como un contador ascendente y se com- poner el código en orden, en la localiza- gurarnos que conocemos perfectamente
porta como un pre-escaler (divisor de fre- ción y corrección de pequeños errores y en las conexiones del módulo LCD que pre-
cuencia). Hemos conseguido un tiempo de equivocaciones, que permitieron obtener tendemos utilizar.
puerta bastante preciso, haciendo un uso un código bastante depurado. La Figura 3 muestra el último prototipo del
inteligente de este pre-escaler y de los pa- medidor en cuestión. El potenciómetro P1
rámetros “pre-cargados”. El montaje fue improvisado a última hora y su huella
El cálculo del valor de la bobina L a par- El personal de los laboratorios de Elektor definitiva está corregida en la información
tir de la frecuencia medida, representa se ha superado de nuevo en el diseño de final de la PCI. La bobina desconocida se
una carga bastante pesada para el mi- la PCI para este proyecto, de modo que inserta sobre el conector K3, una pieza de
croprocesador. Seguro que este compo- podemos publicar de forma segura la dis- tiras de terminales SIL receptores, cortada
tribución de las pistas de cobre en nues- con una longitud de 6 terminales. Pode-
tra página web y dar el plano de montaje mos preparar otra pieza de repuesto para
de componentes en la Figura 2. Como colocarla sobre la primera, cuando pen-
podemos ver, la placa de doble cara está semos que está dañada o que sus termi-
prácticamente cubierta de cobre en la cara nales se han agrandado. Hemos pensado
de soldadura, la cual actúa como plano que esta longitud es suficiente para permi-
de masa. tir montar distintos componentes con di-
El amplificador operacional AD 8099 de ferentes separaciones entre terminales. Si
ocho terminales, es un componente SMD queremos utilizar hilos entre la placa y la
que requiere la mayor parte de nuestra bobina “Lx” a medir, estos deben mante-
atención cuando iniciamos el proceso de nerse lo más cortos posible. No debemos
soldadura. Una vez que hayamos monta- tocar los terminales mientras realizamos
do el 8929, sólo nos quedará por montar la medida si no queremos incurrir en una
el resto de componentes que son todos de medida falsa de la bobina.
Figura 3. taladros pasantes. El microcontrolador AT- La placa totalmente acabada y el módulo
Placa prototipo. La serigrafía del potenciómetro Mega 48 se monta mejor sobre un zócalo LCD se puede montar fácilmente en una
P1 ha sido corregida en la PCI definitiva. DIP estrecho de 28 terminales (¿otra vez caja de plástico o metálica compacta, con

134 08/2007 - elektor, la electrónica que sorprende


un compartimiento para pila y una venta- unos nuevos válidos durante una calibra- Lx = 1 / (1/L2 – 1/L1)
na para poder leer la pantalla LCD. ción correcta.
Por ejemplo, con L1 = 47.5 mH y L2 =
La calibración Temas de precisión y resolución 45.0 mH el valor que obtenemos en pan-
Recomendamos a nuestros lectores que Una desviación de 0,1 μH es igual tan talla es:
no intenten calibrar el instrumento si no sólo al 0,45 %, un valor que es desprecia-
funciona correctamente. Con el microcon- ble si consideramos el error total de has- Lx = 1 / (1/45 – 1/47.5) = 855 mH.
trolador encendido y trabajando, tenemos ta el 2 % provocado por el redondeo de
que ver el mensaje “OVER” en la panta- los números. A su vez, una resolución del En principio, las bobinas más pequeñas
lla LCD. ¿Podemos conseguir el contraste 2% es insignificante si tenemos en cuenta de 100 nH también se pueden medir, sólo
correcto de la pantalla LCD con el poten- la tolerancia establecida para las bobinas tenemos que conectar en serie una bobi-
ciómetro “preset”? Si es así… continue- choque más baratas de la serie E12, lo na de valor conocido. Sin embargo, la in-
mos. Cortocircuitamos las entradas Lx y cual es otra forma de decir que la Bobina ductancia de los hilos de conexión puede
verificamos que aparece el mensaje “NO Clínica es bastante precisa, pero todo ello provocar problemas: sólo tenemos que re-
VALUE”. depende de la precisión del proceso de cordar que un trozo de hilo con una longi-
La calibración pide el uso de dos bobi- calibración. tud de tan sólo 1 cm representa fácilmente
nas de referencia, una de 22 μH y otra de una inductancia de 10 nH. En pantalla se
220 nH (sí, de 0,22 μH). Lo primero que Uso práctico muestra el mensaje de “NO VALUE” (SIN
tenemos que hacer es medir el factor de Aquí aplicamos KISS: conectamos la bo- VALOR) si el medidor interpreta que tiene
corrección, el cual es requerido para ob- bina misteriosa y leemos su valor en la un cortocircuito en su entrada.
tener la tolerancia del condensador cerá- pantalla. No hay que seleccionar rangos El mensaje “LOW BATTERY” (BATERIA
mico del oscilador. Así, la bobina de 220 o hacer estimaciones. BAJA) aparecerá parpadeando cada 10
nH sirve para compensar la longitud de segundos si la pila está próxima a des-
las pistas de conexión de Lx (y de los hilos, Ahora intentemos esto en casa: cargarse. La tensión medida viene propor-
si los hubiera). cionada por el conversor A/D interno del
Partiendo de un grupo de bobina de 5 ó circuito integrado ATMega 48. Aunque las
10 unidades de 220 nH (choques en mi- • ¿Qué sucede si separamos las vueltas medidas son aún posibles durante un cier-
niatura de la serie E12), seleccionaremos de una bobina de núcleo de aire? to tiempo, deberemos sustituir la pila PP3
la que más se aproxime al valor medio • ¿Qué sucede si insertamos un núcleo lo más pronto posible. Afortunadamente,
del grupo (medidas con la Bobina Clíni- (ferromagnético o metálico)?. la medida de la inductancia se realiza en
ca). Haremos lo mismo para conseguir la • ¿Qué sucede si utilizamos hilos largos un tiempo muy pequeño, por lo que la ba-
calibración necesaria para la bobina de de conexión? tería debería durar algunos años.
22 μH. Si encontramos unas desviaciones • Bobinamos una bobina sobre un nú-
muy grandes para la bobina de 22 μH cleo de ferrita, medimos L1 y, a conti- (060195-I)
(por ejemplo, por debajo de 16 μH o nuación, retiramos el núcleo. Medimos
por encima de 26 μH), tendremos que re- L2. Ya disponemos del parámetro μr Configuración de
visar o sustituir el condensador cerámico del material del núcleo, igual a L1/L2.
de 12 pF. Los mejores resultados se obtie- • Deslizamos una pequeña ferrita, le co-
fusible en el ATMega 48
nen si el medidor sin calibrar alcanza ya locamos un trozo de hilo y vemos lo
una precisión del 10 % en esta fase de la que sucede.
calibración. • Medimos el valor de L de una antena
Quitamos la alimentación del medidor, de ventana o de rombo y utilizamos la
pulsamos S1, conectamos la alimentación medida para calcular la capacidad C
de nuevo y soltamos S1. Deberemos ver requerida para sintonizar una banda
en la pantalla LCD el mensaje: “Place L1 específica de frecuencias.
= 22,0 μH”. Conecte la bobina de cali- • Localizamos la especificación crucial
bración y pulse de nuevo S1. Desconecta- AL de un núcleo de anillo de ferrita sin
mos ahora L1 y conectamos la bobina de marca. Bobinamos 10 (n) vueltas uni-
220 nH (L2). Presionamos de nuevo S1. formemente sobre el núcleo. Medimos
Con esta bobina medida y verificada tam- L, a partir de la cual obtenemos:
bién, la Bobina Clínica mostrará en panta-
Este truco se usa principalmente para la confi-
lla el mensaje: “Calibration OK”. AL = L / n2.
guración del reloj. Si lo configuramos de modo
Las desviaciones y el factor de corrección
erróneo, el programa se ejecutará tan lento que
que se han obtenido se almacenan en la Se produce un caso especial con bobinas los caracteres se presentarán en pantalla, uno
memoria EEPROM del circuito integra- mayores de 100 mH (lectura “OVER”). Es- por uno, como si se tratase de un viejo RTTY, ya
do ATMega y serán llamados y aplicados tas bobinas pueden medirse de forma indi- pasado de moda. La captura de pantalla que
cada vez que el instrumento se encienda. recta con la ayuda de una bobina de refe- mostramos aquí nos presenta la configuración
La calibración sólo se requiere una vez y, rencia, de acuerdo a lo siguiente: medimos realizada para el programador Smart-Prog2 de
una vez acabada, el medidor está listo primero la bobina conocida, anotamos su Elnec, hecha en el laboratorio de Elektor.
para su uso. valor y lo denominamos L1, por ejemplo.
La pantalla LCD mostrará un mensaje de A continuación, conectamos la bobina no BOOTSZ=11
error si no hemos conectado L1 o L2, o conocida en paralelo con L1 y repetimos la BODLEVEL=1
si se ha medido un valor muy bajo. En medida, anotando el valor medido como CKSEL=1111
estas circunstancias, los datos de calibra- L2. El valor de la bobina que estamos bus- SUT=11
ción son ignorados hasta que se obtienen cando, Lx, se calcula de la fórmula:

08/2007 - elektor, la electrónica que sorprende 135


SOBRE EL TERRENO E-BLOCKS

Flowcourse: Jean-Paul Brodier

Sistemas de alarma
Manejo de estímulos
Los dos primeros artículos de esta serie muestran que el microcontrolador es capaz de “hacer
todo sólo”, la única interacción con el mundo exterior es la emisión de luz a través de diodos
LEDs. Para hacer algo más útil, el microcontrolador necesita reaccionar a las condiciones
o eventos de su entorno, algo que los ingenieros en robótica llaman estimulación
(simplemente: un estímulo).
formar de la alarma.
El botón de configurar/reiniciar nos permite armar o desac-
tivar el sistema de alarma, dependiendo del momento del
día. Los sensores son de contactos normalmente abiertos.
Cuando son activados, toman la entrada del microcontrola-
dor correspondiente como un nivel lógico alto.

Montaje
Figura 1. Teclado e indicadores para el sistema de alarma (Fuente: Matrix Multimedia).
Además de la placa del multi-programador, la configura-
ción experimental incluye una placa conmutadora de ocho
conmutadores y una placa de LEDs con un total de 8 diodos
Los dos primeros artículos de esta serie muestran que el LEDs (Figura 2).
microcontrolador es capaz de “hacer todo sólo”, la única La tarjeta de conmutadores de los Bloques Electrónicos de
interacción con el mundo exterior es la emisión de luz a
través de diodos LEDs. Para hacer algo más útil, el micro-
controlador necesita reaccionar a las condiciones o eventos
de su entorno, algo que los ingenieros en robótica llaman
estimulación (simplemente: un estímulo).
@TEXT:El segundo ejemplo dado en Flowcourse (en CD -
ROM), describe una alarma contra intrusos que ilumina un
diodo LED en respuesta a la actividad de un sensor. Dicha
alarma está configurada por una serie de botones. Las
señales producidas por los sensores y los botones son los
estímulos que determinarán el comportamiento del micro-
controlador; veremos cómo tiene en cuenta el programa
estas señales.
La instalación (ver el panel de la Figura 1) comprende seis
sensores de intrusión y seis botones, uno de los cuales se
usa para configurar/reiniciar (en inglés “set/reset”). En la
parte de la salida, sólo hay dos diodos LED, uno indica que
el sistema está activo y el otro la entrada de un intruso. En
una aplicación real, cuando el diodo LED se enciende, se Figura 2. La placa de ocho LEDs tiene todo en su interior. Los ánodos de los diodos LEDs
activa también un relé para suministrar alimentación a una son conectados a las salidas del puerto por medio de una resistencia de 560 7, mientras
sirena, una luz o disparar una llamada de teléfono para in- que los cátodos van a masa. (Fuente: Matrix Multimedia).

136 08/2007 - elektor, la electrónica que sorprende


la Figura 3 necesita una tensión de alimentación que se Figura 3.
obtiene mediante un cable procedente de un terminal “+ V” La placa de ocho
de la placa del multi-programador, conectada a uno de los conmutadores/pulsadores
terminales + V (OUT o IN, ya que están unidos entre sí). necesita una tensión
Estos dos circuitos han sido tomados del CD-ROM suminis- de alimentación que se
trado por Matrix Multimedia. toma de los terminales
“+ V” de la placa del
En reposo multi-programador.
Nuestra configuración se basa en utilizar el puerto B para Las entradas del
las entradas y el puerto A para las salidas. Según muestra microcontrolador se
el diagrama de flujo de la Figura 4, el programa monitori- llevan a masa por medio
za constantemente el estado del bit 0 del puerto B. El paso de una resistencia de 4,7
“if” determina si es necesario llevar a cabo la acción corres- K7 y se protegen con
pondiente para el caso en que la entrada (cuyas propieda- otra resistencia serie de
des podemos encontrar en la captura de pantalla de la Fi- 390 7. (Fuente: Matrix
gura 5) haya pasado a nivel alto. Si el terminal 0 del puer- Multimedia).
to A pasa a nivel alto, el programa sale del pequeño bucle
‘A’ para llevar a cabo la acción requerida (salida) y, des-
pués, regresar dentro del bucle principal: enciende el diodo
LED “ARMED” (Activado”) y coloca la variable ARMED a BEGIN
nivel lógico “1”. La captura de la pantalla que se muestra
Lazo
en la Figura 6 ilustra las propiedades de la salida.
While
1

Intrusión
Unión
Ahora que el sistema está activado, es necesario que reac-
cione frente a una intrusión, la cual se corresponderá con el A:
cierre de los contactos de un sensor. Entramos en un nuevo
Botón ARM
bucle, en el que necesitaremos verificar el estado de los
B0 ->
sensores y del botón set/reset. Un nivel alto en una línea del BTTN_O...
sensor hará que se ilumine el diodo LED “INTRUSION” co-
Condición
nectado a la salida 1 del puerto A. Para la prueba, tendre-
mos en cuenta solamente la entrada 1 del puerto B. If
BTTN_O
Las capturas de pantalla mostradas en las Figuras 7 y 8 NOFF ... Si
ofrecen las propiedades de la entrada y la salida. El organi- ?
Salida
grama de la Figura 9 muestra solamente la parte completa No
LED_AR...
que nos interesa del diagrama de flujo global. Por ejemplo, Ir a la unión -> A0
desde la posición “while ARMED < > 0 “, el resto es idénti-
co al diagrama flujo de la Figura 4. Este bucle de detección A Calcula
tiene que modificarse para que tome en cuenta todas las en- ARM = 1
tradas a las que están conectados los sensores. Existen dos
métodos asequibles para nosotros: añadir nuevas cajas de
“Input” (“Entrada”) o añadir bits en las opciones de “Proper-
ties” (“Propiedades”) del cuadro existente.
También es posible hacer la previsión para dos cajas
“Input” (“Entrada”), cada una de ellas monitorizando una
parte de los sensores y provocando la acción adecuada Lazo
para la zona donde estén los sensores.
Figura 4.
Nueva vuelta al reposo En el modo reposo,
END el sistema monitoriza
Todo lo que tenemos que hacer ahora es salir del bucle de
constantemente el botón de
detección para borrar las alarmas y desactivar el sistema. “Set/Reset”.
Aquí, de nuevo, existen (al menos) dos soluciones. Pode-
mos añadir simplemente una prueba para el botón set/reset
o también podemos - y esto es el lo que vamos a realizar
aquí - utilizar una de las “Propiedades” del puerto B: provo-
cando una interrupción siempre que uno de los terminales
cambie de estado. Los terminales 4 a 7 reaccionan frente a
cualquier cambio de estado. Dependiendo de su configura-
ción, el terminal 0, etiquetado como INT, reacciona tanto
a un flanco de subida como a uno de bajada. Configurare-
mos sus Propiedades para que reaccione frente a un flanco
de subida en terminal 0 y reinicie la variable ‘ARMED’ a
cero (Macro). Haciendo esto, la condición para permanecer
Figura 5.
en el bucle de detección desaparece y el programa sale de
La entrada “0” del puerto
este bucle. Antes de volver al bucle mayor, debemos tener
B es la única que es tenida
cuidado en no olvidar desactivar la interrupción RBO / INT,
en cuenta.

08/2007 - elektor, la electrónica que sorprende 137


SOBRE EL TERRENO E-BLOCKS

BEGIN

Lazo
While
1

unión
A:

Botón ARMED
B0 ->
BTTN_O...
Condición
If
BTTN_O
NOFF ... Si
?
Figura 6. La salida “0” del puerto A pasa a nivel alto para indicar el cambio de estado. Salida
No
LED_AR...
ir a la unión -> A0
A Calcula
ARM = 1

Lazo
While
ARM <> 0

Entrada
Entrance
B1 ->
SENSOR...
Condición
If
SENSO
R_0 <> 0 Si
?
Figura 7. La entrada “1” del puerto B corresponde al primer sensor. No
Exit
INTRUSI...
-> A1

Lazo

Lazo

Figura 8. La salida “1” del puerto A pasa a nivel alto para indicar la entrada de un
END
intruso.

apagar los indicadores y establecer un temporizador.


Figura 9. El lazo de detección de intrusión no tiene instrucción de fin de lazo (“END”). Es
Surgen dos problemas, los cuales resolveremos de una sola
necesario cortar la alimentación para salir de ella.
vez. El primer problema es obvio, y consiste en que una vez
activado el sistema el usuario no puede dejar las instalacio-
nes sin que se dispare una alarma. El segundo es que, si se
mantiene la presión durante demasiado tiempo en el botón demos encontrar en la Figura 10.
Set/Reset cuando queremos que se apague, esto se interpre- Podemos conseguir cada uno de estos retardos utilizando
tará inmediatamente por el lazo mayor como una petición un contador hacia atrás en el lazo. Se carga un registro con
de activarse de nuevo. el número de segundos de retardo. Una interrupción pro-
La solución es común a ambos problemas: es necesario in- veniente del temporizador reduce el contenido del registro.
troducir tiempos de espera durante la ejecución del progra- Cuando el contador llega al cero, el programa sale del lazo
ma. Después de activarse, un retardo de unas decenas de de espera. Si no hay nada más que hacer, excepto esperar,
segundos dará tiempo al usuario para dejar las instalacio- el resto del bucle puede estar vacío.
nes sin disparar una alarma. Después de la desactivación, La variable “RATE” (“Tasa”) (Figura 11) es un número de
un retardo de unos pocos segundos dejará tiempo suficiente 16 bits. En la configuración por defecto del microcontrola-
para liberar el botón y permitir que el inevitable rebote del dor, el oscilador interno tiene una frecuencia de 19,6608
interruptor mecánico se detenga. MHz. Haciendo una división por 256 se obtiene una señal
El organigrama completo para la alarma de intrusos lo po- de 75 Hz (76,8 Hz) para sincronizar el temporizador TI-

138 08/2007 - elektor, la electrónica que sorprende


MER0. Por lo tanto, necesitamos 75 pasos por cero y 75
interrupciones para conseguir un retardo de un segundo. El
contador se carga con el número de pulsos de reloj en lugar
BEGIN
del número de segundos. El número de segundos aparece
en el diagrama de flujo (la multiplicación por 75 la realiza Inicializa
el compilador). También es posible crear una variable auxi- RATE = 0
liar que contaría 75 interrupciones antes de disminuir la ARMED = 0
variable RATE. Tictac
La macro “Tictac”, llamada por la interrupción, cuenta los Enable
TMR0 Overfl...
desbordamientos del contador/temporizador TIMER0. Las
instrucciones en lenguaje C que contiene esta macro/co- Lazo
While
mando son 1

Velocidad de rebote
if (FCV_RATE > 0) FCV_RATE = FCV_RATE - 1; While
// Decrementa el contador RATE>0
if (FCV_RAYE %75 ==0) FCV_LED_ARMED=1;
// Detección cada segundo

Velocidad de rebote
Salida
Durante el período de retardo, después de activarse, el Unión
diodo LED A0 se iluminará a una frecuencia de 1 Hz. Para
A:
conseguir esto, el estado del indicador LED_ARME se evalúa
en el lazo. Este indicador entra en marcha cuando el valor Botón ARMED
del contador TEMPO es divisible por 75 (la operación de B0 ->
módulo anterior), por ejemplo, cuando estamos en torno a BTTN_O...
un segundo. En este caso, el valor de A0 es leído, y se in- Condición
vierte mediante la operación de una OR exclusiva, saliendo If
en el terminal de la salida A0. Una vez que ha sido utiliza- BTTN_O
NOFF ... Si
do para determinar la ramificación, el byte de la variable ?
Cargar contador
LED_ARMED puede emplearse como una variable auxiliar. No
En esta serie de operaciones se recomienda seguir la prácti- Ir a la unión
RATE = 45 * ...
ca de una buena programación: la bandera que dispara la A Retardo para dejar la habitación
conmutación de salida se configura por una función, la ru- While
tina de interrupción, y se reinicia por otra función, la rutina RATE > 0
de conmutación de salida. Una mala práctica hubiera sido
establecer y reiniciar la bandera dentro de la misma rutina, Condición
lo que podría provocar encendidos aleatorios. El diodo LED If
LED_AR
se encenderá a la salida del bucle, cualquiera que sea el MED = 1 Yes
estado en el que estaba antes. ?
Entrada
No
A0 ->
LED_AR...
Ampliaciones Cálculos
Para completar la alarma antirrobo, todo lo que queda
LED_ARME...
por hacer es incrementar el número de sensores que están
monitorizándose y añadir algunos elementos en el circuito. Salida
Lo primero sería añadir uno o más relés, conectados desde LED_AR...
-> A0
los terminales de salida a través de transistores, a la señal
de alarma de un modo más práctico que por medio de un Cálculos
diodo LED; después añadiríamos las líneas entrantes para LED_ARME...
la conexión de los sensores, con la protección necesaria
contra las sobretensiones y tensiones inducidas.
(075061-I)

Retardo para dejar la habitación

LED ARMED encendido


1
-> A0
Interrupción
Enable

Figure 11. La variable RATE mantiene la cuenta de los segundos de retardo. Figure 10. Extracto del programa de alarma de intrusos.

08/2007 - elektor, la electrónica que sorprende 139


TECNOLOGÍA SENSORES

Huellas dactilar
Sensor capaz de leer la huella
dactilar utilizando señales de RF
La seguridad es un tema creciente en la actualidad. En particular, la protección de los datos
personales está recibiendo mucha atención. Los sensores de huella dactilar están experimentando
una rápida tendencia de desarrollo. Los Biometric DigitalisS de ESCO, son un tipo poco usual de
sensores de huella dactilar. El sistema utiliza señales de RF para grabar huellas dactilares.

Los primeros sensores de huella dactilar, que fueron desa- propiedades físicas de la piel. La debilidad de este método
rrollados alrededor de 1990, utilizaban métodos de con- es la calidad del enlace entre el dedo y el contacto que
tacto de superficie para grabar los patrones únicos de las transfiere la señal de RF al dedo. El punto de contacto tam-
huellas dactilares. Los predecesores de los métodos ac- bién puede llegar a ponerse muy caliente.
tuales utilizaron métodos de obtención de imágenes óp-
ticas para producir fotografías de las huellas dactilares.
Actualmente encontramos diferentes métodos disponibles, Huella verdadera
cada uno con sus propias ventajas y desventajas. La compañía americana AuthenTec ha desarrollado senso-
res que utilizan el método de señales de RF. La tecnología
que desarrollaron, apodada “TruePrint”, trabaja leyendo
Métodos
Un ejemplo es la medida de la capacidad eléctrica, que
utiliza sensores sensibles a la carga para detectar las dife-
rencias entre lomos y valles en la huella. Las desventajas Sección ampliada
de la piel
de este método son su sensibilidad a las cargas estáticas y
Capa de células
el hecho de que su circuitería electrónica puede ser enga-
Campo del RF

vivas de la piel
ñada con relativa facilidad utilizando un dedo artificial. Capa muerta más
Existe otro método basado en la utilización de sensores exterior de la piel

piezoeléctricos para medir la presión. Las desventajas de Superficie de la piel

este método son su baja sensibilidad, su incapacidad de Planos de los


diferenciar entre huellas dactilares verdaderas y falsas, y sensores de píxel

su sensibilidad a la presión excesiva. Amplifi- Plano de la señal de


excitación de referencia
cadores
Un tercer método es la grabación térmica. Un material de de Sensores
Substrato
“piroeléctrico” puede convertir en una tensión la diferencia Salida semiconductor

entre la temperatura de un elemento de un pixel que toca 070028 - 11

el lomo de una huella y la temperatura de un elemento ubi-


cado debajo de un valle. Esta técnica es casi infalible. Sin Figura 1. La tecnología de huella verdadera utiliza señales de RF para leer huellas dactilares.
embargo, tiene la desventaja de la que la imagen térmica
desaparece en una décima de segundo. La matriz de sen-
sores se calienta rápidamente por el dedo, con el resulta- la huella dactilar de la capa viva, una capa de células de
do de que ya no existe diferencia de temperatura. la de piel altamente conductiva, situada justo debajo de la
La detección óptica, que fue el primer método utilizado para capa superficial exterior seca (ver Figura 1). Este método
reconocer huellas dactilares, aún se utiliza. Podemos tomar es relativamente insensible a los problemas de una huella
una imagen utilizando una matriz de sensores CMOS o dactilar de un dedo seco, desgastado, sucio y/o graso-
CCD y, posteriormente, convertirla en una imagen de tonos so, que puede confundir otro tipo de sensores. La tasa de
grises. La desventaja de este método es que la impresión de- aceptación de una huella falsa (“false acceptance rate”
jada por el dedo puede ser reutilizada. Además, también FAR) es del 0,01 %, mientras que la tasa de rechazo de
resulta difícil distinguir una huella legítima de una buena una huella falsa (“false rejection rate” FRR) es 0,10 %.
imitación. El método utilizado en el sistema de DigitalisS El sistema de DigitalisS, fabricado por ESCO Biometric,
está basado en señales de RF. Una señal de RF de baja in- utiliza el sensor AFS 8600, que fue desarrollado por
tensidad se aplica a la yema del dedo, lo que provoca que AuthenTec y está basado en la tecnología de “Huella ver-
éste actúe como una antena. Por lo tanto, un conjunto de dadera”. Este sensor está formado por una matriz de sen-
antenas en miniatura configuradas apropiadamente, puede sores, un anillo de control y la electrónica asociada. La
utilizar las señales de RF para trazar un mapa de las cum- electrónica del circuito detecta la presencia de un dedo
bres y los valles de la yema del dedo. Es difícil engañar a sobre la superficie del sensor y genera una imagen digi-
este tipo de sensor, ya que su funcionamiento se basa en las tal de la huella dactilar.

140 08/2007 - elektor, la electrónica que sorprende


res de confianza

La matriz ratura en el módulo de huella


cuadrada de dactilar con el fin de evitar que
sensores está genere una mancha de contacto ex-
localizada en cesivamente caliente debido a la resisten-
la mitad del circuito cia entre el dedo y el anillo de control. Este
integrado y tiene una dimensión de sensor monitoriza la temperatura y apaga el
flanco de 11,43 mm. Esta matriz de sensores módulo si la temperatura se pone demasiado alta.
está actualmente en la superficie superior del cir-
cuito integrado. La superficie ha sido tratada con una
especie de barniz cerámico especial con una dureza Reconocimiento
de 7+ Moh que protege al circuito integrado contra las abra- El proceso de reconocimiento puede empezar una vez que
siones y las inclemencias del tiempo. los datos han sido grabados digitalmente. Este proceso
El conjunto de sensores está formado por 9.216 elementos in- tiene varios pasos. En primer lugar, los datos deben con-
dividuales organizados en una matriz de 96 × 96. Esta con- vertirse a un formato manejable. Un método comúnmente
figuración está dividida en seis filas de 96 x16 píxeles. Cada utilizado, que también utiliza el F.B.I., consiste en identificar
elemento tiene un amplificador ubicado justo debajo del ele- las “nimiedades”. Existen características especiales en el
mento pixel, además de un demodulador síncrono, y un filtro. patrón de las líneas de una huella dactilar, como ramifica-
ciones e intersecciones. Una única “firma” específica de
una persona en particular puede estar compuesta de 40
Funcionamiento puntos. La determinación de las posiciones y orientación de
Los elementos de cada columna de una sub-matriz son estas nimiedades es suficiente para la comparación de dife-
escaneados para generar una imagen digital. Un multi- rentes huellas dactilares. Como resultado, una única huella
plexor de 16 canales selecciona cada columna de la sub- dactilar ocupa 128 bytes en el dominio digital.
matriz por turno, para muestrear y digitalizar. La columna Esta información digital se puede comparar con la infor-
seleccionada controla una línea analógica del bus. La se- mación de una base de datos. Aunque cada fabricante de
ñal es amplificada, integrada y llevada hasta un circuito sensores utiliza sus propios algoritmos, todos están basados
de muestreo y almacenamiento. A continuación, la señal en el mismo principio básico: buscar la mejor coincidencia.
analógica resultante se digitaliza. Cualquier circunstancia puede causar, ocasionalmente, lige-
El anillo de control transfiere la señal al dedo, lo que quiere ras diferencias entre las imágenes almacenadas y las imá-
decir que debe haber contacto con el dedo para un reco- genes medidas de los detalles. Por esta razón, cada algorit-
nocimiento correcto. Por lo tanto, el anillo está ubicado al- mo tiene generalmente tolerancia de error configurable, que
rededor de la periferia del conjunto de sensores. Puede re- también determina directamente el nivel de seguridad. Esto,
conocerse por su color ligeramente más luminoso. El anillo por supuesto, está directamente relacionado con los valores
de control es controlado por componentes de síntesis digital FAR y FRR del sistema. Cuanto más severa sea la configura-
directa (DDS), montados sobre un circuito integrado, que ción del nivel de seguridad, las huellas dactilares serán re-
generan una señal de onda senoidal. La fase, la frecuencia chazadas en mayor número. Aunque escanear quince veces
y la amplitud de esta señal vienen determinadas por pro- una huella dactilar puede ser aceptable para acceder a un
grama, a través de los registros de control del sensor. lugar secreto del Gobierno, esto sería un proceso demasia-
En realidad el conjunto de sensores es un grupo de ante- do engorroso para los consumidores que quieran utilizar su
nas activas que recogen la débil señal transmitida por el identificación de huella dactilar para pagar sus billetes en
anillo de control. La señal proveniente del anillo de con- una estación. Todos se benefician de un sistema de detec-
trol es acoplada con el dedo del individuo y modulada ción rápido y exacto y una tecnología de huella verdadera
por la propagación a través de varias capas de la piel. que proporciona una base sólida a tal sistema.
Esto significa que el dedo debe hacer el contacto con el (070028-1)
anillo de control y el sensor al mismo tiempo, con el fin
de generar un patrón que se corresponda con la huella Enlaces en Internet:
dactilar de la fina capa (viva) de células de la piel. www.escobiometric.com
La compañía AuthenTec ha integrado un sensor de tempe- www.authentec.com

08/2007 - elektor, la electrónica que sorprende 141


SOBRE EL TERRENO MÓDULOS PRÁCTICOS

Sencilla
programación casera
Programador de flash AVR de hombre pobre
Nos guste o no, el microcontrolador se ha convertido en una parte indispensable de los equipos
electrónicos. Sin embargo, todavía hay personas que saben muy poco sobre estos componentes.
Solamente por esta razón, muchas personas evitan montar distintos proyectos. A menudo el
problema es la programación del microcontrolador. Comprarlo es una cosa, pero otra muy
distinta es programar el propio programa interno...
Jeroen Domburg y Thijs Beckers
K1
1
14
2
R1
100 7
La razón principal por la que algunos de nuestros lectores evitan un
15
R2 proyecto basado en un microcontrolador es el obstáculo de “progra-
3
16
100 7
R3
VCC mar la cosa”. A menudo se tiene la idea de que se requiere un progra-
4
17
100 7 mador costoso para los microcontroladores. Afortunadamente, éste no
5
R4
100 7
es siempre el caso. Aunque existen unos pocos microcontroladores,
18
6 RESET
generalmente los más antiguos, que requieren de un programador pro-
19
IC socket 20p fesional (y costoso), la mayoría de los microcontroladores modernos
7
20 20
"ATTiny2313"
1
admiten un programador construido por nosotros mismos, lo cual no
8 SCK 19 2 supone más que unos pocos euros. En este artículo veremos un progra-
21 MISO 18 3 XTAL1
9 MOSI 17 4 X1 mador que es específicamente adecuado para la serie de microcontro-
22
10
16
15
5
6
ladores AVR de la compañía Atmel. Puede programar prácticamente
23 14 7 XTAL2 todos los circuitos integrados AT90, ATTiny y ATMega.
11 13 8
24 12 9
12 11 10
25
13
Protocolos
GND Además de los protocolos complicados y más rápidos, la mayoría de
DB25 075060 - 11 los microcontroladores AVR también soportan el protocolo ISP más len-
to. ISP quiere decir Programable en el Propio Sistema (In System Pro-
grammable) y ha sido diseñado específicamente para (re)programar
Figura 1. El “esquema eléctrico” realmente no es más que unos pocos hilos
un microcontrolador AVR sin tener que retirar el circuito integrado del
y un zócalo para el microcontrolador.
circuito. Esto hace que sea necesario reservar algunos terminales del
mismo para la operación de programación, preferentemente no dema-
siados, ya que si el controlador ya ha sido montado en un circuito no
es conveniente. La compañía Atmel ha sido capaz de limitar el proto-
colo a cinco líneas de señal: Reset, MISO, MOSI, SCK y GND. Con
esta información ya podemos comenzar.

El diseño
No hay necesidad de tensiones de programación especiales (generalmen-
te elevadas), así que, si podemos generar las señales correctas, estamos
preparados. El PC tiene un puerto que hace fácil generar señales TTL com-
patibles: el puerto paralelo (o Centronics). Por lo tanto es obvio que utiliza-
remos este puerto para nuestro “Adaptador de programación”. Los termi-
nales pueden conectarse directamente al microcontrolador AVR. La tensión
de alimentación también la “robamos” del puerto paralelo. Con unas po-
cas resistencias de 100 7 uniremos algunos terminales del puerto paralelo
juntos (Figura 1). Conectamos todo esto sobre un zócalo de circuito inte-
Figura 2. Normalmente intentamos que el número de componentes sea el grado para que el microcontrolador AVR pueda programarse. Utilizaremos
mínimo, pero esta vez realmente hay muy pocos. un modelo de los más caros, un zócalo con los terminales redondeados.

142 08/2007 - elektor, la electrónica que sorprende


El montaje se puede hacer de dos maneras: a la izquierda, de la forma más sencilla, y a la derecha la versión con una pequeña pieza de placa de circuito impreso.

Estos duran mucho más tiempo que un zócalo normal, los Un ejemplo
cuales tienden a “dar de sí” después de algunos usos. Como ejemplo vamos a suponer que deseamos progra-
Todavía nos queda una parte. Algunos microcontrolado- mar el código para el “Medidor por Ethernet” (publicado
res tienen un oscilador interno, pero la mayoría de ellos en mayo 2007 en Elektor) en el microcontrolador AVR.
necesitan de un cristal de cuarzo que genere la frecuencia ¿Qué cosas tenemos que seleccionar?. En primer lugar,
de reloj. Por lo tanto también tenemos que añadir eso a el dispositivo. Aquí escogemos el “ATTiny2313” como el
nuestro “circuito”. Debido a que la programación se rea- controlador que vamos a programar. El “Programador”
liza con una señal controlada por el reloj, el valor de la que describimos en este artículo se denomina “bsd”, así
frecuencia del cristal no es algo crítico. Podemos utilizar que lo seleccionamos. Después de esto tenemos que es-
cualquier valor desde los 4 MHz hasta el valor asignado coger el puerto que vamos a utilizar. En nuestro caso es
para que el micro AVR trabaje correctamente. También el puerto de impresora, es decir el LPT1. Por desgracia,
debemos conectar dos condensadores de 22 pF a masa, AVRDUDE, en combinación con esta interfaz, sólo puede
pero dejaremos esto a un lado para simplificar. Nunca he- controlar puertos paralelos que estén montados direc-
mos tenido problemas debido a esto. Podemos añadirlos tamente en la placa madre del ordenador. Los adapta-
después si llegaran a ser necesarios alguna vez. dores de puerto USB a paralelo nunca funcionan, y con
tarjetas de expansión PCI existe una buena posibilidad
de que tampoco funcionen. Así que el caso más habitual
El programa
El programa (gratuito) que utilizamos con este programador
podemos encontrarlo con el nombre de “AVRDUDE” (de AVR
Downloader/UploaDEr, es decir Cargador/Descargador
para AVR). El programa fue hecho para funcionar original-
mente en un entorno de Unix, pero varios de nuestros segui-
dores han escrito una versión para Windows. La aplicación
de programación viene junto con el paquete gratuito “WinA-
VR” [1]. Además de AVRDUDE, WinAVR también contiene un
compilador, un ensamblador y otras herramientas de progra-
mación. Sin embargo, no las necesitamos si solamente quere-
mos programar la lógica interna de un microcontrolador AVR.
AVRDUDE ha sido diseñado para los sistemas operativos ba-
sados en Unix. Si estamos ejecutando este sistema operativo
sobre nuestro ordenador, no tendremos ningún problema en
programar un microcontrolador AVR utilizando el manual.
Sin embargo, AVRDUDE no tiene la interfaz de usuario
que los usuarios de Windows esperan. Esto puede solu-
cionarse con el programa de aplicación “AVRDUDE - el
GUI” [2] (GUI, Graphic User Interface, es decir, Interfaz
Gráfica de Usuario). Después de descargar todo el pro-
grama, tenemos que descomprimir los archivos en el mis-
mo directorio que contiene AVRDUDE, generalmente c:\
winavr\bin. El programa arranca con un doble clic sobre
el fichero avrdude -gui.exe y, a partir de aquí, podemos Figura 4. Éste es el modo en que se debe configurar el programa AVR-
ajustar todos los parámetros fácilmente. DUDE-GUI para programar el microcontrolador del Medidor de Ethernet.

08/2007 - elektor, la electrónica que sorprende 143


SOBRE EL TERRENO MÓDULOS PRÁCTICOS

será el de seleccionar la opción “LPT1’ en la casilla de estado. Si todo va bien, el programa nos indicará que
selección “Port” (“Puerto”). Ahora ya podemos centrar- todo se realizó con éxito. Ya podemos retirar el microcon-
nos en seleccionar la configuración de los propios micro- trolador del zócalo del programador y montarlo sobre su
controladores AVR. Queremos volcar un fichero a una circuito de trabajo.
memoria flash, por lo que pondremos una marca en la
opción “Write” (“Escribir”), dentro de la casilla “Flash” y
debajo indicaremos dónde se encuentra el fichero hexa- Finalmente
decimal. Con el botón “....” podemos ver la ubicación Este artículo está basado en un microcontrolador AT-
correcta, si fuera necesario. Tiny2313 como ejemplo. El programador también traba-
Generalmente también tendremos que configurar los fusi- ja con otros microcontroladores AVR de la casa Atmel, in-
bles. Los ajustes normalmente están en el artículo o en el cluso aquellos con un encapsulado más grande o más pe-
código fuente para el programa (el fichero “.asm” o “.c”, queño y con un número diferente de terminales. Podemos
que a menudo está disponible para su descarga). Los consultar la hoja de características de dichos microcontro-
fusibles tienen que entregarse en formato hexadecimal. ladores para identificar los terminales MISO, MOSI, SCK,
Si los valores están indicados de una manera diferente, Reset, VCC y GND y conectarlos a los cables correctos.
entonces podemos convertirlos con la calculadora de fusi- Hay que recordar que tenemos que escoger el circuito in-
bles “en línea” [3]. tegrado apropiado en el AVRDUDE - GUI.
En el caso del “Medidor de Ethenet”, el fichero .asm estable- Por último, debemos señalar que cuando descargue-
ce que el fusible bajo tiene ser “0xcf” y el fusible alto, “0xdf”. mos la interfaz gráfica, verificaremos que tenemos la
En ambos casos ponemos una marca al cuadro “Write” e última versión. Las versiones anteriores contienen fa-
introducimos los valores apropiados. Si todo está bien, en la llos, como la interpretación incorrecta de los bits del
ventana aparecerá lo mismo que se muestra en la Figura 4. fusible. Podemos echar un vistazo a la referencia [4]
Para programar el microcontrolador AVR, la aplicación AVR- para más información.
DUDE necesita el acceso al puerto paralelo. Esto no se pro- (075060-I)
duce exactamente de la misma manera en que lo hacen las
versiones actuales de Windows, sino que se requiere un con-
trolador especial. Afortunadamente, instalar este controlador Enlaces en internet:
no es demasiado difícil: debemos hacer clic en el botón de [1] http://winavr.sourceforge.net
“Install” (“Instalar”), en el cuadro de diálogo “GiveIO Driver” [2] http://sourceforge.net/projects/avrdude-gui
en la esquina superior derecha, y todo debe de funcionar. [3] http://palmavr.sourceforge.net/cgi-bin/fc.cgi
Ahora tan sólo nos queda hacer clic en el botón de
“Execute” (“Ejecutar”) y el microcontrolador AVR será [4] http://sprite.student.utwente.nl/~jeroen/projects/avr_stuff
programado. El cuadro en la parte inferior no muestra el

Acerca del autor


Jeroen Domburg es estudiante de ingeniería eléctrica en la Universidad Técnica Saxion en Enschede. Es un aficionado en-
tusiasta, interesado en los microcontroladores, equipos electrónicos y ordenadores.

En este artículo exhibe sus trabajos manuales personales, modificaciones y otros circuitos interesantes, que no necesaria-
mente tienen que resultar útiles. Lo más probable es que no ganen un concurso de belleza y la seguridad tampoco es un
punto fuerte, pero eso no preocupa mucho al autor, siempre y cuando el circuito haga aquello para lo que ha sido di-
señado. ¡Advertidos quedan!

Publicidad

¡ SU ANUNCIO PUEDE ESTAR AQUÍ !


Infórmese en:
93 811 0551 - publicidad@elektor.es
144 08/2007 - elektor, la electrónica que sorprende
TRUCOS DE DISEÑO TECNOLOGÍA

Comprobador SDR de tarjetas de sonido


Burkhard Kainka
R1 C1 que utiliza un mezclador I-Q: seña-
La clave para utilizar una tarjeta 1k5 les con la misma frecuencia pero
de sonido con éxito en el proce- 22n
con diferente fase. Para comprobar
R4
samiento digital de la señal o en C2 la tarjeta de sonido necesitamos un
aplicaciones de radios digitales, programa SDR que se esté ejecu-

470
22n
está ligada principalmente a las 4 8 tando en el ordenador, así como el
propias características de la tar- R L circuito de la Figura 1. El progra-
2
TR
jeta de sonido. Esto se aplica en IC1
ma adecuado incluye la aplicación
+ 45 o
particular a los programas SDR BT1 3
OUT DIS
7 SDradio (disponible para su descar-
6V
(Software Defined Radio, es de- NE555 R ga en la página web http://digi-
cir, Radio Definida por Progra- THR
6
lander.libero.it/i2phd/sdradio/).
ma), que convierten nuestro or- CV R2 R3 – 45 o Cuando todo funciona correctamen-
C3
denador en un receptor último 5 1 te, la pantalla tan sólo debe demos-

100

470
modelo de AM / SSB / CW, su- 22n trar dos señales: la señal deseada
poniendo que nuestra tarjeta de de 15 kHz y un pico de la señal
sonido lo permita. 070158 - 11
imagen a - 15 kHz (Figura 2). La
Si queremos experimentar con atenuación de la señal imagen es
SDR y evitar una gran cantidad posible que no sea particularmente
Figura 1. Circuito de prueba para generar las señales I y Q.
de frustraciones, lo mejor es que buena ya que el circuito de prue-
verifiquemos primero si la tarje- ba no tiene una buena precisión de
ta de sonido del ordenador que Este circuito nos ayudara a diag- por encima de 24 kHz. La frecuen- frecuencia y de fase. Sin embargo,
pensamos utilizar es la adecua- nosticar cualquier problema y a cia de este generador de prueba si las señales tienen el mismo nivel,
da. Existen tres elementos esen- determinar si la tarjeta de sonido es, dentro de unos límites, depen- quiere decir que existe un proble-
ciales para conseguirlo: es adecuada para utilizarla con diente de su tensión de alimenta- ma en el procesamiento de los dos
un programa SDR. ción. Utilizando una fuente de ali- canales: es probable que la tarjeta
• La tarjeta de sonido debe tener La Figura 1 muestra un senci- mentación ajustable podemos tra- de sonido tan sólo disponga de una
una entrada de línea con nivel llo generador de onda cuadrada bajar con un rango de frecuencias entrada monofónica.
estéreo. construido alrededor de un circuito que cubre los valores desde el 10 Si no se dispone de filtro anti-ali-
integrado temporizador NE 555. kHz a 20 kHz. neamiento en la entrada de la tar-
• La tarjeta de sonido debe estar A la salida del mismo disponemos Existen dos redes RC a la salida del jeta de sonido, el espectro de la
equipada con un filtro de en- de una señal de 15 kHz, rica en circuito de prueba, un filtro paso/ señal mostrará un gran número
trada anti-alineamiento. armónicos de frecuencias eleva- alto y un filtro paso/bajo, que ac- de líneas adicionales (Figura 3):
das. Usando este circuito podemos túan como sencillos desplazadores es fácil calcular qué armónicos se
• La velocidad de muestreo debe determinar si nuestra tarjeta de so- de fase. A la frecuencia básica de corresponden con las frecuencias
ser al menos de 48 kHz y la nido puede procesar los armónicos 15 kHz, estos filtros proporcionan asociadas. El resultado obtenido
tarjeta de sonido debe ser ca- a 30 kHz, 45 kHz y valores supe- una diferencia total de fases de 90 utilizando un receptor I-Q era ho-
paz de trabajar con señales riores. Un filtro anti-alineamiento en grados, lo que corresponde exac- rroroso: las frecuencias más allá
de hasta 24 kHz. la entrada de la tarjeta de sonido tamente a la situación típica en la de los 100 kHz habían sido des-
debería atenuar todas las señales salida de un circuito receptor SDR plazadas al rango audible, con
Muchos ordenadores tan sólo lo que producían sonidos de silbi-
tienen una entrada de micró- dos, de “siseo” y de burbujeo.
fono mono y, a veces, también En teoría, sería posible añadir un
está limitada en su ancho de filtro anti-alineamiento a la salida
banda. En estos casos es posi- del receptor para permitir traba-
ble utilizar una tarjeta de sonido jar con tarjetas de sonido que no
externa USB. estén equipadas con este tipo de
La mayoría de los ordenadores filtros. Sin embargo, en la prác-
de hoy día disponen de una tar- tica no es fácil alcanzar la pen-
jeta de sonido interna integra- diente de la frecuencia de corte
da, aunque algunas de ellas no requerida y la simetría entre los
tienen la característica del filtro dos canales. Una tarjeta de so-
anti-alineamiento. En ocasiones, Figura 2. ¡Prueba superada! nido típica tiene un filtro paso/
los intentos para inhabilitar la tar- bajo seleccionado a 24 kHz el
jeta de sonido integrada y susti- cual, a 27 kHz, ya realiza una
tuirla con una mejor, se traducen atenuación de la señal en unos
en un fallo del funcionamiento de 60 dB. Esto solamente es práctico
la misma. De nuevo, una tarjeta si utilizamos filtros digitales. Un
de sonido externa USB es una circuito ajustable analógico que
posible solución. tuviese estas prestaciones sería
tan complejo que los beneficios y
El circuito de comprobación sencillez de utilizar la tecnología
Para evitar conjeturas, la mejor SDR en el receptor se evapora-
manera de proceder es la de rían rápidamente.
comprobar la tarjeta de sonido
utilizando este pequeño circuito. Figura 3. Una tarjetas de sonido sin un filtro anti-alineamiento. (070158-I)

08/2007 - elektor, la electrónica que sorprende 145


INFORMÁTICA Y MERCADO PRÓXIMO NÚMERO

En Agosto Especial
Semiconductores www.elektor-electronics.c

nica
o.uk JULY/AUGUST 2007
£ 5.65

platform voor elektro

¡No te aburras en la playa! DOUBLE SUMMER


ISSUE

Como cada año, se acerca el éxodo veraniego; las ciudades se


vacían y se llenan las playas y los pueblos. El mes de agosto ha
sido siempre el mes de vacaciones preferido de la mayoría de los
españoles. Por eso, la revista Elektor también se va a la playa a
descansar y no estará en tu punto de venta habitual en agosto.

Somos conscientes de que la arena, el chiringuito y la siesta


pueden llegar a saturar las mentes inquietas de nuestros lectores, Sensors
Actuators
que necesitan algo más para llenar sus ratos de ocio. Para ayu-
Microcontrollers
daros en esa difícil labor hemos preparado un número especial Power Supplies & Charg
ers
monográfico dedicado a los semiconductores, repleto Mechanics
de diseños, ideas e información muy interesante, que estará Communications
R34

en vuestro punto de venta en agosto. Tips & Tricks


Miscellaneous

RECUERDA QUE ESPERAMOS tus ideas,


diseños o comentarios, que serán
publicados en “Cartas de los lectores”
“Como os hemos comentado en las últimas ediciones de Elektor, en esta nueva etapa queremos hacer una revista
más próxima a sus lectores, dejando más espacios abiertos en los que podáis comunicaros con nosotros. Así, en estas
páginas publicaremos vuestras dudas e intentaremos responderlas de la forma mas clara y concisa posible. Si quieres
hacernos alguna pregunta, o tienes alguna duda que resolver sobre los artículos que hemos publicado, envíanos un
correo electrónico a la dirección redaccion@elektor.es. Te contestaremos y lo publicaremos en estas páginas.”

w.elektor.es www.elektor.es www.elektor.es www.elektor.es www.elektor.es www.elektor.es


www.elektor.es
En los próximos meses, nos iremos sumando a las
ventajas de la globalización y abriremos nuevos
caminos para la divulgación de nuestros contenidos,
con más agilidad y ventajas para nuestros lectores.
Para que vayas comprobando estos cambios, te
recomendamos que visites cada mes nuestra nueva
página web…

Ahora disponible en www.elektor.es:


• 10 Artículos más solicitados internacionalmente
• 10 Artículos seleccionados por el editor
• Suscripción al boletín electrónico E-BLOCK
• Solicitud kit fresadora “PROFILER”

146 08/2007 - elektor, la electrónica que sorprende


SERVICIO DE LECTORES INFORMACIÓN Y ENTRETENIMIENTO

Código Precio
(E)

JULIO/AGOSTO 2007 E326 JULIO / AGOSTO 2007


Analizador de espectro WiFi 2.4 GHz
- PCB solo 070040-1 16,34
- CD-ROM, software para Linux y Windows 070040-11 10,28
SUSCRIPCIONES A LA REVISTA Y EJEMPLARES ATRASADOS Clínica para bobinas
- PCB solo 060195 –1 15,02
Las suscripciones o pedido de números atrasados (hasta el nº 320), si se encuentran disponibles, se realizarán a - CD-ROM, software de proyecto 060195 –11 10,28
Grupo V, C/ Valportillo Primera 11 - 28108 Alcobendas (Madrid). Telf: 91 662 21 37, Fax: 91 662 26 54. - Atmega48-20PU, programado 060195 –41 10,28
E-mail: boutique@editorialv.es. Los precios de ejemplares atrasados son de 4,50 E más gastos de envio.
Osciloscopio Linux
Las suscripciones o pedido de números atrasados (a partir del nº 321), si se encuentran disponibles, se realizarán a - CD-ROM, software de proyecto 060241-11 10,28
ELEKTOR España, C/ Salvador Olivella, 17-Local 79 A - 08870 Sitges (Barcelona). Telf/Fax: 93 811 05 51. - Listado de programa 060241-W Descarga gratis
E-mail: info@elektor.es. Los precios de ejemplares atrasados son de 4,50 E más gastos de envio.
Analizador OBD2
- Kit de componentes, incluyendo caja, cable, 070038-72 102,81
CONSULTORIO TECNICO carátula para panel frontal y materiales de montaje
- Ejemplos de simulación on-line 070038-21 Descarga gratis
Existe un Consultorio Técnico gratuito a disposición de todos los lectores, que se responde en la sección “Cartas de - Manual 070038-W1 Descarga gratis
los Lectores”, donde se publican las consultas y respuestas mensualmente. Las consultas deben enviarse a la direc- Silbando desde lo alto
ción de e-mail: redaccion@elektor.es. Elektor se reserva el derecho de reducir el contenido de las consultas a
publicar por posibles problemas de espacio en la sección.
- Conjunto de PCB para TX y RX, solos 060044-1 23,99
- CD-ROM, software de proyecto 060044-11 10,28
- Attiny15PC, programado 060044-41 19,77

ADELTRONIK Radio Definida por Software


- Placa montada y testeada
- CD-ROM software de proyecto
070039-91
070039-11
133,40
10,28
CONDICIONES GENERALES USB FliteSim
- PCB solo 060378-1 web*
- PIC18F2550I/SP, programado 060378-41 30,84
Los circuitos impresos, carátulas autoadhesivas, ROMs, PALs, GALs, microcontroladores y disquetes que aparecen en
las páginas de ELEKTOR se encuentran a disposición de los lectores que lo requieran. Para solicitarlos es necesario Adaptador Universal JTAG
utilizar el cupón de pedido que se encuentra en las páginas anexas. - PCB solo con microcontrolador programado 060287-1 21,88
Este mismo cupón también puede utilizarse para efectuar pedidos de los libros de la colección de ELEKTOR (en - EP900LC solo, programado P&P
versión original inglesa).
- Los items marcados con un asterisco (*) tienen una vigencia limitada y su disponibilidad solo puede garantizarse Magnetómetro
durante un cierto periodo de tiempo. - PCB solo 050276-1 web*
- Los items que no se encuentran en esta lista no están disponibles.
Speedmaster
- Los diseños de circuitos impresos se encuentran en las páginas centrales de la Revista. En ocasiones y por limita-
ción de espacio no se garantiza la publicación de todos los circuitos. En estos casos los lectores interesados pueden - Placa montada y testeada 070021-91 102,76
solicitar los diseños, utilizando el mismo cupón de pedido y les serán enviados a su domicilio contra reembolso de 3 E Sismógrafo
(incluidos gastos de envio). - PCB solo 060307-1 web*
- Los EPROMs, GALs, PALs, (E)PLDs, PICs y otros microcontroladores se suministrarán ya programados.
Los precios y las descripciones de los diferentes productos estan sujetos a cambios. La editorial se reserva el derecho - CD-ROM software de proyecto 060307-11 10,28
de modificar los precios sin necesidad de notificación previa. Los precios y las descripciones incluidas en la presente - Attiny45, programado 060307-41 20,56
edición anulan los publicados en los anteriores números de la Revista.
E325 JUNIO 2007
Ataque de la araña
FORMA DE ENVIO Cargador/comprobador de baterías
- PCB, sin componentes, placa principal 050073-1 20,74
Los pedidos serán enviados por correo a la dirección indicada en el cupón de las páginas anexas. Además los lectores - PCB, sin componentes, placa display 050073-2 20,74
pueden formular pedidos por teléfono llamando al número 91 327 37 97 de lunes a viernes en horario de 9,30 a 14 h y - CD-ROM, software del proyecto 050073-11 11,00
de 16 a 19 h. Fuera de este horario existe un contestador telefónico preparado para recoger las demandas. Los gastos de
envio serán abonados por el comprador, tal como se indica en el cupón. - ST7FMC2S4, programado 050073-41 34,00
Medidor de Fuerza “g” con LEDs
- PCB set, incl. 2 sensores MMA7260, cables BDM 060297-71 20,00
FORMA DE PAGO - CD-ROM, software del proyecto 060297-11 11,00
Programador para Freescale 68HC(9)08
Todos los pedidos deberán venir acompañados por el pago, que incluirá los gastos de envio, tal como se indicó anteriormente. - PCB, sin componentes 060263-1 web*
El pago puede realizarse mediante cheque conformado de cualquier banco residente en territorio español, giro postal anticipado,
tarjeta VISA (en este caso debe indicarse la fecha de caducidad, domicilio del propietario de la tarjeta y firma del mismo). Sencillo inversor de tensión
Nunca se deberá enviar dinero en metálico con el pedido. Los cheques y los giros postales deben ser nominativos a - PCB, sin componentes 060171-1 web*
la orden de VIDELEC S.L.
Reloj muy sencillo
- PCB, sin componentes 060350-1 web*
COMPONENTES UTILIZADOS EN LOS PROYECTOS E-blocks Cuadrado seguidor de luz
- PCB, sin componentes 075032-1 web*
Todos los componentes utilizados en los proyectos ofrecidos en las páginas de la Revista se encuentran general-
mente disponibles en cualquier establecimiento especializado o a través de los anunciantes de este ejemplar. Si
E324 MAYO 2007
existiera alguna dificultad especial con la obtención de alguna de las partes, se indicará la fuente de suministro en Ataque de la araña
el mismo artículo. Lógicamente los proveedores indicados no son exclusivos y cualquier lector podrá optar por su - Kit de presentación 060296-91 13,30
suministrador habitual.
AVR que soporta USB
- PCB, sin componentes 060276-1 web*
CONDICIONES GENERALES DE VENTA - CDROM, software del proyecto incluyendo código fuente 060276-11 10,50
- ATmega32-16PC, programado 060276-41 18,00
Plazo de entrega: El plazo normal será de 2-3 semanas desde la recepción del pedido. No obstante no podemos USB inalámbrico en miniatura
garantizar el cumplimiento de este periodo para la totalidad de los pedidos. - PCB, sin componentes, placa de prototipos iDwarf 050402-1 16,50
Devoluciones: Aquellos envios que se encuentren defectuosos o con la falta de alguno de los componentes podrán - iDwarf-168 módulo transmisor (montado y probado) 050402-91 48,00
ser devueltos para su reposición, solicitando previamente nuestro consentimiento mediante llamada telefónica al
número (91) 3273797 en horario de oficina. En este caso la persona que llame recibirá un número de devolución que
- Placa Nodo iDwarf (montado y probado) 050402-92 34,50
deberá hacer constar al devolver el material en un lugar bien visible. En este caso correrá por nuestra cuenta el gasto - Placa Hub iDwarf (montado y probado) 050402-93 34,50
de envio de la devolución, debiéndolo hacer así constar el remitente en su oficina postal. A continuación se le enviará LCD de teléfono móvil para PC
nuevamente el pedido solicitado sin ningún gasto para el solicitante.
- PCB, sin componentes 060184-1 web*
En cualquiera de los casos anteriores, solo se admitirán las devoluciones en un plazo de tiempo de 14 días contados a
partir de la fecha de envio del pedido. - CDROM, software del proyecto 060184-11 10,50
Patentes: Algunos de los circuitos o proyectos publicados pueden estar protegidos mediante patente, tanto en la - ATmega16-16PC, programado 060184-41 18,00
Revista como en los libros técnicos. La editorial no aceptará ninguna responsabilidad derivada de la utilización inade- Antical para tuberías
cuada de tales proyectos o circuitos para fines distintos de los meramente personales.
Copyright: Todos los dibujos, fotografias, artículos, circuitos impresos, circuitos integrados programados, disquetes - PCB, sin componentes 070001-1 web*
y cualquier otro tipo de software publicados en libros y revistas están protegidos por un Copyright y no pueden ser
reproducidos o transmitidos, en parte o en su totalidad, en ninguna forma ni por ningún medio, incluyendo fotocopiado
E323 ABRIL 2007
o grabación de datos, sin el permiso previo por escrito de la Editorial. Una forma de dar la hora:
No obstante, los diseños de circuitos impresos si pueden ser utilizados para uso personal y privado, sin necesidad de - CPLD, programado 050311-31 70,60
obtener un permiso previo.
Limitación de responsabilidad: Todos los materiales suministrados a los lectores cumplen la Normativa Internacional en
Curso FPGA (9):
cuanto a seguridad de componentes electrónicos y deberán ser utilizados y manipulados según las reglas universalmente - CD-Rom software del curso incluyendo código fuente 060025-9-11 10,30
aceptadas para este tipo de productos. Por tanto ni la editorial, ni la empresa suministradora de los materiales a los lec- Explorer 16 Paquete de evaluación:
tores se hacen responsables de ningún daño producido pos la inadecuada manipulación de los materiales enviados. - Pack de cuatro componentes juntos en una única entrega 060280-91 245,00

(*) www.thepcbshop.com

08/2007 - elektor, la electrónica que sorprende 147


INFORMACIÓN Y ENTRETENIMIENTO SERVICIO DE LECTORES

Código Precio Código Precio


(E) (E)

E322 MARZO 2007 E312 MAYO 2006


Un reloj muy simple: Placa de Aplicación para el R8C/13:
- CD-Rom software del proyecto incluyendo código fuente 060350-11 10,30 - Placa montada 050179-92 96,00
- PIC16F628-20 programado 060350-41 11,00 - PCB 050179-1 27,35
Curso FPGA (8): - LCD con contraluz 030451-72 14,39
- CD-Rom software del curso incluyendo código fuente 060025-8-1 10,30 - Poly-LED display 030451-73 51,00
Placa de Prototipos para FPGA:
E321 FEBRERO 2007
- Placa montada 050370-91 S/P
Receptor de onda corta:
Módulo FPGA Versátil:
- AT90S8515-8PC programado 030417-41 22,62
- Módulo montado plug-on 040477-91
E320 ENERO 2007 • Suscriptores 342,00
Lápiz USB con ARM y RS 2332: • No suscriptores 353,00
- PCB 060006-1 28,00
E311 ABRIL 2006
- AT91SAM7S64, programado 060006-41 56,00
Controlador de Motor sin Escobillas:
- Tarjeta montada y probada 060006-91 159,00
- ST7MC1, programado 050157-41 7,54
- CD-ROM con software del proyecto 060006-81 11,00
Un Tom Thumb de 16 Bits:
E319 DICIEMBRE 2006 - R8C Starter Kit 050179-91 16,45
Depurador/Programador para PICs en el Circuito:
- PCB 050348-1 10,50
E310 MARZO 2006
- PIC16F877, programado 050348-41 36,00 Adaptador de Fuente de Alimentación
- Kit, incl. PCB, controlador, todas las piezas 050348-71 68,50 para Portátil de 95 Vatios:
- PCB 050029-1 59,00
GBECG-GameBoy como electrocardiógrafo:
- PCB, ensamblado y probado 050280-91 110,00 Controlador Automático para Ventana de Ático:
- Disco, PIC código fuente y hex 050139-11 10,28
ECG usando una tarjeta de sonido:
- PIC16F84A-20I/P, programado 050139-41 26,00
- PCB 040479-1 11,00
- Módulo LCD 2x16 caracteres 030451-72 14,00
- CD-ROM, software del proyecto 040479-81 10,00
- Módulo PLED 2x16 caracteres 030451-73 51,00
E318 NOVIEMBRE 2006 Horno de Soldadura de Ola para SMD:
Lector RFID de ELEKTOR: - Disco, PIC código fuente y hex 050319-11 10,28
- PCB, ensamblada y probada, con cable USB 060132-91 82,20 - AT89C52/24JI, programado 050319-41 15,00
- LCD con retroiluminación 030451-72 14,50 - Módulo LCD 2x16 caracteres 030451-72 14,39
- Caja 060132-71 18,00 - Módulo PLED 2x16 caracteres 030451-73 51,00
- Software del proyecto 060132-81 10,50 Conmutador Temporizado para Lavadora:
Lector experimental RFID: - PCB 050058-1 18,00
- Disk, all project software 060221-11 10,50 - Disco, PIC código fuente y hex 050058-11 12,44
- ATmega16, programado 060221-41 18,00 - PIC16F84, programado 050058-41 26,00
Monitor DiSEqC: E309 FEBRERO 2006
- Disk, PIC código fuente y código Hex 040398-11 10,50
De la “A” a la “D” por medio del USB:
- PIC16F628A-20/P, programado 040398-41 11,00
- PCB 050222-1 16,00
Conversor USB/DMX512: - IOW24-P, programado 050222-41 19,00
- Disk, software del proyecto 060012-11 10,50
Supervisor de teléfono:
- PIC16C745, programado 060012-41 14,00
- PIC16F628-20/P, programado 050039-41 17,00
E317 OCTUBRE 2006 - CD-ROM, PIC hex & source codes, LCM First Server 050039-81 14,00
Temporizador de Cepillo de Dientes: E308 ENERO 2006
- Disco, software de proyecto 050146-11 10,50
Control remoto por teléfono móvil:
- AT90S2313-10PC, programado 050146-41 14,00
- PCB 040415-1 13,00
Sencillo Control Remoto Casero: - Disco, PIC código fuente y hex 040415-11 11,00
- Disco, software de proyecto 050233-11 10,50
- PIC16F84A-20/P, programado 040415-41 21,00
- PIC16F84, programado 050233-41 20,50
Termómetro de un Hilo con Pantalla LCD: E307 DICIEMBRE 2005
- Disco, software de proyecto 060090-11 10,50 27C512 Emulador:
- PIC16F84A-04CP, programado 060090-41 21,00 - Disco, software de proyecto 030444-11 11,00
- EPM7064SLC84-15, programado 030444-31 55,00
E316 SEPTIEMBRE 2006 - AT90S8515-4PC, programado 030444-41 30,00
Comprobador/Experimentador para Servo RC: Pequeño Coloso:
- Disco, software de proyecto 040172-11 11,00
- Disco, código fuente del PIC 040267-11 11,00
- PIC16F84 (A), programado 040172-41 21,00
- PIC12F675-C/P, programado 040267-41 6,00
- Kit, Incl. PCB, controlador, all parts 040172-71 20,50
Cerradura Flash para PCs:
E315 AGOSTO 2006 - PIC16F628A-I/SO, programado 050107-41 10,00
Transmisor de prueba FM estéreo: - CD-ROM, software de proyecto 050107-81 14,00
- PCB 050268-1 24,00
E306 NOVIEMBRE 2005
Comprobador de Cable de Red: Medidor ESR/C:
- PCB 050302-1 17,00
- PCB, desnudo 040259-1 25,00
- Disco, PIC código fuente 050302-11 11,00
- Disco, PIC código fuente y hex 040259-11 11,00
- PIC16F874-20/P 050302-41 34,00
- PIC16F877-20/P, programado 040259-41 31,00
E314 JULIO 2006 - PIC16F84A-20/P, programado 040259-42 21,00
Analizador OBD-2 en placa: - Kits de piezas sin caja 040259-71 127,00
- LCD, 4x20 caracteres con luz de contraste 050176-73 S/P - Caja 040259-72 14,00
- Kit de partes, incl. 050176-1, 050176-2, 050176-42, todos los componentes, excl. LCD 050176-72 S/P Medidor de distancia manual:
- Caja, Bopla Unimas 160 050176-74 S/P - ATmega8-16PC, programado 040015-41 31,00
- ATmega16, programado 050176-42 21,00 - Disco, software de proyecto 040015-11 11,00
- Kit de elementos, sin cable 050092-71 103,00
E305 OCTUBRE 2005
- DB9 a OBD cable adaptador 050092-72 55,00
Adaptador MP3 para TV:
Mini Placa ATMega: - PCB, desnudo 054035-1 8,00
- PCB, incluye adaptador PCB 0500176-2 050176-1 18,00
- Disco, software de proyecto 054035-11 10,50
E313 JUNIO 2006 - EPM7064SLC44-10, programado 054035-31 55,00
Simple Caracterizador de Pilas AA Recargable: Cerradura codificada con un botón:
- PCB, bare 050394-1 10,00 - Disco, código fuente y hex 040481-11 10,50
- Disco, software de proyecto 050394-11 11,00 - PIC16F84, programado 040481-41 14,00
Caja SPI Universal: Contador de Frecuencia de 1 MHz:
- AT89C2051-24PC, programado 050198-41 15,00 - Disco, software de proyecto 030045-11 10,50
- AT90S2313-10PI, programado 030045-41 11,00

148 08/2007 - elektor, la electrónica que sorprende


FORMULARIO DE SUSCRIPCIÓN Y FORMA DE PAGO
Recorta y envía este cupón o copia del mismo debidamente relleno a:
Transferencia bancaria a Elektor International Media Spain, S.L.
Elektor International Media Spain, S.L. CIF: B64401961
(Número de cuenta LA CAIXA: 2100 1135 64 0200152440)
C/ Salavador Olivella, 17 – Local 79 A - 08870 Sitges (Barcelona)
(Importante: poner nombre, apellidos y provincia del remitente)
(Oferta válida únicamente en españa)
Sí, deseo suscribirme a la revista ELEKTOR, por el período de un año.
La suscripción incluye 11 ejemplares (incluido el DOBLE de Julio/Agosto)
Domiciliación Bancaria: NIF:
11 ejemplares + CD al precio de 66,00E 11 ejemplares al precio de 56,00E

NOMBRE
ENTIDAD AGENCIA DC CUENTA
APELLIDOS
Con tarjeta de crédito: Mes Año
DOMICILIO
VISA 4B/Mastercard Caducidad Tarjeta:
POBLACIÓN

PROVINCIA CÓDIGO POSTAL Número de tarjeta:

TELÉFONO
Firma (misma que en la tarjeta):
E-MAIL
El interesado tiene derecho a conocer, rectificar, cancelar u oponerse al tratamiento de la información que le concierne, y autoriza a que pase a formar parte del fichero de Elektor International Media Spain, S.L. ante el cual podrá ejecu-
tar sus derechos, y a que sea utilizada para mantener la relación comercial y recibir información y publicidad de productos y servicios de nuestra empresa y de otras relacionadas con los sectores de telecomunicaciones, financiero, ocio, forma-
ción, gran consumo, automoción, energía, agua, ONGs. Si no desea ser informado de nuestros productos o servicios, o de los de terceros, señale con una X esta casilla . (Ley orgánica 15/1999 de 13 de Diciembre de Protección de datos).

SUSCRÍBETE TELEFÓNICAMENTE LLAMANDO AL: 93 811 05 51


O SUSCRÍBETE ON-LINE EN LA DIRECCIÓN: info@elektor.es
INFORMACIÓN Y ENTRETENIMIENTO SERVICIO DE LECTORES



CUPON DE PEDIDO
Por favor envíen este pedido a: Nombre
ADELTRONIK
Domicilio
Apartado de Correos 35128
28080 Madrid
ESPAÑA
Tel. 91 327 37 97
C.P.
Tel. Fax Fecha

Por favor envíenme los siguientes materiales. Para circuitos impresos, carátulas, EPROMs, PALs, GALs, micro-
controladores y disquetes indique el número de código y la descripción.

Cant. Código Descripción Precio/unid. Total


IVA incl. E

Los precios y las descripciones están sujetas a


Sub-total
Forma de pago (vea la página contigua para más detalles) cambio. La editorial se reserva el derecho de cambiar
Nota: Los cheques serán en euros y conformados por una entidad bancaria. los precios sin notificación previa. Los precios y
las descripciones aquí indicadas anulan las de los Gastos envio 3
RCheque (nominativo a VIDELKIT, S.L.) anteriores números de la revista.
Total
R Giro postal. Cuenta Postal (BBVA)
Nº 0182-4919-74-0202708815

R Fecha de caducidad: Firma:

Número de tarjeta:

Código Precio Código Precio


(E) (E)
E304 SEPTIEMBRE 2005
Placas de experimentación DIL/SOIC/TSSOP: E300 MAYO 2005
- PCB, for 20-pines DIL IC 040289-1 14,00
Amplificador de Potencia de Altas Prestaciones:
- PCB, for 20-pines SOIC IC 040289-2 14,00
- PCB Amplificador 040198-1 16,13
- PCB, for 20-pines TSSOP IC 040289-3 14,00
- PCB Indicador 040198-2 13,29
Diagnóstico Del Vehículo Mediante OBD-2:
- PCB Alimentación 040198-3 13,29
- PCB, desnudo 050092-1 18,00
- PCB Fusible 040198-4 7,49
E303 AGOSTO 2005 Comprobador de Amplificador Operacional:
Comprobador de Contaminación Eléctrica: - PCB 030386-1 11,00
- PCB, solo 050008-1 10,50 Medidor de Temperatura Remoto para Placa PIC18F:
- PCB, montado y comprobado 050008-91
- Disco, software de proyecto 040441-11 10,28
Práctico Receptor GPS sobre USB:
Oscilador de Cristal Programable Vía Serie, Compacto y Universal:
- PCB, solo 040264-1 9,00
- Disco, software de proyecto 040351-11 10,28
- Kit de componentes 040264-71 124,00
Registrador de temperatura manual E299 ABRIL 2005
- PCB, solo 030447-1 8,00 Detector RFID a 13,56 MHz:
- Disco, software de proyecto 030447-11 10,50 - PCB 040299-1 19,00
- PIC16F676, programado 030447-41 11,00 Bicho Caminador:
- Kit de componentes 030447-71 51,50
- Disco, código fuente y hex 040071-11 11,38
Sintonización de la Contaminación Electromagnética: - AT90S2313-10PI, programado 040071-41 17,29
- PCB 040424-1-1 7,00
E298 MARZO 2005
E302 JULIO 2005
Placa de desarrollo para PIC18Flash:
Programador para Control DCC para Modelismo Ferroviario:
- PCB 040010-1 20,35
- PCB 040422-1 38,00
- Disco, utilidades del software 040010-11 9,12
- Disco, código fuente y Hex ATMega 040422-11 10,30
- ATMega8515-8PI, programado 040422-41 33,00 E297 FEBRERO 2005
Medidor de Densidad de Flujo Magnético BUS casero-I2C:
- Disco, código fuente del PIC 040258-11 10,30 - PCB 040033-1 22,56
- PIC16F876-20/SP, programado 040258-41 33,00 - Disco, código fuente y hex 040033-11 9,12
E301 JUNIO 2005 E296 ENERO 2005
Sistema de Desarrollo LPC210x “ARMee”: Filtros de Supresión de Ruido:
- Placa procesador montada y comprobada 040444-91 40,00
- PCB 030217-3 25,00
Analizador SC 2005:
- PCB 030451-1 11,00 E295 DICIEMBRE 2004
- Disco, software de proyecto 030451-11 10,50 Amplificador de Clase T de 2 x 300 W ClariTy:
- PIC16F876-20/SP, programado 030451-41 27,50 - PCB 030217-2 30,00

150 08/2007 - elektor, la electrónica que sorprende

También podría gustarte