Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Programa en VHDL para Pasar de Binario A Display 7 Segmentos
Programa en VHDL para Pasar de Binario A Display 7 Segmentos
Código en VHDL
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity bin2seg is
Port ( SEG : out STD_LOGIC_vector (7 downto 0 );
AN : out STD_LOGIC_vector (3 downto 0 );
BIN: in std_logic_vector(3 downto 0));
end bin2seg;
AN<="1110";
end Behavioral;
Código UCF