Está en la página 1de 1

Librera para sensor ultrasonido HC-SR04

Librera para controlar un sensor ultrasnico HC-SR04 en lenguaje VHDL. El cdigo esta
diseado para que el sensor mida la distancia cada medio segundo, la cual el usuario deber agregar
los puertos necesarios para se puede visualizar en los LED's de la tarjeta o utilizar la librera LCD de
INTESC para visualizarlo en una LCD 16x2.

>CLK (Entrada 1 bit):


Reloj de la tarjeta a 50MHz.
>ECO(Entrada 1 bit)
Pin de entrada que se conecta al ECHO del sensor.
>DISTANCIA_CM(Salida Entero)
Puerto de salida de tipo entero cuyo rango va de 0 a 99 que contiene la distancia medida por el
sensor en centmetros.
>TRIGGER(Salida 1 bit)
Pin de salida que va conectado al TRIGGER del sensor

También podría gustarte