Está en la página 1de 11

INTRODUCCION

Un convertidor es un dispositivo que recibe informacin en determinada manera de un


instrumento y transmite una seal de salida en otra forma. Adems es tambin conocido
como transductor, aunque transductor es un trmino general, y su uso para conversin de
seales no es recomendado.
Los convertidores digitales-analgicos (CDA) ofrecen una salida analgica a partir de una
seal digital de entrada, ste dispositivo es no lineal. Las caractersticas bsicas que
definen el CDA son, su resolucin, la posibilidad de conversin unipolar o bipolar, el cdigo
utilizado en la informacin de entrada (cdigo binario natural o BCD), el tiempo de
conversin y otras como: tensin de frecuencia, tensin de salida, tensin de alimentacin,
el margen de temperatura y su tecnologa interna.
Un convertidor anlogo a digital es un circuito que tiene una lnea de entrada anloga y n
lneas de salida digitales. Genera el cdigo binario que es proporcional a la entrada de
voltaje anloga. Todos los ADCs requieren al menos un comparador anlogo, un elemento
que acepte dos entradas anlogas de voltaje y produzca una salida digital. Diferentes tipos
de convertidores anlogos a digital han sido desarrollados a travs del tiempo. Los ms
populares son los de rampa o escalera y el de aproximaciones sucesivas.
CONVERTIDORES DIGITAL-ANALGICO

La conversin digital-analgica (D/A) es el proceso de tomar un valor representando en


cdigo digital (como binario directo o BCD) y convertirlo en un voltaje o corriente que sea
proporcional al valor digital.

La transformacin se realiza, haciendo corresponder a cada una de las 2n posibles palabras


de entrada (nmero de combinaciones con n bits), una seal nica (tensin o corriente)
mediante la actuacin de una seal de referencia, que generalmente suele consistir en una
tensin Vref. De esta forma a la salida del convertidor se obtiene una seal de valores
discretos y no una seal de variacin continua

El esquema general para un convertidor D/A se representa en la figura siguiente:

El primer bloque, denominado registro, almacena la informacin durante el tiempo


necesario para la conversin, quedando libres las lneas de comunicacin.

El segundo bloque los constituyen los denominados conmutadores electrnicos, cuya


misin consiste en conectar una resistencia a la tensin de referencia, o bien, derivarla a
masa.

La implementacin de estos conmutadores suele realizarse con transistores


complementarios, tanto en tecnologa bipolar como MOSFET.

Por ltimo la presencia del amplificador operacional, a la salida del diagrama por bloques
del convertidor D/A es prcticamente comn en todos ellos.
Tipos de convertidores D/A

Convertidor D/A con resistencias ponderadas

Este tipo de convertidor, responde al esquema de la figura siguiente. La red de resistencias


est formada por un conjunto de valores que se obtienen a partir de una de ellas, R,
dividindolas sucesivamente por potencias crecientes de 2. Todas las resistencias se
conectan a la entrada de un amplificador operacional, conectado en modo sumador.

La exactitud de este sencillo convertidor, depende de la precisin de las resistencias, siendo


adems necesario que el valor de dichas resistencias no vare con la temperatura. Un grave
problema de este convertidor es tener que disponer de un gran nmero de resistencias de
mucha precisin, que adems debern tener valores bastantes elevados.

Tipo escalera

El conversor tipo escalera presenta un problema en la exactitud de las resistencias entre el


MSB y el LSB. Para esto se recurre a la red escalera R-2R.

Esta red permite la reduccin del rango de valores de la resistencia.


Convertidor D/A con Red R-2R en Escalera

El principio de este conversor consiste en dividir en dos la corriente que hay en cada nudo.
Por ejemplo, si nos fijamos en el nudo A de la figura, la mitad de la corriente que fluye a la
derecha del nudo es aportada a travs de la resistencia de valor 2R correspondiente al MSB
y la otra mitad es aportada a travs de la resistencia de valor R, por la que circula la corriente
asociada a los bits de un peso menor al MSB.

La red est construida de tal forma que el efecto de la puesta a "1" de una lnea de entrada
provoca, en la entrada del amplificador operacional, una intensidad de corriente
proporcional al peso del bit.

Ventajas

Solamente emplea resistencias de dos valores diferentes (R y 2R), por lo que


resuelve el problema que se plante anteriormente en cuanto a la gran disparidad
de los valores resistivos.
Mediante los conversores R-2R necesitamos unos valores resistivos que son fciles
de obtener, la variacin de las resistencias con la temperatura ser similar en todas
ellas y se pueden emplear valores pequeos cuando sea necesario implementar
conversores de alta velocidad.

Desventajas

La desventaja que poseen reside en que necesitamos el doble de resistencias que


en el caso del conversor de resistencias ponderadas. Adems la corriente que
inyecta el bit menos significativo, tiene un retardo de propagacin superior a la
inyectada por el MSB, lo cual puede provocar un mayor tiempo de conversin.
CONVERTIDORES ANALGICO-DIGITAL

El convertidor analgico-digital o A/D es un dispositivo electrnico capaz de convertir una


seal analgica, ya sea de tensin o corriente, en una seal digital, estableciendo una
relacin biunvoca con la ayuda de tensiones de referencia, entre el valor de la seal en su
entrada y la palabra digital obtenida en su salida, donde cada valor numrico binario hace
corresponder a un solo valor de tensin o corriente.

La digitalizacin o conversin analgica-digital consiste bsicamente en realizar de forma


peridica medidas de la amplitud de la seal (Muestreo) y traducirlas a un lenguaje
numrico (Cuantificacin y Codificacin).

El muestreo consiste en tomar muestras peridicas de la amplitud de onda. La velocidad


con que se toma esta muestra, es decir, el nmero de muestras por segundo, es lo que se
conoce como frecuencia de muestreo. La teora propuesta por Nyquist establece que una
seal de entrada variante con el tiempo puede reproducirse con fidelidad si la frecuencia de
muestreo es por lo menos dos veces tan alta como la mayor componente de la frecuencia
de la seal.

La cuantificacin es el proceso donde se mide el nivel de voltaje de cada una de las


muestras. Consiste en asignar un margen de valor de una seal analizada a un nico nivel
de salida.

La Codificacin la codificacin consiste en traducir los valores obtenidos durante la


cuantificacin al cdigo binario. Hay que tener presente que el cdigo binario es el ms
utilizado, pero tambin existen otros tipos de cdigos que tambin son utilizados.

Durante el muestreo y la retencin, la seal an es analgica, puesto que an puede tomar


cualquier valor. No obstante, a partir de la cuantificacin, cuando la seal ya toma valores
finitos, la seal ya es digital.
Tipos de convertidores A/D

Los convertidores A/D se pueden clasificar en los siguientes tipos:

Realimentados: Escaleta, seguimiento, aproximaciones sucesivas.

Integradores: Simple Rampla, Doble Rampla, Tensin Frecuencia.

Paralelo o flash.

Doble rampla
Este tipo de conversor se basa en el proceso de carga y descarga del condensador para
realizar la conversin Analgica-Digital de las seales. Durante un determinado tiempo el
conversor muestrea la seal de entrada y despus conmuta con la seal realimentada. El
condensador se carga con el valor de la seal de entrada y se produce la descarga hasta
llegar a 0 V, mediante un comparador a 0 se cambia el signo de la seal realimentada, y se
produce la oscilacin de la seal realimentada. El nmero de pulsos que se produce
corresponde con el valor de la conversin. En la figura se muestra el diagrama de un
convertidor de doble rampa.

Aproximaciones sucesivas
Una de las caractersticas que diferencia a este convertidor de los dems es que puede
hacer conversiones en lapsos de tiempos cortos. Como todo convertidor A/D su operacin
bsica se basa en n comparaciones sucesivas de seal analgicas con el voltaje de
realimentacin
En la parte 2 donde est el SAR se conecta la secuencia de nmeros digitales, un nmero
por cada bit a las entradas del convertidor digital anlogo.
En la parte 3 el CDA transforma cada nmero digital en una salida analgica Vo.
En la parte 4 el voltaje analgico de entrada se compara con Vo. El comparador le dice a
SAR cuando el voltaje de entrada es mayor o menor que la salida del convertidor digital
analgico, Vo. Para cada bit la salida de 3 bits debe efectuarse tres comparaciones, Estas
comparaciones se hacen comenzando con el bit ms significativo y terminan con el menos
significativo. Al terminar la comparacin el registro de aproximaciones sucesivas enva la
seal que finalizo en la conversin.

Convertidor A/D Paralelo

Es el ms rpido de los conversores A/D pero es tambin el ms caro, dado que necesita
de 2N-1 comparadores para un conversor de N bits. Estos convertidores suelen
denominarse de tipo flash, por la velocidad que suelen alcanzar, hasta cientos de MHz,
son los ms rpidos actualmente.

Consisten en una serie de comparadores que comparan la seal de entrada con una
referencia para cada nivel. El resultado de las comparaciones ingresa a un circuito lgico
que detecta los comparadores activados. El esquema general de un CAD paralelo se
muestra a continuacin.
El voltaje de referencia se establece para cada comparador por medio de la red divisora de
voltaje resistiva. Los valores de las resistencias extremas difieren de las restantes
para lograr que la conmutacin de un cdigo al siguiente se produzca a mitad de camino
del intervalo que corresponde a ese cdigo. La salida de los comparadores se conectar
a una entrada del codificador de prioridad, generando un alto cuando la seal de entrada
excede su voltaje de referencia.

Ventajas

Provee de un tiempo de conversin rpido, es decir su alta velocidad de respuesta


(puede llegar hasta 10MHz).
Se debe tener en cuenta la gran capacidad que presentan a la seal de entrada
todos los comparadores en paralelo, lo cual obliga a atacar al convertidor con un
amplificador de gran ancho de banda.

Desventajas

Son necesarios un gran nmero de comparadores para un nmero binario de


tamao razonable. Lo cual provoca que este convertidor se limite hasta solo 8 Bites.
La complejidad del circuito, principalmente del codificador, aumenta conforme
aumenta el nmero de bits. La adicin de un bit casi duplica el nmero de
comparadores.
Este tipo de convertidores tienen resoluciones muy bajas; los ms usuales estn
entre los 4 y 10 bits.
Elevado costo.
Convertidor A/D de Rampla Digitales

En la figura siguiente se representa un diagrama en bloques de un conversor A/D rampa.

Dado que el nmero de los pulsos contados aumenta linealmente con el tiempo, la palabra
binaria representada al contar se la usa en un conversor D/A.

La seal de Convertidor D/A es comparada con la entrada analgica. Mientras la salida del
conversor D/A es inferior a la entrada analgica (Ve > Vd) el comparador entrega un uno a
la puerta AND que as permite que la seal del clock llegue al contador digital.

En cuanto la tensin generada en el conversor D/A supera el valor analgico de


entrada al comparador (Vd > Ve) ste manda un cero a la puerta AND que detiene la
informacin del clock, deteniendo al contador digital.

El corte del contador se produce cuando Ve = Vd (o inmediatamente inferior segn la escala


de error) y este valor es ledo a la salida del contador como una palabra que representa en
forma digital que representa el valor de la tensin de entrada analgica. Para un sistema
de N bits el tiempo de conversin es en el peor de los casos de 2N pulsos del clock.
APLICACIONES

Potencimetro digital

Un conversor D/A multiplicativo puede utilizarse como potencimetro digital, el cual


puede ser controlado desde un microprocesador, como se muestra en la figura . El capacitor
es una compensacin que permite mejorar el tiempo de establecimiento. La resistencia de
realimentacin est integrada para reducir las derivas trmicas.

Control:

La salida digital de una computadora puede convertirse en una seal de control analgico
para ajustar la velocidad de un motor, la temperatura o para controlar una variable fsica.

Prueba Automtica:

Las computadoras pueden programarse para generar seales analgicas (a travs de


un DAC) necesarias para probar circuitos analgicos. La respuesta analgica de estos
circuitos se convierte de nuevo en un valor digital mediante un ADC para que la
informacin se pueda almacenar, visualizar y analizar.

Reconstruccin de Seales:

Una seal analgica se digitaliza tomando puntos sucesivos en la seal los cuales se
convierten en sus equivalentes digitales y se almacenan en memoria. Esta conversin se
realiza mediante un ADC despus se puede utilizar un DAC para convertir los datos
digitalizados en datos analgicos con lo cual se reconstruye la seal original.

Control de Amplitud Digital:

Pueden utilizarse para reducir la amplitud de una seal analgica de tal forma que
un sistema digital puede controlar cosas tales como el volumen de un sistema de audio o
la amplitud de un generador de funciones.
Reproductor compacto de CD
La msica en forma digital se almacena en el CD, un sistema de diodos lazer se encarga
de interpretar los datos digitales del disco y los transfiere a un convertidor digital-anlogo.
El DAC transforma los datos digitales en una seal analgica que es la reproduccin
elctrica de la msica; esta seal se amplifica y es enviada al altavoz.

Procesamiento de audio:

Primero pasa por un sensor el cual capta la seal de audio (micrfono), se hace una
conversin A/D, el DSP procesa la informacin digital y finalmente se hace una conversin
D/A para recuperar la seal analgica original

Esta aplicacin es utilizada para medir el agua en un tanque. En la imagen se muestra como
la seal analgica ingresa al conversor A/D de 10 bits. El resultado de la conversin se da
en un valor decimal entre 0y 1023 unidades para luego convertirlas en seales de ingeniera
(mts)

También podría gustarte