Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Motor de Pasos
Motor de Pasos
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity pancho is
port(
ck: in std_logic;
end;
begin
process (ep,x)
begin
case (ep) is
when s10=>z<="1010";
else es<=s6;
end if;
when s8=>z<="1000";
else es<=s10;
end if;
when s9=>z<="1001";
else es<=s10;
end if;
when s1=>z<="0001";
else es<=s10;
end if;
when s5=>z<="0101";
else es<=s9;
end if;
when s4=>z<="0100";
else es<=s10;
end if;
when s6=>z<="0110";
else es<=s5;
end if;
when s2=>z<="0010";
else es<=s10;
end if;
end case;
end process;
process (ck)
begin
ep<=es;
end if;
end process;
end maria;