Está en la página 1de 133
aRZmP en eee ae circuitos integrados y microprocesadores PAC CEC irc Tam CLE Tam camo ta Ea eee eC om muloacu hoor tcl (=a ELECTRONICA DIGITAL Realizado y editado por EEKIT. Compaiifa Editorial Electrénica Gerente general y comercial William Rojas H. Director editorial Felipe Gonzalez G. Autor Felipe Gonzalez G, Diagramacién electronica Nubia Patricia Tamayo ©CEKIT S.A.1993 Pereira - Colombia, Prohibida su reproduccién parcial o total por cualquier medio sin permiso escrito del editor. ISBN (Obra comleta) 958-9108-27-X ISBN (Volumen 4) 958-9108-31-8 ISBN (Fasciculo 34) 958-9108-65-2 ANTARTICA S.A PRINTED IN CHILE IMPRESO EN CHILE 12.11.93 Circuitos integrados y microprocesadores 1 Curso prictlco de ELECTRONIC forma de 40 fatcicuoe de parc sem DIGITAL, circutos Inteprades y mleroprocesadores publics en 5, encuademnbiesen cinco volimencs (Cada fastcuo consa de 20 piginas y 4 de cubienas. 16 piginas extn ddicada al coro de ELECTRONICA\ DIGITAL, csruitosintgradony icroprocesadoreque comprende S6lecrionestesricasy mamerosa acvidadcs pcticas con los pasos nsrucciones para ensambla un eomprobador lgico de fis medals. Estas pinay son ‘encuademabes en Jos volmenes 1, 2,3 y 4 Las eatro pina centraes de cada fascculo son encuaderabls por separado en el volume 3y estén deicads ala descripcién dtallada de 2 proyectos completos. Por ena rans alexcuademsat lo Vokimenes debe cide de desprender previamente las evar péginas centrale de todos lot fastculos y guardaras hasta exando se ‘completen, con el facioolo 40, momento en el eutl pode encvademer con ella el valunen CConelfsciulo que completa cade unodelos cinco volimene que confrman esta cbr, se pond ala vests tapas para su encosdemacia, Lo vlimenes se eoafonnan de Ia sguiene maners Volumen N'1.Fatefculor 1a 10 piginas 64 164 Volumen N®2,Fasetcuor L1 a 19 pina 165 2324 Volumen N*3, Faeteuoe 20 a 28 - paginas 325 a 458, Volumen N*4,Fasctculos 29 al $0 -piginas 469 660 ‘Volumen N*S Libro de proyecos- paginas cenuales 5 160 ‘CEKITS.A. garaniza a poblicacis dea wulidad de obra y de a tapas necesarias para evencuademacica y el servicio de nimeros srasados hasta un ao después determina la cieulaci del hime fscculo Tein Ja calidad de or componenes ye correct fanconamiento de los proyectos DISTRIBUCION INTERNACIONAL Y NUMEROS ATRASADOS Distribuldor en Cotombla: KIT S.A. ia Boral Electrica Calle N° 6-22 Pod A.A, 194 ‘Tee 352191-382194-356135 FAX 342615 Perr Colombia Distribuidoresen Argentina EDITORIAL VANIDADES S.A. Prd 263, Ser Piso 1067 ital Federal, Buenos Aires, Argentina ‘Telefonor 342'8946/ 5178/8083 FAX: (681) 3348053 ‘Telex 17699 EDIVA AR Acsherid a Asocacin de Editres de Revistas Ealtorlal Vanidades S.A., Conslo ecutivo: Presidente: Jullo Poblte Benet Gerente General yedlor: Carls A. Magurno (Capital Federal Varcaro, Sinchex y Cla. ‘Moreno N° 794, 9 Piso, OF 207, CP. 1081 Benes Alves Interior Diatibuidora Benn SAC Santa Magdalena NY S41, 1277 Buenos Atee Distribuior en Chile: Ealtrial Andina S.A. ‘Avenida E1Golt 203, Santago 34 Chile Teléfonos: Mesa de entradas (562)231-7053, 252.8818 / 292-6825 Fax (56m) 2328806 Télex 440221 EDAND CZ Distribuidor en Uruguay Distbaidora Carergs emia 688 Montevideo, Repdblica Orienal de Ur ‘Telefonos: (89) 96-1941 [95-4540 Fax: 96-1941 ‘Telex 2391 GRAFIA S.A. Distribuldor en Paraguay: Selecciones SAC Falgencio RL, Moreno 270 ‘Asinelén, Parag ‘Telefonor (S95 Fax: 449639, 9 ) 481588 / 201863 Distribuidor en Bolivia: Diamo Lida, Comercio $05 La Par, Bolivia Telefonox$912) 353119 ATT Cerner ay Prueba del médulo 4: Generador de pulsos En esta segunda parte de la actividad 20 realizare- mos la prueba del médulo 4 utilizando un sencillo circuito de visualizacién con LED. En el experi- mento N® 26 (ver pagina 330) lo emplearemos en una aplicacién més avanzada, En la figura A26 (ver pagina 266) presentamos el diagrama esquematico del médulo 4. La funcién de cada uno de los componentes de! mismo (ICI, Ri, R2y Cx) se explicd a grandes rasgos en la actividad prictica N° 17 y se detall6 en las actividades pos- teriores a medida que se instalaba cada uno. Materiales necesarios 1 médulo EDM-4 (generador de pulsos de reloj). 1 condensador elecirolitico de 10 wF/16V. Cx 1 resistencia de 1 KQ. Rs. 1 LED. D1. 1 protoboard. 1 pila alcalina de 9V. VDD. Puentes de alambre telefnico N® 22 6 N® 24, Procedimiento Ame sobre su protoboard el circuito de la figura ‘A30. Inserte el condensador de prueba Cx con la polaridad apropiada en los pines asignados para su instalacién dentro del médulo 4. Situe inicialmente R2 en su posicién de méxima resistencia (completa- mente girado hacia Ia derecha). Conecte la pila de OV. Di debe parpadear « una frecuencia relative: ‘mente lenta (=1 destello por segundo). Gire lentamente R2 hacia la izquierda. La veloci- dad de parpadeo del LED debe aumentar, indicando que esta aumentando la frecuencia del reloj +5V cu Linea maestra de relo) Fig. 509 escendentes y el iltimo es, ‘inicamente, ascenden- te. La mayorfa de contadores sincrénicos TTL pue- den operar a frecuencias superiores a 20 MHz y no producen glitches cuando se decodifican sus salidas Contadores prefijables Prefijar un contador significa, simplemente, car- gar un niimero binario en el mismo con prioridad a Ia aplicacién de la sefial de reloj. De este modo, cuando llega el siguiente pulso, la cuenta arranca a partir de ese mimero. Un contador prefijable es, por tanto, aquel que puede programarse para comenzar a contar a partir de un estado especifico de su se- ‘cuencia de conteo. En muchas aplicaciones, ta prefijacién se limita a inicializar todas las salidas en 0's 0 en 1's, acti- vando la Ifnea de RESET o de PRESET del contador. CEKIT- Curso practico de electrénica digital 325 Existen, sin embargo, situaciones donde se re- quiere comenzar a contar desde un nimero predeter- minado. En estos casos, debe proveerse algiin me- dio de prefijar o cargar el contador con el niimero deseado. Lo anterior se logra controlando, mediante circuiteria l6gica adecuada, las lineas de reser y de preset de cada flip-flop. Para este efecto, el con- tador debe disponer de unas entradas de prefijacién cn las cuales se sitéa el dato a partir del cual debe iniciarse el conteo y de una linea de load 0 carga que habilite la transferencia de la informacién de entrada a las salidas, La prefijacién puede ser sincrénica o asincré- nica. En el primer caso, el dato deseado se carga en el contador con Jos flancos de subida o de bajada de la sefial de reloj mientras que en el segundo, la car- ga se realiza sin intervencign de la sefial de reloj. La mayorfa de contadores prefijables dispo- nibles como circuitos integrados son sincrénicos y, ademis de las entradas de reloj, prefijacién y carga y de las salidas de conteo, poseen I{neas de control adicionales que habilitan el dispositivo para realizar funciones especiales, por ejemplo, contar en ambas Gireociones, facilitar la conexién en cascada con unidades similares, no contar, etc. En Ja figura 510 se muestra el diagrama de blo- ques de un contador prefijable genérico. El dispo- sitivo consta de una entrada de reloj (CLK), cuatro salidas de conteo (Q4Q3Q2Q1), cuatro entradas de fijacién (P4P3P2P1), una entrada de carga {OAD) y otras lineas de contol adicionales cuya funcién describiremos mas adelante, Contador binario prefijable Borrado Direccién La informacién presente en las lineas de prefi- Jacién, por ejemplo P4P3P2P1=1010, se transfiere a las salidas Q4Q3Q2Q1 cuando se activa (mediante un 0 6 un 1, dependiendo del disefio) la entrada LOAD. Al retomar esta iiltima a su estado inactivo, el contador inicia, a partir del valor prefijado, la cuenta, ascendente o descendente, de los pulsos aplicados a la entrada de reloj. Ladireccién del conteo ladeterminael estado de la linea U/D (upidown).Cuando U/D=0, el conta- dor cuenta en sentido descendemte (1010, 10 01,..., 0000, 1111, ...) y cuando U/D=1 lo ‘hace en’ ‘sentido 'ascendente (1010, 1011, ...., L111, 0000, ...), 0 viceversa, La. prefijacién’no altera la secuencia de conteo: simplemente Ia inicia desde un valor o estado especifico. Las entradas de prefijacién son muy tiles para acortar secuencias de conteo, obtener contadores de médulo variable, borrar el contador sin la inter- vencién de la linea maestra de reset, almacenar informacién binaria y otras aplicaciones. En la si- guiente seccién analizaremos detenidamente varios contadores binarios sincr6nicos prefijables disponi- bles como circuitos integrados. Contadores binarios sincrénicos TTL y Mos Existe una gran variedad de circuitos integrados TTL y CMOS disefiados para operar como con- adores binaros sincrénicos. La tabla dela figura 511 compara aspectos claves de algunos de ellos, Todos estos dispositivos son de 4 bits y han sido di- sefiados de tal modo que puedan conectarse en cas- cada y proporcionar conteos de cualquier niimero de bits con un minimo de circuiter‘a logica extema, Los contadores de las series TTL 74 y 74LS operan a 5V y los de las series CMOS 40, 45 y 74C con tensiones entre 3V y 15V. Por regia ge- neral, los primeros pueden trabajar a frecuencias de reloj mas altas que los. dispositives CMOS corres- pondientes pero consumen més potencia, En la figura 512 se muestran la distribucién de nes y cl diagram funcional del circuit integrado 4LS193, un contador sincrénico de 4 bits up- down prefijable. La tensiGn de alimentacién (SV) se aplica entre los pines 16 (Vcc) y 8 (GND). El dispositivo se dispara por flancos de subida y pose dos entradas de reloj (CLK UP y CLK DOWN) independientes, cuatro entradas de prefija- cién (P1 a Pa), cuatro’ salidas binarias (Qi a Q4), una entrada asincrénica de borrado (RESET), una en- trada de carga (LOAD) y dos salidas de rebasamien- to (CARRY OUT y BORROW OUT). Estas tiltimas se activan cuando ld cuenta es méxima o minima, Contadores sincrénicos binarios TTL y CMOS comunes Linea N® de] N*de] Modo de disparo Linea’ Reterencia paar pines} bits 7ai61-74L8161 7ac161-401618| '° et st de carga Conectable ‘en cascada Lineas de habiltacién Direccion aa Observaciones. si uP s! mo 7av63-74L5163 7ac163-401638| ' st si yaistea | 16 no | st si up si a 3 SI sI mM @ 74191-74L8101 | 16 NO st sl st mM (4 74195-74L8193 740193-40193B is a BL si sl m4 40298 18 No si sI si I) (4) 5) 45168 16 SI sl SI sl mM 4520 16 sI NO ‘Observaciones: si si (1) (6) (7) [1]: Clear asincrénica; [2]: Prefijado asincxénico ; [3]: Clear sincrénico ; 4: Prefijado sincrénico ; [5]: Contador binatio’ BOD } (61: Disparo por tlancos programable ; [7|: No es prefijable. Dos contadores en una misma oépsula ; 4 :Flancos de subida ; : Flancos de bajada ; Un alto en la linea RESET (pin 14) sitia todas las salidas Q en bajo, sin importar el estado de las, entradas de reloj, de prefijacién y de carga, En condiciones normales iGn, esta linea debe mantenerse inactiva, es decir, en bajo. UP: Ascendente; DOWN: Descendents Fo.sit La direccién del conteo depende de la entrada de reloj utilizada. Para conteo ascendente, la sefial de pulsos debe inyectarse a la entrada CLK UP (pin 5) y para conteo descendente debe inyectarse a la entrada CLK DOWN (pin 4). La entrada no utilizada (CLK Circuito integrado 74LS193 (a) Distribueién de pines (©) Diagrama funcional ar 2 3 4 BORROW OUT CARRYOUT CEKIF-Curso prictico de electronica digital 327 DOWN 0 CLK UP) debe mantenerse alta. La cuenta avanza o retrocede una unidad con los flancos de subida de la sefial de reloj. Lacargadel contador se realiza asincrénicamen- te situando el dato de partida deseado en las entra das de prefijaci6n P4 (pin 9), P3 (pin 10), P2 (pin 1) y Pt (pin 15) y aplicando un bajo a la linea de carga LOAD (pin’ 11). Cuando esto se hace, el es- tado de Pé se transfiere a Qs, el de P3 a Q3, el de P2 2.Q2y el de Pl 2 QI. En condiciones normales, la i- nea LOAD debe mantenerse alta. La linea CARRY OUT (acarreo, pin 12) es nor- malmente alta durante un conteo ascendente y emi- te un pulso negativo (activo en bajo) cuando la cuenta llega a 1111 y se reinicia, Esta condicién se Genomina sobreflujo (overflow). La duracién del pulso de carry o de sobreflujo es igual al tiempo que dura en bajo la sefial de reloj aplicada a la en- tada CLK UP (pin 5). La linea BORROW OUT (préstamo, pin 13) es normalmente alta durante un conteo descendente y emit un puso negative (activo en bajo) cuando la cuenta Hega a 0000 y se reinicia. Esta condicidn se denomina bajoflujo (underflow). La duracién del pulso de borrow o de bajoflujo es igual al tiempo que dura en bajo la seftal de reloj aplicada a la en- tada CLK DOWN (pin 4). Otros contadores sincrénicos bit 8) 74LS161, 74LS163, otc. 5V63-15V +5V ) 7aLs169 Las salidas CARRY y BORROW son muy iitiles cuando se conectan contadores 74L$193 en cas- cada. Los pulsos suministrados por estas lineas ac- ttian, respectivamente, como sefiales de reloj de las, entradas CLK UP y CLK DOWN de la etapa siguiente. Los contadores 74193, 74C193 y 40193B son funcionalmente equivalentes al 7AL3193 y te- nen la misma distribucién de pines. El primero (74 193) se dispara con flancos de bajada y los dos ilti- mos (74C193 y 40193B) con flancos de subida. La méxima frecuencia de operacién es del orden de 25 MHz para el 74193/74LS193 y de 12.5 MHz para los contadores 74C193 y 40193B. En la figura 513 se muestran los diagramas fun- cionales de otros contadores sincrénicos binarios importantes. Todos son de 16 pines. Cada linea de control (PE, TE, U/B, etc.) esté marcada con su nivel de actividad respectivo. Las lineas terminadas en burbuja (0) © sefialadas con una barra (—) son activas en bajo. Ejemplos: + LOAD es activa en bajo para el 74LS169 y activa enalto parael 40298 , + PE y TE son activas en alto para el 40163B y activas en bajo para el 74LS169, + RESET es activa en alto para el 4516B y activa en bajo para el 74LS161. + CARRY OUT es activa en alto para para el 74LS 163 y activa en bajo para el 4029B. Con excepcién del 4520B, todos los conta- dores relacionados son prefijables. El 40298, en Baticula, puede operar como condor binario 0 BCD. 1 45208 contene dos contadores dispare bles por flancos de subida o de bajada. El 74LS 191 y el 74191 responden a flancos de bajada y los restantes chips a flancos de subida. Todos vie- nen en encapsulado DIP de 16 pines. Las Iineas Pi, P2, P3 y P4 son las entradas de peefijcion. La linea de entrada LOAD habilita la juncién de carga. Los contadores de las figuras 13 (a) y (b) son de Fretiado sivociaion 7 Jos de las fi- guras 513 (©), (@) y (e) son de prefijado asincré- nico. Las Ifneas PE, TE, E y CARRY IN son entradas de habilitacién. Cuando estas lineas son activas, el dispositive desarrolla su légica normal. Cuando estén inactivas, las salidas no responden a Ja sefial de reloj. Para el caso del 4520B, las entradas CLK y E son intercambiables. Cuando E=1, CLK actiia ‘co- ‘mo entrada de reloj y el dispositive responde a flan- cos de subida. Cuando CLK=0, E acta como entra- da de reloj y el dispositivo responde a flancos de bajada. La I{nea RESET es una entrada de clear o borra- do. Cuando esta linea se activa, la cuenta en curso se cancela y todas las salidas se hacen iguales a 0, es decir, 0Q3Q201=0000, En todos ‘los casos, con excepeién de los dispositivos '163' (74LS 163, 40163B, etc.) el borrado es asincrénico, es decir, el efecto de la linea RESET se manifiesta de in- mediato, sin importar el estado de la sefial de reloj. La linea de entrada U/D (up/down) determina la direccién del conteo En el caso de los contadores 74LS169, 4029B y 4516B, cl conteo se realiza en sentido ascendente (up) cuando U/D=1 y en sentido descendente (down) cuando U/D=0. Para los contadores 74191 y 74LS191, la linea U/D opera en forma contraria. Los chips restantes ope- ran, tinicamente, como contadores ascendentes. La linea B/D (binaryldecade) del 40298 deter- mina el médulo de conteo. Cuando B/D=1, el dis- positivo trabaja como contador binario (médulo 16) y cuando B/D=0 lo hace como contador BCD o de ‘década (médulo 10). Los contadores BCD se es- tudian en detalle en la leccién 25. Las lineas de salida CARRY OUT, RIPPLE CLOCK y MAX/MIN se activan cuando la cuenta alcanza su valor méximo (sobreflujo) en el modo ascendente 0 minimo (bajoflujo) en el modo des- cendente. Permiten conectar varios contadores simi- lares en cascada sin necesidad de circuiteria légica extema, En el siguiente experimento, que es integral, practicaremos con el circuito integrado 74LS193, uno de los contadores sincrénicos més versdtiles y opulares, para despejar dudasy fier conceptos. El procedimiento seguido es aplicable al anilisis de Cualquiera de los contadores discutidos en la secién anterior y otros que conoceremos mas adelante. Esimportante que usted realice este experimen- toconatentiéne interprete losresultados con deteni- miento porque lo ilustrard en todos los aspectos précticos de interés relacionados con contadores avanzados. Ademds, tendré la oportunidad de apre- ciar en accién, en un mismo proyecto, los cuatro maédulos que usted ha construido en las actividades précticas y confirmaré ain més su utilidad. ‘Tenga siempre presente que el verdadero conoci- miento de la electronica digital, y, en general, de cualquier drea del saber, s6lo se logra a través de la practica y la experimentacin constantes, respalda- das por Conceptos bien fundamentados y la predis- pocién natural al estudio y a la investigaciGn. CCEKIT- Curso prétcode elecrénica dighal 328 od hy Operacién de un contador binario sincrénico, reversible y prefijable Objetivos + Analizar 1a operacién y las caracterfsticas de un contador binario sincrénico, prefijable y reversible (up/down) tomando como ejemplo el circuito inte- grado 74LS193 6 su versi6n estandar 74193. + Familiarizarse con la decodificacién de estados de las salidas de un contador. + Familiarizarse con la utilizacién del médulo 4 (ge- nerador de pulsos) construido y probado en la acti- vidad préctica N® 20. + Recordar algunas de las técnicas de interface estudiadas en la lecci6n 8 +8V Circuito de prueba del contador 74LS193 Say: +5V Materiales necesarios 1 circuito integrado 74LS193 (contador binario sinerOnico de 4 bits prefijable reversible). ICI 1 circuito integrado 7447 (decodificador de BCD a 7 segmentos para display de dnodo comtin). IC2 1 display de siete segmentos de dnodo comin (LA- 6960 o similar). DISP 1 4 monitores l6gicos (D1-D4). Médulo EDM-1. 4 interruptores légicos (S1-S4). Médulo EDM-2. 1 pulsidorlgico (85) con inversor. Médulo EDM- 1 generador de pulsos. Médulo EDM-4, 2 LED rojos. D5, Ds. 3 resistencias de 1KQ, 1/2 W. R1-R3. 7 resistencias de 330 , 1/2 W. R4-R10. 1 condensador electrolitico de 10 uF/16V. Cx. 1 pulsador normalmente abierto (NA). S6. 1 intemruptor dpdt (dos polos, dos posiciones). $7. 1 interruptor spdt (un polo, dos posiciones). $8. 1 fuente de SV/1A (kit CEKIT K11) con conectores. Vee. 1 protoboard. Puentes de alambre telef6nico N° 22 6 N? 24, Herramientas: cortafrios, pinzas, pelacables, bistu- xf, cautin, Descripcién del circuito de prueba En la figura E45 se muestra el circuito que utilizaremos en este experimento para comprobar la eracion del contador binario sincrénico 74LS 153. La funcién de cada componente se puede resu- mir en los siguientes términos: Modulo 1 (monitores D1-D4): Visualizan el estado de las salidas de conteo QI-Qs. Un monitor apa- gado indica la presencia de un 0 en la salida respec- tiva y encendido la presencia de un 1, Modulo 2 (interruptores $1-S4); Prog el es- tado de las entradas de ijacién P1-P4, Por ejem- plo, para programar el dato P4P3P2P1 -0110 (6), inie S4 en la posicién "O" y $3 y S2 en la posicién. Médulo 3 (pulsador $5 ¢ inversor). El pulsador $5 incorporado suministra la orden de carga que trasfiere, asinerénicamente, el contenido de las en- tradas de prefijacién a las salidas. Al liberar el pul- sador, el circuito inicia el conteo de pulsos a partir del dato cargado, El inversor interno acta como driver del LED Ds. Médulo 4 (generador de pulsos). Suministra la sefial de pulsos a las entradas de reioj CLKUP (con- teo ascendente) y CLKDOWN (conteo descendente) del contador. Las frecuencias maxima y minima de esta sefial se programan mediante el condensador Cx, Variando la resistencia del potenciémetro incor- porado se obtiene cualquier frecuencia dentro de este rango. Decodificador de BCD a 7 segmentos con display. Visualiza simbélicamente la informacién de salida del contador. En la figura E46 se muestra este circuito en detalle. Para cédigos binarios entre 0000 (0) y 1001 (9), en el display aparece el ni- mero decimal ceereeponaicnte (0, 1,..., 9). Para o6- digos entre 1010 (10) y 1111 (15) se visualizan eS caracteres especiales indicados en la misma figura. El LED D5 monitorea el estado de la sefial de reloj, ilumindndose cuando esta sefial es alta y spagindose cuando es baja. El LED Ds monitorea el estado de las salidas CARRY y BORROW del con- tador, iluminéndose cuando se alcanza la condicién de sobreflujo 1111 (CARRY=0) durante un conteo Decodificador de BCD a siete segmentos TALSAT 6 7447 A4-R10: Display de anodo 300, 1/2W comin CEKIT: Curso préctico de elecirinicadigial 331 ascendente o de bajoflujo 0000 (BORROW=0) du- rante un conteo descendente. Las resistencias R1 y R3 limitan, respectivamen- te, la corriente de los LED Ds y Dé a un valor se- gu, La resistencia R2 mantien inaciva, en bajo Ta Ifnea de RESET durante la operacién normal contador. Las resistencias R4 a R10 protegen los segmentos del display, Cuando se pulsa 6 ("CLEAR"), la Iinea de RESET recibe un alto y las salidas Q'se hacen todas bajas, sin importar el estado de la sefial de reloj Observe que no se_utilizan resistencias de interface entre la salida CMOS Q del médulo 3 y la entrada TTL LOAD del contador debido a que estos, circuitos trabajan a +5V. Tampoco se requieren re~ sistencias de interface externas entre las salidas TTL Q4Q3Q201 del contador y las entradas CMOS D4D3D2D1 del médulo 1 debido a que este wiltimo ya las trae incluidas. La direccién de conteo se selecciona mediante el interruptor $7. Cuando $7 esté en la posicién "UP", Ja entrada CLKUP queda conectada a la salida del Tela» CLKDOWN ‘a. +5V. En consecuencia, el 748193 cuenta en forma ascendente, Cuando $7 esta en la posicién "DOWN", estas conexiones se inviereen y el circuito cuenta en forma ascendente, La condicién de rebasamiento que se desea monitorear con ¢l LED D6 se selecciona mediante el interruptor $8. Cuando $8 esta en la posicién "CARRY", D6 monitorea la salida de_sobreflujo (CARRY) ‘del contador y cuando $8 esta en Ia po- sicién "BORROW", Dé monitorea la salida de bajo- flujo (BORROW), Mientras no haya sobrefujo 0 bs- joflujo, estos LED permanecen apagados. Procedimiento Paso 1. Arme sobre_su protoboard los circuitos de las figuras E45 y E46. Conecte adecuadamente Jos terminales de alimentacién de los circuitos inte- rudos, del display y de los médulos a la fuente de BV. Observe la orientacin de los LED Ds y Dé. Ine serie el condensador Cx en la base de montaje del médulo 4 con la polaridad adecuada, como se expli- 6 en la actividad practica N° 20. Si_utiliza un display distinto del _LA6960, identifique adecuadamente los pines de acceso al 4nodo comin y a los segmentos (a, b, etc.) del display. Si no posee informacién técnica al respec- to, siga el método explicado en el experimento 13 (ver paginas 129 y 130) para tal efecto. Para facilitar la interpretacién de los resultados, marque los LED y los interruptores con los nom bres asignados en el circuito de la figura E45, utili- 332 zando cinta adhesiva. Por ejemplo, rotule el LED Ds como "CLOCK" (reloj), $7 como "UP" (conteo ascendente) en la parte superior y como "DOWN" (conteo descendente") en la parte inferior, etc. Inicialmente, sitdie el interruptor $7 en Ja posi- cién "UP", el’ interruptor S8 en Ia posicién “cangy" y el potencimeto del médulo 4 en su icion de maxima resistencia (girado completa- Imente hacia ia derecha). De este modo, el contador comenzaré contando en direccién ascendente y el reloj operard a una frecuencia relativamente baja, de = 1 Hz, es decir, un pulso por segundo. Los interruptores del médulo 2 pueden estar en cualquier posicién. Antes de conectar la fuente, re- vise bien todas sus conexiones y corrija posibles errores de montaje. Paso 2. Encienda la fuente de alimentacién, Observe lo que sucede en los LED D1 a D7 y en el display del decodificador. Fije su atencién en el display. Notaré que en este tiltimo van apareciendo Jos mimeros del 0 al 9 y continuan Iuego los caracteres especiales correspondientes a los nime- ros 11, 12, 13, 14y 15 (ver figura E46), siguiendo un estricto orden ascendente, Cuando la cuenta lega a 15 (display en blanco), se visualiza a continuacién el niimero 0 y se repite exactamente la misma secuencia, En otras palabras, el circuito esta contando, c{clicamente, en decimal y en forma ascendente entre 0 y 15, Observe ahora el LED Ds ("CLOCK"). Notaré que parpadea continuamente, es decir, se ilumina y se apaga alternativamente, a una rata aproximada de un destello por segundo, o sea, a la misma frecuen- cia de la serial de reloj. Cuando esta iltima es alta, D5 se ilumina y cuando es baja, se apaga, Este comportamiento se mantendré todo el tiempo por- que el reloj, al no estar gatillado, trabaja libremente. Observe el LED Ds (CARRY). Notaré que la mayor parte del tiempo permanece apagado. Sin ‘embargo, cuando la cuenta en el display llega a 15 y eI LED Ds se apage (sefal de reoj en bajo), el LED Dé se ilumina, volviendo a apagarse cuando Ds se ilumina (sefial de reloj alta) y la cuenta en el dis- play retoma a0, En otras palabras, el circuito produce un pulso de sobreflujo cuando la cuenta alcanza su valor maximo y la duracién de este pulso es igual al tiempo que dura cn bajo la sefial de reloj, Note también que la cuenta en el display se incrementa tan pronto se ilumina Ds, confirmando el hecho de que cl contador 7418193 responde a los flancos de ‘subida (ransiciones de a 1) de la seal de i. Observe los LED D1 a Dé del médulo 1. Notaré que siguen una secuencia binaria estrictamente ascendente desde 0000 (todos 1os LED apagados) hasta 1111 (todos los LED encendidos). Cuando la cuenta llega a 1111, retoma nuevamente 2 0000 se repite ef mismo orden, Recuerde que el LED be monitorea el bir mis significatvo de la cuenta (MSB) y el LED D1 el menos significativo (LSB), Si compara la lectura de los monitores con el ni- mero visualizado en el display, notaré que este timo es el valor decimal del ntimero binario corres- pondiente al estado de la cuenta. Por ejemplo, al es- fado DéD3D2D1=1000, lec el niimero 8, al estado 1101 le corresponde el miimero 13, y asi sucesivamente, Lo anterior sucede porque utilizamos un esque- ma de decodificacién de binario a decimal. Note también que cuando la cuenta lega a 1111 (Dia Dé iluminados) y 1a sefal de reloj se hace baja (DS apagado), se produce un pulso de sobrefujo (Dé iluminado) que termina cuando 1a sefial de relo} se hace nuevamente alta (DS iluminado) y la cuenta retoma a 0000. Para visualizar el conteo a mayor velocidad, gi- reel potenciémetro del médulo 4 hacia la izquierda con el fin de aumentar la frecuencia de la seftal de reloj y retmelo a su posicién original (comple- tamerite girado a la derecha) para realizar! siguien- te paso. Paso 3. Para verificar la funcién de borrado pulse el botén S6 ("CLEAR") en cualquier instante de la cuenta y manténgalo pulsado. Notaré que la cuenta en curso se cancela y los LED D1 a Dé se apagan in- mediatamente, indicando que el estado de salida del contador es 0000. Al mismo tiempo, en el display se visulizae] mimero 0, EILED Ds continua deste lando. Esto sucede porque, al pulsar $6, la entrada RESET (pin 14) del contador 74LS193 recibe un alto, habilitindose la funcién de borrado. Esto sucede asincrénicamente, es decir, sin importar el estado de la sefial de relo La situacién anterior se mantendré mientras no se libere $5. Cuando se hace esto iiltimo, el conteo $e renicia partir de 0000 (6) y el circuito opera tal como se ha descrito en el paso 2. Desde otro punto de vista, la serial de reset prefija o carga asincrénicamente el contador en 0's. Paso 4. Para verificar la funcién de prefijado, programe cualquier niimero de 4 bits en los interrup- tores del médulo 2, teniendo en cuenta que $4 co- rresponde al bit mds significativo (MSB) y $1 al me- nos significative (LSB). Por ejemplo, para progra- mar el dato 0101 (5), sitde $4 y S2 en la Posicion "O" y S3 y Si en la posicién "1". Notard que el funcionamiento del circuito no se modifica. A continuaci6n, pulse el botén del médulo 3 (65, LOAD") y manteagalo pulsado, Notard que en os LED D4D3D2D1 del médulo 1 se refleja de in- mediato el dato 0101 programado en los interrup- tores $45382S1 del médulo 2 y en el display apa- rece el mimero 5. Esto sucede we, al pulsar SS, la entrada LOAD (pin 11) del contador 74LS193 recibe un ba- jo, habllitindose asinerSnicamente Ia carga, es de- cir sin intervencién de la sefial de reloj. La situacién anterior se mantendr4 mientras no se libere S6. ‘Cuando se hace esto tltimo, el conteo se reinicia a partir de 0101 (5) y el circuito se comporta tal co- mo se ha descrito en el paso 2. Paso 5. Para verificar la funcién de conteo descen- dente, sitde el interuptor $7 en la posicién "DOWN" y el interruptor $8 en la posicién "BORROW". Repi- ia los pasos 2, 3 y 4. Notard los siguientes aspec- tos, propios de un conteo descendente: (@) En el display a los sfmbolos de decodi- feacidn correspondientes a los nimeros 13, 14, 13, 12, 11 y 10 (ver figura E46) y, a continuacién, los mameros del 9 al 0, siguiendo un estricto orden descendente. En los LED D4 a Di del médulo 1 se observa Ia misma secuencia de conteo pero en binario. (b) Cuando Ja cuenta llega a 0 (0000) y Ds se apaga, el LED De (BORROW) se ilumina, apagéndo- se nuevamente cuando DS se ilumina. Al mismo tiempo, la cuenta se reanuda a partir de 15 (1111) y se decrementa cada vez que la sefial de reloj pasa de 0 a 1. Es decir, el circuito produce un pulso de bajoflujo cuando la cuenta alcanza su valor minimo y responde a los flancos de subida del reloj. (©) Al pulsar $6 (CLEAR), la cuenta en curso se cancela de inmediato, visualizéndose el dato 0000 en los monitores Dé’ a Di y el niimero 0 en el display. Al mismo tiempo, el LED Ds (BORROW) .dea en contrafase con el LED Ds (CLOCK), ¢s decir, mientras DS se ilumina, D6 se ilumina y vi- ceversa. Esto sucede porque, en conteo descenden- te, el estado de reset coincide con la condicién de bajoflujo. (@) Al programar cualquier numero binario en los interruptores $4 a $1 del médulo 2 y cargarlo en el contador, pulsando el botén S5 del médulo 3, la ‘cuenta en Curso se aborta y las salidas del contador adoptan de inmediato el estado programado. Cuan- do se libera $5, la cuenta se reinicia en forma des- cendente a partir de ese ntimero, CEKIT- Curso précton de elecrénica dighal $33 CIRCUITOS DE APLICACION Se presentan a continuacién algunos circuitos prdcticos que utilizan contadores binarios, sincréni- Cos 0 asincrénicos, como parte basica dentro de su estructura. Relacionaremos, inicialmente, varias aplicaciones importantes discutidas y analizadas en lecciones y proyectos anteriores. Posteriormente, describiremos otros circuitos. Encontraremos mis aplicaciones en lecciones futuras. Monitor de miiltiples entradas. (Ver leccién 12, paginas 149 y 150). Desarrollado alrededor del contador binario 74 93, este circuito chequea periédicamente el estado de 16 sensores remotos utilizando un esquema sin- crénico de transmisién de datos. Emplea ademés, un MUX. 74150, un DEMUX 74154, un reloj 585 y un decodificador 74LS47 con display. Reloj de multiples salidas a cristal. (Ver leccién 17, paginas 205 y 206). Desarrollado alrededor del contador asincrénico de 14 etapas 4060B, este circuito divisor por N su- ministra 10 frecuencias de salida muy estables que son submiiltiplos de la forma 2" de la frecuencia fundamental de un cristal maestro, Por ejemplo, con un cristal de 32768 Hz, las frecuencias de’ salida obtenidas son 2 Hz, 4 Hz, & Hz, 32 Hz, 64 Hz, 128 Hz, 256 Hz, 512 Hz, 1024 Hz y 2048 Hz, Reloj de muiltiples salidas con red RC. (Ver leccién 17, pagina 206). Desarrollado también alrededor del 4060B, este circuito divisor suministra 10 frecuencias de salida que son submiltiplos de una frecuencia fundamen- tal establecida mediante una red RC externa. Por ejemplo, con una resistencia de 68 KQ y un condensador de 0.01 uF, se logran las siguientes frecuencias (aproximadas) de salida: 420 Hz, 209 Hz, 104 Hz, 52 Hz, 26 Hz, 13 Hz, 6.5 Hz, 1.6 Hz, 0.8 Hz y 0.4 Hz, Temporizadores de intervalos largos. (Ver leecién 16, paginas 183 a 187). Este tipo de circuitos utilizan uno o ms conta- dores de varias etapas, controlado por un oscilador maestro, para ionar retardos de tiempo muy precisos, desde unos pocos microsegundos hasta varios dias, inclusive, Ejemplos de temporizadores de este tipo son los chips ZNIO34E, 48418 y XR 2240. 334 Sintetizadores de frecuencia con PLL. (Ver leccién 18, piginas 218 a 221) Este tipo de estructuras utilizan un contador/divi- sor por N entre la salida del VCO y una entrada del comparador de fase para permitir el enganche del sistema con sefiales de baja frecuencia mientras pro- ducen una sefial de salida cuya frecuencia es N ve- ces la de entrada. Son, propiamente, multiplicado- res de frecuencia, Disefio de un circuito de control para banda transportadora de cajas. (Ver leccién 21, péginas 270 y 271). Este circuito, desarrollado alrededor de un con- tudor asincrénico de tes etapas (MOD-£) con fi flops IK, supervisa el paso de cajas en una finea ‘de produccién y dirige automticamemte, cada ocho cajas, una de ellas (la dltima) al drea de control de calidad, Circuito de control de solenoides. (Ver leccién 21, paginas 273 a 277). Este circuito, desarrollado alrededor de un conta- dor sincrénico de dos etapas con flip-flops D, con- trola la energizacion de dos solenoids (Ay B) de un proceso de acuerdo al siguiente algoritmo: ini- cialmente, A y B estén desactivados. Con el primer pulso se activa B, con el segundo se activa también A, con el tercero se desactiva B y con el cuarto se desactiva A y se activa B, reinicigndose la secuencia Disefio del circuito de control de un sistema aplicador de goma. (Wer lecci6n 21, paginas 280 a 285). Este circuito, desarrollado alrededor de un conta- dor sincrénico de tres etapas con flip-flops J-K, controla una linea de produccién de bolsas de papel de acuerdo al siguiente algoritmo: cada vez que en- tra una bolsa, el contador deja pasar tres pulsos y acciona, durante el cuarto y quinto pulso, el electroi- min de apertura del depdsito de goma. Los pulsos son suministrados por un decodifica- dor o interruptor éptico ranurado, sincronizado con el motor de la banda transportadora de las bolsas. La presencia de las bolsas es detectada por un sensor de proximidad. En esta aplicacién se discute todo el proceso de disefio del sistema de control y su realizacién préctica. Sistema sincrénico de transmisién de datos con memoria. (Ver leccién 22, paginas 297 y 298) Este circuito recibe una palabra de 8 bits en para- lelo, sobre 8 Iineas de entrada, y la transmite en se- rie, bit por bit, a un receptor remoto, sobre una sola nea. Utiliza un MUX 74LS181 como transmisor y un registro direccionable 74L$259 como recep- tor. La sincronizacién del transmisor con el receptor la establecen un contador 74L$93, un reloj $55 y unas pocas compuertas de interconexién, Para minimizar la posibilidad de almacenar datos erréneos durante el tiempo de propagacién del conta- dor, es conveniente garantizar que el registro per- manezca inhibido mientras las salidas del contador cambian de estado. Una solucién a este problema es sustimir el 74LS93 por un contador sincrénico 74LS193 y/o habilitar el 74LS259 mediante un monoest Ruleta digital. (Ver proyecto central N° 5, paginas 29 a 32). Este circuito utiliza un contador asincrénico de 5 tapas (MOD-32) con flip-flops J-K y_ salidas decodificadas para_iluminar secuencialmente 32 LED dispuestos en forma de circulo y dejar un LED iluminado al azar después de unos pocos segundos de liberar el botén de arranque. La decodificacién de los 32 estados binarios posibles la proporcionan os circnitos integrados 74LS15. Metrénomo de péndulo. (Ver proyecto central N* 6, paginas 33 a 36) Este circuito utiliza un contador reversible 40 29B, asociado a dos decodificadores andlogos 40 S1B, para desplazar ciclicamente, en ambas direc- ciones, 10 LED dispuestos en forma de arco y si- mularel movimiento de un péndulo mecénico. vez que este ‘péndulo’ llega a un extremo de su re- corrido, el citulto emite un sonido seco 0 golpe ‘que se utiliza para acompasar ritmos musicales. Secuenciador de luces. (Ver proyecto central N° 8, paginas 41 a 48). Este circuito, desarrollado alrededor de un con- tador asincrénico de dos etapas con flip-flops J-K y salidas decodificadas, ilumina secuencialmente cua- to lfmparas de potencia a través de una interface de optoacopladores y triacs. La velocidad de la se- cuencia la controla’un potenciémetro asociado al Circuito de reloj del sistema. Juego de luces de velocidad variable Delpin 17 do—p> teas) ‘CEKIT- Curso pritcode elearévicadgiual 335 Temporizador digital Caetnosecto cesal N10, pagines 53a 56) Este circuito utiliza dos contadores 74191 sincro- nizados con la red publica de 60 Hz para producir intervalos de temporizacién muy precisos entre Oy 99 segundos, destinados al control de aparatos eléctricos 0 electrénicos de cualquier tipo. La du- rracién de la temporizacién y el inicio de 1a misma se establecen mediante infertuptores. Cuando trans- curre el tiempo programado, suena una alarma y se dispara un relé, Juego de luces de velocidad variable El circuito de la figura 514 ilumina cfclica y se- cuencialmente 16 LED (Di-Di6) en ambos sen- tidos, creando un efecto de luces muy interesante. Usiiza un reo} generador de pulss $85 (ICD), un contador binario reversible 74LS191 (IC2), un decodificador 74LS154 (IC3) y un latch 741800 (IC4), La velocidad de 1a secuencia la controla el potenciémetro R2, El contador recibe los pulsos provenientes del reloj y direcciona, a su vez, el decodificador. La sa- lida de [atch controla la entrada U/D del contador determina la direceién de conteo. Cuando W/D-6, cl circuito cuenta en forma ascendente y los LED Di a Di6 se iluminan en ese orden. Cuando la cuenta lega a 1111, el farch aplica un 1 ala entrada U/D y se invierte la secuencia, EI mismo efecto se puede lograr con lémparas incandescentes o de otro tipo acoplando las salidas del decodificador a interfaces de potencia adecuadas (ver leccién 8). Observe que no se utilizan las en- tradas de prefijaciGn ni de carga del contador 74LS- 191. En la lecci6n 12 de este curso (paginas 148 y 149) se suministra informacién adicional sobre es- te proyecto. Divisores de frecuencia Una de las aplicaciones mas comunes de los conta- dores es la divisién o escalizacién de frecuencia. La mayorfa de sistemas digitales requieren més de una frecuencia para sincronizar sus operaciones internas pero no es préctico poser un generador separado para cada frecuencia. Normalmente, una sola frecuencia es generada por un oscilador maestro y las frecuencias restantes se obtienen dividiendo adecuadamente esa frecuen- Cia, Se requiere, por tanto, que la frecuencia inicial sea igual o més grande que la maxima frecuencia de- seada en el sistema, Dividiendo una frecuencia por un ntimero N se genera una nueva frecuencia cuyo period es N 336 veces mas largo que el periodo de la frecuencia origina. Esto €5, por cada N pulsos o ciclos de la frecuencia original, ocutre un solo pulso 0 ciclo de la nueva frecuencia. Esta divisin de frecuencia se realiza generalmente utilizando contadores. En la figura 515 se muestra un circuito que uti- liza un contador binario de 12 etapas 4040B para dividir una frecuencia de entrada FIN por 2.6 una po- tencia de 2 menor o igual a 212 (4096). Por ejem- plo, si la sefial de entrada proviene de un oscilador a cristal de 32768 Hz (el tipo mds comtinmente uti- lizado en relojes de pulsera), en la salida Quo (pin 14) se obtendra una sefial de 32768/1024=32 Hz. Divisi6n de frecuencia por N= 2" 40408 (16 pines, 12 etapas) Yop (av-18v) Four a1 (12) 02 (1/4) 93 (1/8) a4 (6) 5 (182) 6 (164) 7 28) 8 (256) a9 (1512) a0 (fr1024) a11 (172048) a2 (114096) Fig. 515 Cuando se desea obtener un factor de divisién de frecuencia entero, distinto de 2N, puede utilizarse un contador con’ salidas decodificadas, como se muestra en la figura 516, Este circuito, en particu- Jar, emplea un contador 74LS193 y un decodifica- dor 74LS154 para proporcionar factores de divi- sin de frecuencia enteros desde 1 hasta 15. El factor de divisién de frecuencia N deseado se selecciona conectando la linea marcada "X" a la sa- lida correspondiente del decodificador. Por ejem- plo, para convertir el circuito en un divisor por 5, conecte la linea X a la salida Qs (pin 6) del 74LS 154, La sefial de salida, de frecuencia f'5, se obtic- ne en cualquiera de las salidas Qo, Qu, Q2, Q3.6 En la figura 517 se muestra una versién del cir- cuito anterior que cuenta N pulsos y luego se detie- ne automticamente. La longitud del conteo se selec- ciona conectando la linea Xa la salida Q correspon- diente. Por ejemplo, para contar hasta 11 pulsos, co necte X a la salida on (pin 13) del decodificador. El conteo se inicia pulsando el botén de reset (S1). ‘Cuando éste finaliza, se ilumina el LED. Un caso interesante de divisién de frecuencia se presenta cuando se desea obtener un factor N que no es entero, En la figura 518 se ilustra un método general para este propésito, El sistema utiliza dos contadores: uno de médulo k y otro de médulo m . El primer contador controla el segundo a través de una compuerta NAND. La frecuencia de salida (FOUT) se relaciona con la frecuencia de entrada (FIN) mediante la siguiente ‘férmula: Four 1 _(k-1) 4 Fin En esta expresion, N es el factor de divisién de frecuencia deseado, k es el médulo o nimero de estados del primer contador y m es el médulo del segundo contador. Por ejemplo, si FIN=3.579545 Miz, m=13 y k=51, se obtiene una sefial de salida de 270 KHz, es decir, el circuito divide la frecuen- cia de la sefial de entrada por 13.26, aproximada- mente. El valor de m siempre se elige igual a la parte entera (13) del factor de division N deseado (13.26, en este caso). Ladivisién de frecuencia se utiliza, entre otras co- sas, para generar miisica electrénica. El sonido que se produce por instrumentos musicales diferentes varia porque cada instramento tiene una frecuencia fundamental y diferentes arménicos. Division de frecuencia por N no entero FOUT:: Frecuencia de salida CERI. Curso prictico de electronica digital 337 Alarma temporizada periédica Una sefial periédica de alarma tiene muchas apli- caciones en la vida diaria, por ejemplo, despertado- res, indicadores de nivel de Iiquidos, ayudas de memoria, indicadores de limite, timbres, etc. El cir- cuito de ia figura 519, basado en el contador bina- rio de 14 etapas 40608, resulta muy adecuado pa- a este propésito, ‘Alarma temporizada periédica La alarma comienza a operar tan pronto el 40 60B recibe un nivel bajo en su entrada de reset (pin 12). Esto sucede cuando la entrada de control (IN) se hace alta. El circuito cuenta los pulsos ge- nerados Ep el oscilador interno durante ~30 se- gundos. Al cabo de este tiempo, la salida Quo 15) se hace alta y la sefial nible en la Q4 (pin 7) se transfiere ala base del transistor Tr]. Este tiltimo conectaré y desconectard ritmica- mente el zumbador BZ a una frecuencia de =1 Hz durante 4 ‘Al cabo de este tiempo, la sa- lida Q7 (pin 6) del 4060B se hace alta, provo- ‘cando que la entrada de reset (pin 12) de este ultimo reciba un alto y todas sus salidas se hagan bajas (0's). Si la enirada de control (IN) esté ain en bajo, el proceso se repite. De lo contrario, la alar- ‘ma permanece en reposo, Conexién en cascada de contadores 7ALS193 Las salidas CARRY y BORROW del 74LS193 son muy titles cuando se conectan dos 0 més de estas unidades en cascada, Los pulsos suminis- trados por estas lineas actian, respectivamente, como sefiales de reloj de las entradas CLK UP y CLK DOWN de la etapa siguiente. En la figura 520 se ilustra este concepto para el caso de un contador up! down de 8 bits construido con dos circuitos integra- dos 74LS193, 338 Contadores 74LS193 en cascada Q8a7Q605 asasazar +8v 99° ¢ ann. Entrada Pi_Pa: Entradas de prefiiacién CLK Down ee 1.08: Salidas Fig. 529} Contador de médulo variable con 74LS193 La caracteristica de prefijacién asincrénica per- mite utilizar directamente el'74LS193 como conta- dor de médulo variable, programando 1a longitud de Ia cuenta con e! dato situado en las entradas de Prefijacion y sin tener que recurrir a circuiterfa 16- gica adicional. En la figura 521 se ilustra este con- cepto para el caso de un contador MOD-11 descen- dente obtenido con un 74.8193. Contador MOD-11 con _74LS193 Observe que la salida BORROW esté conectada a Jn entrada LOAD y que el estado de ls entradas de prefijacién es PaP3P2P1=1011 (11). Cada vez que Ja cuenta Hega a 0000, 1a salida BORROW se hace baja, activa la linea LOAD y las salidas del contador se cargan con el dato de entrada. Por tanto, el cir- cuito cuenta ciclicamente entre 1011 y 0000. Por cada 11 pulsos de reloj se produce uno de borrow. RPETU Tn ee ce Construccién del médulo 5: Temporizador programable. Primera parte En esta actividad iniciaremos la construcciGn del médulo 5 de nuestro entrenador digital presentando el diagrama esquemitico, el trazado del circuito im- preso y Ia guia de componentes del mismo (figura ‘A3]) @ instalando en Ia tarjeta de circuito impreso EDM-5 correspondiente las resistencias R1 y R2. El circuito es, esencialmente, un temporizador desarrollado alrededor del popular timer 555 tra- bajando como one-shot 0 monoestable. La teoria general de este tipo de circuitos ya fue explicada en Ia lecciones 14 y 16. El siguiente es un resumen de 1a funcién de cada componente: ICL (Circuito integrado $55). Es el coraz6n del mio. Contiene toda la circuiterfa andloga y 16- 4 WV evs) gica necesaria para la generacién de intervalos preci- sos de tiempo: o , divisores de voltaje, un flip-flop, un transistor de descarga, etc. PL (potenciémetro de 1 MQ), Re (resistencia de 10 KQ) y Cx (condensador de 10 F/16V, removi- ble). tes de te én, Determinan la Guraci6n del pulso de salida una vez recibida la orden de disparo. RI (resistencia de 1 KQ) y Si (pulsador normal- mente abierto). Componentes de disparo. RI man- tiene la entrada del comparador de disparo (TRG, in 2 del $85) normalmentc. inactiva (alta) y SI inicial el ciclo de temporiziacién, C1 (condensador de 0.01 UF), Evita que el tem- izador se dispare erréticamente por pulsos de tuido, No interviene en la determinacién del perfo- do de temporizaci6n pero lo afecta indirectamente. El médulo se alimenta con cualquier tensién entre, 3V y 15V aplicada a los pines #1 (+V) y #2 (GND). El pulso de salida se obtiene en el pin #3 (OUT). Materiales y herramientas necesarios 1 tarjeta de circuito impreso EDM-S. 1 resistencia de 1 KQ, 1/4 W. Ri. L resistencia de 10 KQ, 1/4 W. R2. Herramientas: cautin, soldadura, cortafrios, pinzas. Paso 1. Tome la resistencia de 1 KQ (Ri) € instélela'y suéldela en la tarjeta de circuito impreso EDM-5, como se indica en la figura A32. Identi- fique Ri por el oddigo de colores "café, negro, ro- Jo, dorado” impreso sobre su cuerpo. Al terminar, Corte el alambre sobrante y consérvelo, Paso 2. Tome la resistencia de 10 KO (R2) instdlela y suéldela en la tarjeta de circuito im EDM-5, como se indica en la figura A32. Identi- fique R2 por el cédigo de colores “café, negro, naranja, dorado" impreso sobre su cuerpo. Al ter- minar, corte el alambre sobrante y consérveio. CEKIT. Curso préctico de electronica digital 339 Leccién 25 Contadores BCD + Introduccion + El sistema de codificacién BCD + Cémo opera un contador BCD. Conceptos generales + Contadores BCD integrados. + CIRCUITOS DE APLICACION + Actividad préctica N® 22 Introduccién Aunque, como hemos visto, los contadores bina- rios son extremadamente titiles en la practica, exis- ten muchas situaciones donde es deseable contar utilizando una representacién decimal. La razén es muy sencilla: los seres humanos utilizamos el sis- tema de numeracién decimal para contar y nos senti- mos més cémodos con este sistema que con el binario, Debido a que los sistemas digitales utilizan inter- namente el sistema binario para representar cantida- des pero el hombre prefiere utilizar el sistema decimal para leer y escribir informacién, se han desarrollado varios c6digos binarios especiales para facilitar esta comunicacién hombre-méquina. El mis popular de estos cédigos es el BCD. Los contadores BCD, como su nombre lo in- dica, cuentan pulsos en BCD (Binary-Coded-Deci- mal: decimal codificado en binario), un cédigo bina- rio en el cual los digitos decimales del 0 al 9 se re- presentan mediante patrones binarios de 4 bits. Los contadores BCD se utilizan siempre que deben vi- sualizarse 0 manejarse datos en forma decimal. Los contadores BCD que estudiaremos en esta leceién son, en realidad, contadores binarios de 4 bits en los cuales la secuencia natural de conteo se ha alterado, mediante compuertas l6gicas, para pro- orcionar tinicamente diez estados, desde 0000 (0) asta 1001 (9). Cuando la cuenta llega a 9, retorna automdticamente a 0 en el siguiente pulso. En otras, palabras, son contadores de médulo 10. Los contadores BCD se rigen bajo la misma teo- ria general explicada para los contadores binarios. Existen contadores BCD sincrénicos y asincrén cos, ascendentes y descendentes, lineales y reali- mentados, prefijables, conectables en cascada, etc., y sus salidas se pueden decodificar fécilmente para proporcionar una lectura decimal directa, En esta lecci6n estudiaremos inicialmente el sis- tema de codificacién BCD para formalizar concep- 340 tos, analizaremos como opera un contador BCD en términos generales y describiremos varios conta- dores de. est tipo disponibles como cireuitos inte grados TTL y CMOS. Para finalizar, presentaremos varios circuitos tipicos de aplicacién. En la préxima leccién conoceremos algunos con- tadores BCD especiales, incluyendo el popular cir- cuito 4553B (6 MC14553B), utilizado en varios de los proyectos centrales de este curso, y otros igualmente avanzados. Los contadores BCD se de- nominan también contadores de décadas, El sistema de codificacién BCD Estrictamente hablando, el BCD no es un siste- ‘ma numérico como lo son el binario o el decimal (ver leccién 24, paginas 310 y 311). Se trata, mas bien, de un sistema de representacién intermedio que resulta muy conveniente para convertir infor- macién binaria en decimal o viceversa, La tabla de Ja figura 522 resume la equivalencia entre digitos de- cimales y cédigos BCD. Cédigo BCD Como puede verse, el BCD utiliza los diez pri- ‘meros niimeros binarios de 4 bits para representar os nimeros decimales entre 0 y 9. Los cédigos 1010, 1011, 1100, 1101, 1110 y LLL, corres- idientes a los niimeros decimales 10, 11, 12, 13, 4y 15, respectivamente, no se utilizan y son inv: lidos en este sistema. Para representar un nimero mayor de 9 en BCD, cada digito decimal se reemplaza por su c6di- go de 4 bits correspondiente. Consideremos, por ejemplo, el caso del ntimero 4975. El equivalente BCD de esta cifra se forma reemplazando el 4 por 0100, el 9 por 1001, el 7 por OLLI y el 5 por 0101. Por tanto: 4975yp = 0100 1001 O111 1001 cp Tenga presente que el resultado obtenido (0100 1001 0111 1001) no es el equivalente binario del niimero decimal 4975 como tal sino el de cada digito considerado en forma independiente. En con- taste, el equivalente binario de 4975 es 100110 1101111, como usted mismo puede comprobarlo utilizando el método de conversién explicado en la leceién 24. Cémo opera un contador BCD. Conceptos generales En la figura 523 se muestran el circuito légico y el diagrama de temporizacién de un contador BCD asincrdnico realizado con flipflops I-K y dispara- ble por flancos de bajada. Observe que los tres pri- meros flip-flops estan conectados en cascada como en un contador binario estindar. Sin embargo, el ‘iltimo flip-flop tiene su entrada de reloj conectadia a Ia salida Q del primero. Note también que Ja sefial que controla la en- trada J de FF4 la suministra una compuerta NAND, Ia cual monitorea las salidas de los flip-flops FF2 y FF3. Observe, asf mismo, que la salida Q de FF4 se realimenta a la entrada J de FF2. Como resultado de estas interconexiones, el circuito posee tinica- mente 10 estados, en lugar de los 16 de un contador binario. Como se puede observar en el diagrama de tem- porizacién de Ia figura 523(b), el cieuito cuenta ciclicamente entre 6000 (0) y 1001 (9), siguiendo una secuencia normal, Cuando se recibe el décimo pulso, el contador recicla a Oy se repite la misma se- cuencia, Para los 8 primeros estados, desde 0000 hasta O1L1, el circuito opera en form similar al contador binario de la figura 496 (pigina 312). Después del séptimo pulso, el estado de las se lidas DEBA ‘es OLIL y ta entrada J del flipsop FF4 recibe un alto, quedando habilitado para cam- biar de estado (toggle) cuando ocurra el siguiente pulso de reloj. Al finalizar este tiltimo, el nuevo estado de salida es 1000 y la salida Q de FF4 es 0. Este 0 se realimenta a 1a entrada J del flip-flop FF2, evitando que este tiltimo se dispare la proxima vez que reciba un flanco de bajada procedente del jop FF1, Cuando ocurte el noveno pulso, FF1 ‘cambia de Oa 1 y el nuevo estado de las salidas es 1001, la maxima capacidad de comteo. Bajo esta condicién, la entrada J del flip-flop FF4 recibe un bajo, quedando habilitado para pa- sar a 0 (reset) cuando la salida de FF1 cambie de es- tado con el siguiente pulso de reloj. Cuando este tl- timo ocurre, las salidas D y A pasan de 1 a 0 mien- tras las salidas B y C permanecen en 0. Como resul- tado, el contador retoma a su estado original (00 00) y se repite la misma secuencia. Para realizar conteos superiores a 9, deben utili- zarse contadotes BCD en cascada, como se muestra en al figura 524, Este circuito cuenta desde 000 hasta 999. El primer contador leva la cuenta de las unidades, el segundo la de las decenas y el tercero Inde las centenas. Conectando contadores adiciona- les a la cadena se obtienen longitudes de conteo de 9999, 99999, etc. Para leer el contenido del contador de tres décadas asf formado, observe los eddigos BCD de salida de cada bloque. Por ejemplo, si los codigos BCD de salida de los contadores de centenas, de- cenas y unidades son, en su orden; O111, 0001 y 1001, el valor de 1a ‘cuenta almacenada'es O11 0001 1001gcp =71510, es decir, el circuito ha re- gistrado 715 pulsos desde que se inicio el conteo. Contador BCD Entrada CEKIT- Curso pricico de elecrénica digital 341 Contador BOD Del mismo modo como utilizamos contadores bi- narios para division de frecuencia, podemos tam- bign emplear contadores BCD para esa misma apli- cacién, El circuito de la figura 524, por ejemplo, produce factores de divisién de frecuencia de 10, 100 y 1000 en las salida de mifs alto orden (D) de los contadores de unidades, decenas y centenas, res- peetivamente, Contadores BCD integrados Aunque, como hemos visto, es posible cons- truir contadores BCD a partir de compuertas y flip- flops individuales © modificando contadores bina- ios ya existentes, se dispone, afortunadamente, de gran’ variedad de circuitos integrados diseiiados para operar como contadores BCD, dotados, en su mayoria, con caracteristicas especiales como clear, prefijacién, conteo ascendente/descendente, etc. La tabla de la figura 525 compara las caracteris- ticas més notables de algunos contadores BCD TTL y CMOS comunes. En la figura 526 se mues- tran los diagramas funcionales de estos chips . Las Iineas de control estén mareadas con su nivel de ac- tividad. Una burbuja (0) 0 una barra (-) indica que Ja Tinea es activa en bajo. En todos los casos, con excepeién del 4017B, las salidas de conteo son Q4, Q3, Q2 y QI y las en- tradas de prefijacién son P4, P3, P2 y Pi. La carga Contadores BCD TTL y CMOS comunes Roferoncia | N’de | Tipo |Modode | Reset | Modo | Habili- |Conteo| Expan- Fre- pines. disparo carga | tacion dible | cuencia Notas o 2) o@ | oe] @ (4) ® @) 40178 16 | $ t AH No Sil Up si 5.0 40298 % | 8 ‘ No ak | sit | uB | si 9 401608 6 5 ‘ at | sit | sik | up si 14 74€160 18 s ‘ A st | sid | Up si as 401628 16 5 ‘ sc | st | sid | up si 14 74ci62 16 e |. | gc | st | sid | Up si as 74162 18 8 ‘ st | st | sin | up si 2% ‘74LS162 fe eed SL SL SiH Up si 25 401928 w | s |) AH | OAL No uD Si 125 7aci92 18 8 ' AH | AL | No vo | si 10 74192 18 s { AW | AL | No wo | si 30 ‘7ALS192 16 s t AH AL No. ud Si 30, 45108 18 s | 4 ad | SH | sic | ud | si 40 45108 we) os | 44 AH | No SiH | Up si 8 7490 “| oA ' AH | No Ne Up si 32 7ac80 “4 A ' AH | No No Up si 5 74LS90 “4 A ' AH | No No Up si 32 7418290 4 A ‘ AH | No No Up si 2 74LS390 18 A ' AH | No No Up si 20 7418190 8 s t N au | sit | bo] si 20 NoTAS: (1), AeAsinorénica(e); S=Siner6nico(a) {@). }e=Porllancos Ge subs: Par ancos de bajada. {@). L+ Activa) en bajo (0): He activo(a) en alto 1) @), Up-Ascendenta: UD=Ascondentoidosconderte {G), Con salidas de expansién que pormiten la conexién en cascada con uridades similares. (6): Maxima frecuencia de operacion en MHz (valores tpica). oe 342 Contadores BCD, TTL y CMOS comunes. Diagramas funcionales 40178 40208 ©) 40160B-40162B - 740160 3-48V 740162 - 74162-74L5162 Sanyin, Bb ud uk LOAD Pt 2 P3 Pa ) 401928-740192 ‘74102-74LS102 ©) 45108 #) 7aLs190 BV6315V Lap, +38V Loan; sV6315V LOAD Pt Pa Sas Pi P2 P3 Pa cLKUP Lk DOWN’ MAXIMIN Ripple CLK Fig. 526 CEKIT. Curso préctico de elec nica digital 343 . puede ser sincrSnica o asinerénica y se habilita apli- Cando el nivel 16gico adecuado a Ta entrada LOAD del contador. Qi y P4 corresponden al bit mds signi- ficativo y Qi y Pi al menos significativo. El 4017B suministra la cuenta BCD de los pulsos de entrada en 10 salidas decodificadas acti- vas altas, desde QO hasta Q9, cada una asociada a un estado particular de la cuenta. Por ejemplo, para el tercer pulso, se activa la linea Q3 (pin 7), para el sexto la Salida’ Q6, ete. Los contadores con esta ca- racteristica se denominan Johnson o de anillo y se estudian en detalle en la leccién 26. En este mismo chip, el conteo de los pulsos se inhibe cuando se aplica’ un alto al pin 13 (E). Un alto en el pin 15 (RESET) cancela la cuenta en curso y,activa la salida Qo (pin 3). La salida CARRY (pin 12) realiza un ciclo completo por cada 10 ciclos de la sefial de entrada, El 4029B opera como contador binario cuando la entrada B/D (pin 9) es alta y BCD cuando es baja. El conteo se realiza en sentido ascendente cuando Ia entrada U/D (pin 10) es alta y descen- dente cuando es baja. La salida CARRY (pin 7) su- ministra un pulso negativo cuando se aleanza la cuenta maxima (1001) en un conteo ascendente 0 minima (0000) en un conteo descendente. El con- teo se inhibe euando la entrada CARRY (pin 5) es alta. Las lineas CARRY IN y CARRY OUT del 45 10B operan de forma andloga. En cl 40160B y si- milares (figura 526-c), la salida CARRY (pin 15) su- mist vn polio, posiivo cuando se aleanza la cuenta méxima (1001). El conteo se inhibe cuando cualquiera de las entradas PE (pin 7) 0 TE (pin 10), ‘oambas, es baja (0). En el 40192B y similares (Ggura 526-4), existen dos entradas dé reloj independientes, una pa- ra cada modo de conteo. Especiticamente, CLK UP (pin 5) se utiliza para conteo ascendente y CLK DOWN (pin 4) para conteo descendente. La entrada no utilizada debe mantenerse alta. En estos mismos chips, se produce un pulso negativo de sobreflujo en la’ salida CARRY (pin 12) cuando se alcanza la cuenta maxima (1001) en un conteo_ascendente o de bajo flujo en la salida BORROW (pin 13) cuando se alcanza la cuenta mi rnima (0000) en un conteo descendente, El 4518B contiene dos contadores BCD inde- pendientes en una misma cépsula, Las entradas CLK (pin 1) y E (pin 2) son intercambiables. Cuan- do E=1, CLK acta como entrada de reloj y el dis- positive responde a flancos de subida, “Cuando CLK=0, E actia como entrada de reloj y el dispo- 344 sitivo responde a flancos de bajada, Bajo cualquier otra circunstancia, se inhibe el conteo. El 74LS90 y similares (figura 526-g) son con- tadores biquinarios. Esto significa que pueden ope- rar como divisores por 2, 5.6 10. En la figura $27 se muestra el circuito l6gico de este chip. Para utili 2arlo como contador BCD 0 divisor por 108 sa- lida QA (pin 12) debe conectarse a la entrada B (pin 1), Los pulsos se aplican a la entrada A (pin 14) Circuito integrado 74LS90 rar) R92) 7 ao a En este mismo contador, las salidas se iniciali- zan en 0000 (clear) cuando las entradas ROI (pin 2) y Ro2 (pin 3) son ambas altas y cualquiera de las entradas R91 (pin 6) 6 R92 (pin’7) es baja y se inicializan en 1001 (prefijacién a 9) cuando R91 y R92 son ambas altas, sin importar el estado de las entradas Rot y R02. Bajo cualquier otra circunstan- cia, el circuito cuenta en forma normal, El 741.8290 es funcionalmente idéntico al 74 1.890 pero riene una disibueién de pines die: rente. El 74L8390 es la versiGn dual del 74LS 90, es decir, contiene dos contadores BCD indepen- dientes en una misma cépsula, El 74L$190 opera

También podría gustarte