Está en la página 1de 3

APLICACION DE LO APRENDIDO 4 PUNTOS

Empleando mquinas de estado, realice el diseo de un


contador ascendente/ descendente de 8 bits controlable
mediante un bit para el cual en 0 la cuenta es descendente
y en 1 ascendentemente. Incluya una salida de fin de
cuenta y una entrada de RESET.

Cdigo:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.NUMERIC_STD.all;
entity COUN_8 is
port(CLK,LOAD,UD,RESET: IN STD_LOGIC;
DATOS: IN STD_LOGIC_VECTOR(7 DOWNTO 0);
CONTA: OUT STD_LOGIC_VECTOR(7 DOWNTO
0)
);
end COUN_8;

architecture Behavioral of contador_8bits is


SIGNAL CONT: STD_LOGIC_VECTOR(7 DOWNTO
0);
BEGIN
cuenta: PROCESS(RESET,CLK,LOAD,UD)
begin
if RESET ='1' then
CONT<= "00000000";
elsif CLK = '1' and CLK'event then
if LOAD = '1' then
CONT<=DATOS;
elsif UD = '1' then
CONT<=CONT+1;
else
CONT<=CONT-1;
end if;

end if;
end process;

CONTA <= CONT;


end Behavioral;

Explicacin del cdigo:


el parmetro signal define una seal
en la parte de proceso se ponen las variables que
indican el proceso de cuenta, se pone un condicional.
Si la variable del reset es 1 entonces se le indicadora
un valor a cont, como indica el codigo.

Bloque obtenido
Verificacin de sintaxis del cdigo:

Diagramas de tiempo:
contador descendente:

Contador ascendente

También podría gustarte