Está en la página 1de 18

Experiencia N 5: Circuitos contadores

I. Objetivo
Verificar experimentalmente la operacin de un reloj.
Verificar experimentalmente la operacin de un divisor de frecuencia.
Verificar experimentalmente la operacin de un contador.
Comprobar el funcionamiento de circuitos aplicativos empleando
contadores.

II. Temas a tratar


Circuitos antirebote.
Temporizador astable con Timer 555.
Divisores de frecuencia.
Contadores

III. Marco terico

3.1 CIRCUITO ANTIREBOTE


Al implementar un conmutador con el propsito de alimentar un
circuito, ya sea con un nivel bajo "0 V." o un nivel alto "5 V. Es muy
difcil lograr que esta seal de entrada sea perfecta. Esto debido a que
el conmutador es un elemento mecnico, que a la hora de cerrar
produce rebotes.
Estos rebotes seran similares a los de una pelota que se deja caer y al
final se detiene. En un conmutador este fenmeno no es evidente pero
si ocurre.

Esta situacin podra ser no deseable para el circuito que recibe la


seal. Con el siguiente circuito se elimina el problema. La seal se
aplica a la entrada A y la salida se obtiene en la salida Q. Si se aplica la
seal a B la salida estar en Q.

1
3.2 CIRCUITOS DE RELOJ CON 555
El temporizador 555 se puede conectar para que funcione de diferentes
maneras, entre las ms importantes estn: como multivibrador astable
y como multivibrador monoestable. Puede tambin configurarse para
por ejemplo generar formas de onda tipo rampa.
Multivibrador Astable.
El funcionamiento de este circuito se caracteriza por una salida con
forma de onda cuadrada (o rectangular) continua de ancho predefinido
por el diseador del circuito.

La seal de salida tiene un nivel alto por un tiempo t1 y un nivel bajo


por un tiempo t2. La duracin de estos tiempos depende de los valores
de R1, R2 y C, segn las frmulas siguientes:

2
La frecuencia y periodo con que la seal de salida oscila est dada por
la frmula:

3.3 CIRCUITO CONTADOR


Un contador es un circuito secuencial de aplicacin general, cuyas salidas
representan en un determinado cdigo el nmero de pulsos que se meten a
la entrada. Estn constituidos por una serie de biestables conectados entre
s de modo que las salidas de estos cambian de estado cuando se aplican
impulso a la entrada.
La capacidad de un contador es el nmero ms elevado, expresado en
cualquiera de los cdigos binarios, que puede ser representado en sus
salidas. El modulo, o nmero de estados totales que puede representar el
contador, es igual al nmero mximo de impulsos que se puede representar
ms uno (el cero). Si "n" es el nmero de flip-flops empleado para hacer el
contador, y "M" el mdulo del contador, se debe verificar:
Cuando el contador llega al valor mximo de su capacidad, comienza a
contar de nuevo desde cero al aplicarle el siguiente impulso.

I. Materiales y/o equipos a utilizar


Fuentes de Alimentacin
Multmetro
Circuitos integrados
1 CI NE555.
2 CI 7490 Contador decadas
2 CI 74193 Contador universal.
2 CI 7473 7476
2 CI 7448 Decod. 7 segmentos.
2 Display de siete segmentos CC.
Protoboard.
Cables de conexin
Mdulo de entradas digitales
Mdulo de salidas digitales

II. Actividades

CIRCUITO ANTIREBOTE.

3
1. Implemente el siguiente circuito.

2. Compruebe su funcionamiento y antelo en una tabla

A B Q
0 1 1
1 0 0

DIVSIORES DE FRECUENCIA.

3. Implemente el circuito divisor de frecuencia por 2 (tem 3 del cuestionario


previo)

4
Estados de salida x2, x4, x8

4. Conecte a la entrada del divisor la salida del circuito antirebote y a la


salida del divisor un LED. A partir de la observacin de la entrada y la salida
del circuito explique su funcionamiento.
5. Implemente el divisor por 4 y 8 y repite el punto 4 en cada caso.

MULTIVIBRADOR ASTABLE.

6. Implemente el circuito multivibrador astable conectando la salida a un


indicador LED

7. Ajuste el valor de las resistencias Ra y Rb y C1 para obtener en la salida


una seal de una frecuencia de 8Hz, 4Hz y 1Hz para un ciclo de servicio de
50%.

RA RB C1
8 Hz 1K 0.8 K 100
f
4 Hz 1K 2.6 K 100

5
f
1 Hz 1K 13.4 K 100
f

8. Explique cmo comprueba la frecuencia de la salida del circuito.

La frecuencia en el timer 555 viene dada por la siguiente relacin:

Con lo que se ve que la frecuencia depender de los componentes con los


que este se arme.
9. Reemplace el pulsador antirebote por el circuito de reloj de 8Hz.y
aplquelo a los divisores de frecuencia por 2, 4 y 8.

10. Explique el funcionamiento de cada uno de los circuitos.


El divisor de frecuencia, son flip- flops JK en cascada, es decir la salida del
primero vendr a ser el clock del segundo. Esto har que la frecuencia del
clock inicial se vaya reduciendo, primero por la mitad, despus de nuevo
por la mitad y as sucesivamente. Esto se debe a que como el flip- flop solo
hace caso, a flancos de bajada, en el primer flanco de bajada de la entrada,
recin iniciara un ciclo el segundo, y al recibir de nuevo este flanco de
bajada del primero, es decir cuando este est terminando un segundo ciclo,
el segundo recin terminara el primero, este a su vez har lo mismo con un
tercero y este con un cuarto, para poder obtener divisin de frecuencia por
2, 4 ,8 ,16 .., cuanto se necesario.

CIRCUITOS CONTADORES.
11. Dibuje e implemente un circuito contador mdulo 10, de modo que su
cuenta se visualice en un display de 7 segmentos.

U21:A
U18(VCC) 2
3
1

U18 7408
8

4 3 R3 U20 U19
VCC

R Q
1k 15 3 7 13
D0 Q0 A QA
7 1 2 1 12
DC D1 Q1 B QB
10 6 2 11
D2 Q2 C QC
5 9 7 6 10
CV D3 Q3 D QD
4 9
BI/RBO QE
C3 5
UP TCU
12 5
RBI QF
15
10n R4 4
DN TCD
13 3
LT QG
14
GND

2 6 0.0001 11
TR TH PL
14 7448
MR
1

555 74193 U19(LT)

C4
100n

6
12. Aplique como circuito de reloj la seal del 555 a una frecuencia de 1Hz.
13. Explique el funcionamiento del circuito anterior.
Con el timer 55 daremos el pulso de reloj que har que nuestro contador
funcione. Este contador de por si es un contador de mdulo 16, pero lo
modificaremos para que sea de mdulo 10, para tal, usaremos una puerta
lgica AND, con los cuales se reiniciara la cuenta, una vez que este llegue a
10.
14. A partir del CI74193, disee e implemente un contador mdulo 16, de
modo que se visualice en un display de 7 segmentos.

Para esto simplemente coenctamos las salidas del 74193 a un codificador


para despus mostrarlos en un display.

15. Aplique como circuito de reloj la seal del 555 a una frecuencia de 1Hz.

16. Compruebe y explique el funcionamiento del circuito a partir de un


oscilograma.
17. Cambie a un contador descendente. (Verifique la salida Borrow)
18. Modifique el circuito para que cuente de 6 a 10 ascendentes
19. Explique cmo funciona la entrada Load.

La entrada load, nos sirve para cargar un valor a nuestra contador y as dar
un valor de inicio a la cuenta. Nuestra compuerta load es inversa, por lo
tanto cada vez que tenga 0 en su puerta, se cargara el valor introducido
ABCD
20. Modifique el mdulo del contador para que sea de mdulo 6.

7
U21:A
2
3
1

7408

U20 U19
15 3 7 13
D0 Q0 A QA
1 2 1 12
D1 Q1 B QB
10 6 2 11
D2 Q2 C QC
9 7 6 10
D3 Q3 D QD
4 9
BI/RBO QE
5 12 5 15
UP TCU RBI QF
4 13 3 14
DN TCD LT QG
11
PL
14 7448
MR
74193 U19(LT)

Como se observa, el contador se reiniciara una vez que este llegue al

nmero 6, es decir en A BC D

, con una puerta AND, se reiniciara la
cuenta.
21. Implemente y compruebe el funcionamiento del circuito del punto 5 del
cuestionario previo.
Esta vez utilizaremos el 7490 que tiene una estructura como se ve a
continuacin:

Con esta configuracin es ms fcil elaborar un contador, para que sea de 5


minutos utilizaremos 3 mdulos, los cuales deberemos revisar sus
condiciones:

Numero 10: 1001


Numero 6: 0110
Numero 5: 0101
Una vez que se tiene estas condiciones ya es fcil conectarlos, la condicin
que reinicie el mismo integrado, a su vez servir de clock para el siguiente,
as cada vez que el primero llegue a 9, el segundo subir a 1, y cuando
ambos lleguen a 59, el minutero incrementara en 1. Una vez que se alcance
4:59, los tres integrados se reiniciaran en 0:00, para volver a iniciar

8
Se utiliz un NAND para que sirva como clock al siguiente integrado.
Circuito final con 3 integrados, timer 555 y los tres displays.

22. Implemente y compruebe el funcionamiento del circuito del punto 4 del


cuestionario previo.

9
U5(VCC)

U1 U3
14 12 7 13
U5 R1 1
CKA QA
9 1
A QA
12

8
CKB QB B QB
1k 8 2 11
QC C QC
4 3 11 6 10

VCC
R Q QD D QD
2 4 9
R0(1) BI/RBO QE
7 3 5 15
DC R0(2) RBI QF
3 14
LT QG
5 7493
CV
7448
U3(LT)
R2
GND

2 6 500
TR TH
C1
10n
1

555
U6:A
C2 2
100n 3
1

74S00
U2 U8
14 12 7 13
CKA QA A QA
1 9 1 12
CKB QB B QB
8 2 11
QC C QC
11 6 10
QD D QD
2 4 9
R0(1) BI/RBO QE
3 5 15
R0(2) RBI QF
3 14
LT QG
7493
7448
U8(LT)

U6:B
5
6
4
D1 D2
74S00

LED-BIBY LED-BIBY
U7 U4
14 12 7 13
CKA QA A QA
1 9 1 12
CKB QB B QB
8 2 11
QC C QC
11 6 10
QD D QD
2 4 9
R0(1) BI/RBO QE
3 5 15
R0(2) RBI QF
3 14
LT QG
7493
7448
U4(LT)

Para elaborar el semforo se utilizar un contador de mdulo 8, que vaya


del 0 al 7, con 3 flip-flops que funcionaran sncronamente, es decir con el
mismo reloj. Como se necesita un semforo de dos vas, el foco rojo del
primero, estar prendido al mismo tiempo que el verde del otro, es decir
simplemente invertimos la conexin, y para solucionar problemas de
tensin usaremos un conector lgico AND que energice de manera directa
al segundo foco.

Ahora simplemente jugaremos con las salidas y armaremos nuestra tabla


de verdad que es como sigue:

Q0 Q1
Roj Amarill Verd Q2
o o e
0 0 0 1 0 0
0 0 1 1 0 0
0 1 0 1 0 0
0 1 1 0 1 0
1 0 0 0 0 1
1 0 1 0 0 1
1 1 0 0 0 1
1 1 1 0 0 1
Una vez que se tiene la tabla de verdad, mediante mapas de Karnaugh,
hallaremos la funcin lgica para cada uno.

Mapas de Karnaugh

10
Rojo Amarillo

Q0/Q1 0 0 1 1 Q0/Q1 0 0 1 1
Q2 0 1 1 0 Q2 0 1 1 0
0 1 1 0 0 0 0 0 0 0
1 1 0 0 0 1 0 1 0 0

Rojo= Q 2 Q 1 + Q 2 Q 0 Amarillo=Q 2 Q1 Q0

Rojo= Q 2( Q 1+ Q 0 )

11
Verde

Q0/Q1 0 0 1 1
Q2 0 1 1 0
0 0 0 1 1
1 0 0 1 1

Verde=Q2

IV. Cuestionario final

1.- Cmo se ampla el mdulo de un contador?

El mdulo de un contador viene dado por la cantidad de contadores 74ls193


ya que estos son capaces de darnos de manera binaria los cdigos para 4
bits llegando de 0 a 16, para ampliar se necesita realizar lo que se
denomina contadores de etapas mltiples para esta operacin el Mster
Reset del primer contador se enva al MR del siguiente como pulso de reloj
esto hace que se pueda ampliar el rango de nmero que podemos contar.
U8
7 13
A QA
1 12
B QB
2 11
C QC
6 10
D QD
4 9
BI/RBO QE
5 15
RBI QF
3 14
LT QG
7448
U1
2

U8(LT)
U2:A 15
D0 Q0
3
U1(UP) 7408 1 2
D1 Q1
10 6
D2 Q2
9 7
D3 Q3
5 12
UP TCU
4 13
DN TCD
3

11
PL
14
MR
74193

U3 U4
15 3 7 13
D0 Q0 A QA
1 2 1 12
D1 Q1 B QB
10 6 2 11
D2 Q2 C QC
9 7 6 10
D3 Q3 D QD
4 9
BI/RBO QE
5 12 5 15
UP TCU RBI QF
4 13 3 14
DN TCD LT QG
11
PL
14 7448 U4(LT)
MR
74193

U2:B
5
6
4

7408

2.- Que es un circuito de reloj en tiempo real y que ventajas


ofrece. ?

El circuito de reloj es el conjunto de ciertos componentes tales como un 555


resistencias y capacitores que segn las diferentes conexiones logran dar
una seal en alto y otra en baja lo que usamos para activar nuestros
circuitos contadores, flip flop, etc. Las ventajas que ofrecen son que
obtenemos pulsos a la frecuencia que nosotros necesitemos mediante la
siguiente frmula:
U5(VCC)

U5

8
4 3 R1

VCC
R Q
1k
7
DC
5
CV

R2

GND
2 6 5M
TR TH

C1

1
555
10n

C2
100n

3. Explique un circuito integrado contador que ofrezca mejores


prestaciones que un CI74193.

El CI 74HC193 es un contador reversible sncrono de 4 bits preinicializable


como lo muestra la hoja de datos.

Tiene 2 entradas de reloj (CPU y CPD), que se activan en la transicin del


nivel BAJO al ALTO del pulso de reloj, la entrada CPU es para la cuenta
ascendente (UP) y la entrada CPD es para la cuenta descendente (D), por lo
que dependiendo si el contador que se necesite se conecta al nivel alto o
+5V.

Los modos de operacin del contador CMOS 74HC193 se muestran en la


tabla de verdad 5. El modo de reset borra asncronamente las salidas (Q0 a
Q3) al binario 0000 activndose en ALTO el cual puede ser un pulso de corta
duracin.

Las entradas de carga de datos en paralelo (D0 a D3) se utilizan para


programar un nmero en binario desde donde se quiere que empiece a
contar de nuevo al activar la entrada de carga en paralelo (P)) con un nivel
BAJO y los datos son transferidos asncronamente a las salidas (Q0 a Q3).
Las salidas de arrastre T5 y T generan un pulso negativo, para la
conexin en cascada de contadores, ya sea en forma ascendente o en
forma descendente la cuenta de estos.

El contador 74HC193 viene en un DIP de 16 patillas y opera con una tensin


de alimentacin de +5V DC.
CONTADOR BINARIO DE 4 BITS TTL 7493.

El contador 7493 utilizan 4 flip-flops JK en modo de conmutacin, con


entradas de reloj P0 y P1 en donde P1 es la entrada de reloj del
segundo flip-flop por lo que para formar un contador de 4 bits mod-16 hay
que conectar la salida del primer flip-flop de manera externa (puente) con la
entrada P1, quedando P0 como la entrada de reloj del contador.

Tambin tiene dos entradas de reset (MR1 y MR2) las cuales no se deben
dejar desconectadas (flotando) porque, como estas se activan en ALTA, al
estar flotando toman un nivel ALTO lo que mantendra en reset al contador.

CONTADOR CMOS 74HC393

El CI 74HC393 es un doble contador binario de 4 bits. Esta construido a base


del flip-flop T. Las entradas de reloj (1P y 2P) son activadas por flanco
posterior, o sea, en la transicion de ALTO a BAJO del pulso de reloj.

Las entradas de reset (1MR y 2 MR) del maestro en el contador se activan


en nivel ALTO, las salidas se etiquetan desde Q0 a Q3, siendo Q0 el LSB y,
Q3 el MSB del numero binario de 4 bits. Requiere una fuente de
alimentacion de 5V DC y viene en un CI DIP de14 patillas.
4. Explique dos aplicaciones industriales que empleen los
integrados utilizados.

La aplicacin elemental del circuito integrado 74ls193 es de contador


universal ya sea en la industria o en pequeos circuitos este sirve para
cambiar el estado siguiente en 1, un ejemplo es en un reloj est conectado
respectivamente con compuertas lgicas que reseteen en cierto momento y
activando otro circuito en serie pueden mostrar diferentes cuentas como la
de un reloj digital, tambin se usa en contadores de piezas en una faja
transportadora por ejemplo mediante un sensor que nos indique la posicin
de una determinada carga esta puede funcionar con el contador y as ir
sumando cuantos elementos pasan por esta, tambin podemos aplicar para
ver y contar cuantas veces se a repetido un proceso cualquiera, o
simplemente en una funcin de iteraciones indicarnos cuantas veces se
est realizando el proceso.

5. Cules son los lmites de frecuencia con los que pueden trabajar
los contadores o divisores de frecuencia?

La frecuencia mxima en la cual estos componentes trabajan estn


indicadas en los data sheet pero este valor es cercano a 40 MHz Typical
Count Frequency que es el valor tpico de funcionamiento para la
frecuencia.

Esta frecuencia de trabajo nos indica la velocidad con la cual estos


componentes pueden trabajar y como es muy alta el ahorro de energa en
el momento de realizar un proceso es bastante significativa y cabe la pena
utilizar y nombrar esta propiedad de estos componentes electrnicos como
a la vez minimizar la cantidad de energa necesaria para realizar un trabajo.
V. Conclusiones

Se observ que el circuito, al no usar un timer, y solo usar pulsos, se


genera un problema, que vienen a ser los rebotes, que para nosotros
son imperceptibles, pero los Flip flops los detectan, dando as un
cambio indeseado para nosotros. Nuestro anti rebote consta de un
latch que almacenara tan solo un estado evitando cualquier tipo de
rebote.

Los divisores de frecuencia son tiles para frecuencias muy altas las
cuales, en algunos casos sern necesarios dividir para poder
codificarlas. Esta relacin viene dada por 2n y n depende del nmero
de flip flops se utilice.

Los Flip flops son de gran utilidad si es que se usan correctamente.


Los ms usados son los de tipo JK, que son combinaciones de los
anteriores. En base a este y conectndolos de la manera correcta se
pueden obtener divisores de frecuencia, registros, contadores, etc.

Se recomienda revisar bien los datos de los Integrados al momento


de utilizarlos, ya que muchos de los problemas de mal
funcionamiento se debe a una mala conexin en este.

El timer es de gran utilidad debido a que este nos enviara los pulsos
necesarios para cambiar nuestros estados del contador
constantemente, una vez implementado este, se puede jugar con las
salidas para hacer ms circuitos secuenciales. Como el semforo o un
reloj.

El contador es de gran ayuda, ya que reduce circuitos y de por si es


un contador de mdulo 16, tan solo hace falta ver donde lo
reseteamos o si utilizamos todos sus valores, este tambin pueden ir
tanto ascendentemente como descendentemente, y en ambos casos
nos indica cuando llegue al 15 con un carry o cuando llego a 0 con
borrow.

A parte se puede iniciar la cuenta del valor que nosotros queramos y


hacerlo contar hasta el que nosotros deseemos, usando
correctamente las puertas lgicas.

VI. Bibliografa
http://html.rincondelvago.com/contadores-ascendentes.html
http://es.scribd.com/doc/7514882/Contador-Binario-de-4-y-8bits
http://www.todoexpertos.com/categorias/ciencias-e-
ingenieria/ingenieria-electronica/respuestas/914593/contador-74193
http://es.wikipedia.org/wiki/Circuito_integrado_555
http://www.monografias.com/trabajos/soredes/soredes.shtml

También podría gustarte