Está en la página 1de 127

Tema 2 - Circuitos combinacionales

Eduardo Rodr guez Mart nez Departamento de Electr onica Divisi on de Ciencias B asicas e Ingenier a Universidad Aut onoma Metropolitana Unidad Azcapotzalco

Email: erm@correo.azc.uam.mx Ocina: Laboratorio de Sistemas Neurodifusos (G313)

1121037 Dise no L ogico

page 1

Tema 2 - Circuitos combinacionales - (9.0 hrs)


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

1. Principios de l ogica combinacional 1.1 Compuertas b asicas y derivadas. 1.2 Tablas de verdad y smbolog a. 2. Fundamentos de algebra de Boole. 2.1 2.2 2.3 2.4 3.1 3.2 3.3 3.4 3.5 Funciones booleanas. Postulados, teoremas y propiedades. Funciones estandarizadas. Funciones can onicas. Algebra de boole. Mapas de Karnaugh. Compuertas universales. Diagramas l ogicos. Dise no de circuitos sencillos a partir de la descripci on de su comportamiento deseado.

3. Simplicaci on de funciones.

1121037 Dise no L ogico

page 2

Tema 2 - Circuitos combinacionales - (9.0 hrs)


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

1. Principios de l ogica combinacional 1.1 Compuertas b asicas y derivadas. 1.2 Tablas de verdad y smbolog a. 2. Fundamentos de algebra de Boole. 2.1 2.2 2.3 2.4 3.1 3.2 3.3 3.4 3.5 Funciones booleanas. Postulados, teoremas y propiedades. Funciones estandarizadas. Funciones can onicas. Algebra de boole. Mapas de Karnaugh. Compuertas universales. Diagramas l ogicos. Dise no de circuitos sencillos a partir de la descripci on de su comportamiento deseado.

3. Simplicaci on de funciones.

1121037 Dise no L ogico

page 2

Tema 2 - Circuitos combinacionales - (9.0 hrs)


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

1. Principios de l ogica combinacional 1.1 Compuertas b asicas y derivadas. 1.2 Tablas de verdad y smbolog a. 2. Fundamentos de algebra de Boole. 2.1 2.2 2.3 2.4 3.1 3.2 3.3 3.4 3.5 Funciones booleanas. Postulados, teoremas y propiedades. Funciones estandarizadas. Funciones can onicas. Algebra de boole. Mapas de Karnaugh. Compuertas universales. Diagramas l ogicos. Dise no de circuitos sencillos a partir de la descripci on de su comportamiento deseado.

3. Simplicaci on de funciones.

1121037 Dise no L ogico

page 2

Principios de l ogica combinacional


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Los sistemas digitales manipulan informaci on binaria. Para el dise no de sistemas digitales es necesario un marco matem atico adecuado. El algebra booleana provee dicho marco al permitirnos especicar relaciones entre variables booleanas mediante operadores b asicos (i.e. NOT, AND, OR). La relaci on entre las entradas y salidas de un sistema digital pueden ser modeladas como una o varias funciones l ogicas.

Tema 2 Circuitos combinacionales

page 3

Principios de l ogica combinacional


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Compuerta AND Tabla x1 0 0 1 1 de verdad x2 L 0 0 1 0 0 0 1 1

S mbolo

x1 x2 x1 x2 x1 x2

Tema 2 Circuitos combinacionales

page 4

Principios de l ogica combinacional


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Compuerta OR Tabla x1 0 0 1 1 de verdad x2 L 0 0 1 1 0 1 1 1

S mbolo

x1 + x2 x1 x2

Tema 2 Circuitos combinacionales

page 5

Principios de l ogica combinacional


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Compuerta NOT Tabla de verdad x L 0 1 1 0

S mbolo

x !x x x N OT (x)

Tema 2 Circuitos combinacionales

page 6

Principios de l ogica combinacional


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Tema 2 Circuitos combinacionales

page 7

Principios de l ogica combinacional


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1

L 0 0 0 1 0 1 0 1

Tema 2 Circuitos combinacionales

page 7

Principios de l ogica combinacional


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1

L 0 0 0 1 0 1 0 1

L ( x1 , x2 , x3 ) = ( x1 + x2 ) x3

Tema 2 Circuitos combinacionales

page 7

Principios de l ogica combinacional


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1

L 0 0 0 1 0 1 0 1

L ( x1 , x2 , x3 ) = ( x1 + x2 ) x3 Funci on booleana

Tema 2 Circuitos combinacionales

page 7

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

George Boole. Nacido el 2 de Noviembre de 1815 en Lincoln, Lincolnshire, Inglaterra. Matem atico y l osofo que sent o las bases de lo que ahora se conoce como l ogica booleana mediante su tratado Las Leyes del Pensamiento. ... ning un m etodo gen erico para la resoluci on de preguntas en la teor a de probabilidades puede establecerse que no reconozca expl citamente ... las leyes universales del pensamiento que son la base de todo razonamiento ...

Claude Shannon. Nacido el 30 de Abril de 1916 en Petoskey, Michigan, Estados Unidos. Ingeniero electr onico, matem atico y criptografo conocido como el padre de la teor a de la informaci on. Una de sus muchas contribuciones fu e mostrar que el uso de los postulados de Boole permite modelar y simplicar cualquier circuito digital.

Tema 2 Circuitos combinacionales

page 8

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Cualquier circuito l ogico puede ser implementado usando solo los tres tipos de operaciones booleanas: AND, OR, y NOT. Cada operador booleano puede ser implementado electr onicamente con transistores, resultando en un elemento llamado compuerta l ogica. El arreglo de compuertas que componen un circuito l ogico se conoce como red de compuertas. La complejidad de la red tiene un impacto directo en el costo del dise no. El algebra de Boole nos permite simplicar las funciones l ogicas de un dise no.

Tema 2 Circuitos combinacionales

page 9

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Postulados del algebra de Boole Considere un conjunto de elementos S con las operaciones (+) y () tal que:

Tema 2 Circuitos combinacionales

page 10

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Postulados del algebra de Boole Considere un conjunto de elementos S con las operaciones (+) y () tal que:
1. S es cerrado con las operaciones (+) y () {A + B (A B ) S} {A S B S}

Tema 2 Circuitos combinacionales

page 10

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Postulados del algebra de Boole Considere un conjunto de elementos S con las operaciones (+) y () tal que:
1. 2a. S es cerrado con las operaciones (+) y () {A + B (A B ) S} {A S B S} 0 S|A + 0 = A, A S

Tema 2 Circuitos combinacionales

page 10

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Postulados del algebra de Boole Considere un conjunto de elementos S con las operaciones (+) y () tal que:
1. 2a. 2b. S es cerrado con las operaciones (+) y () {A + B (A B ) S} {A S B S} 0 S|A + 0 = A, A S 1 S|A 1 = A, A S

Tema 2 Circuitos combinacionales

page 10

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Postulados del algebra de Boole Considere un conjunto de elementos S con las operaciones (+) y () tal que:
1. 2a. 2b. 3a. 3b. S es cerrado con las operaciones (+) y () {A + B (A B ) S} {A S B S} 0 S|A + 0 = A, A S 1 S|A 1 = A, A S A+B =B+A AB =BA

Leyes conmutativas

Tema 2 Circuitos combinacionales

page 10

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Postulados del algebra de Boole Considere un conjunto de elementos S con las operaciones (+) y () tal que:
1. 2a. 2b. 3a. 3b. 4a. 4b. S es cerrado con las operaciones (+) y () {A + B (A B ) S} {A S B S} 0 S|A + 0 = A, A S 1 S|A 1 = A, A S A+B =B+A AB =BA A (B + C ) = (A B ) + (A C ) A + (B C ) = (A + B ) (A + C )

Leyes conmutativas Leyes distributivas

Tema 2 Circuitos combinacionales

page 10

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Postulados del algebra de Boole Considere un conjunto de elementos S con las operaciones (+) y () tal que:
1. 2a. 2b. 3a. 3b. 4a. 4b. 5a. 5b. S es cerrado con las operaciones (+) y () {A + B (A B ) S} {A S B S} 0 S|A + 0 = A, A S 1 S|A 1 = A, A S A+B =B+A AB =BA A (B + C ) = (A B ) + (A C ) A + (B C ) = (A + B ) (A + C ) (A + B ) + C = A + (B + C ) = A + B + C (A B ) C = A (B C ) = A B C

Leyes conmutativas Leyes distributivas Leyes asociativas

Tema 2 Circuitos combinacionales

page 10

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Postulados del algebra de Boole Considere un conjunto de elementos S con las operaciones (+) y () tal que:
1. 2a. 2b. 3a. 3b. 4a. 4b. 5a. 5b. 6. S es cerrado con las operaciones (+) y () {A + B (A B ) S} {A S B S} 0 S|A + 0 = A, A S 1 S|A 1 = A, A S A+B =B+A AB =BA A (B + C ) = (A B ) + (A C ) A + (B C ) = (A + B ) (A + C ) (A + B ) + C = A + (B + C ) = A + B + C (A B ) C = A (B C ) = A B C S|A A =0 y A+A = 1, A S A

Leyes conmutativas Leyes distributivas Leyes asociativas

Tema 2 Circuitos combinacionales

page 10

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


A+A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


A + A = (A + A) 1

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) A + A = (A + A) 1 = (A + A)(A + A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A A + A = (A + A) 1 = (A + A)(A + A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1

A0=0

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A+1

A0=0

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 A + 1 = (A + 1) 1

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) A + 1 = (A + 1) 1 = (A + 1) (A + A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =A+A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =1 =A+A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =1 =A+A Leyes de Absorci on 3. A + A B = A A (A + B ) = A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =1 =A+A Leyes de Absorci on 3. A + A B = A A (A + B ) = A A+AB

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =1 =A+A Leyes de Absorci on 3. A + A B = A A (A + B ) = A A+AB =A1+AB

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =1 =A+A Leyes de Absorci on 3. A + A B = A A (A + B ) = A A + A B = A 1 + A B = A (1 + B )

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =1 =A+A Leyes de Absorci on 3. A + A B = A A (A + B ) = A A + A B = A 1 + A B = A (1 + B ) = A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =1 =A+A Leyes de Absorci on 3. A + A B = A A (A + B ) = A A + A B = A 1 + A B = A (1 + B ) = A B = A + B A (A + B) = A B 4. A + A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =1 =A+A Leyes de Absorci on 3. A + A B = A A (A + B ) = A A + A B = A 1 + A B = A (1 + B ) = A B = A + B A (A + B) = A B 4. A + A B A+A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =1 =A+A Leyes de Absorci on 3. A + A B = A A (A + B ) = A A + A B = A 1 + A B = A (1 + B ) = A B = A + B A (A + B) = A B 4. A + A B = (A + A ) (A + B ) A+A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =1 =A+A Leyes de Absorci on 3. A + A B = A A (A + B ) = A A + A B = A 1 + A B = A (1 + B ) = A B = A + B A (A + B) = A B 4. A + A B = (A + A ) (A + B ) = 1 (A + B ) A+A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Teoremas del algebra de Boole Leyes de idempotencia 1. A + A = A A A = A


) = A + A A =A+0 A + A = (A + A) 1 = (A + A)(A + A =A

2. A + 1 = 1 A 0 = 0 ) = A + 1 A A + 1 = (A + 1) 1 = (A + 1) (A + A =1 =A+A Leyes de Absorci on 3. A + A B = A A (A + B ) = A A + A B = A 1 + A B = A (1 + B ) = A B = A + B A (A + B) = A B 4. A + A B = (A + A ) (A + B ) = 1 (A + B ) = A + B A+A

Tema 2 Circuitos combinacionales

page 11

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 A

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 A

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 A

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 A

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 = A 1 A 2 0+A

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 = A 1 A 2 = AA 1 + A 1 A 2 0+A

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 = A 1 A 2 = AA 1 + A 1 A 2 = A 1 (A + A 2 ) 0+A

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 = A 1 A 2 = AA 1 + A 1 A 2 = A 1 (A + A 2 )= A 1 1 0+A

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 = A 1 A 2 = AA 1 + A 1 A 2 = A 1 (A + A 2 )= A 1 1= A 1 0+A

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 = A 1 A 2 = AA 1 + A 1 A 2 = A 1 (A + A 2 )= A 1 1= A 1 0+A ) = A 6. (A

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 = A 1 A 2 = AA 1 + A 1 A 2 = A 1 (A + A 2 )= A 1 1= A 1 0+A ) = A 6. (A ) = X . De la denici Sea (A on de complemento se tiene A X = 0 A + X = 1...

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 = A 1 A 2 = AA 1 + A 1 A 2 = A 1 (A + A 2 )= A 1 1= A 1 0+A ) = A 6. (A ) = X . De la denici Sea (A on de complemento se tiene A X = 0 A + X = 1... pero tambi en tenemos: A A = 0 A + A = 1...

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 = A 1 A 2 = AA 1 + A 1 A 2 = A 1 (A + A 2 )= A 1 1= A 1 0+A ) = A 6. (A ) = X . De la denici Sea (A on de complemento se tiene A X = 0 A + X = 1... pero tambi en tenemos: A A = 0 A + A = 1... y dado que el complemento es unico:

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 = A 1 A 2 = AA 1 + A 1 A 2 = A 1 (A + A 2 )= A 1 1= A 1 0+A ) = A 6. (A ) = X . De la denici Sea (A on de complemento se tiene A X = 0 A + X = 1... pero tambi en tenemos: A A = 0 A + A = 1... y dado que el complemento es unico: X = A

Tema 2 Circuitos combinacionales

page 12

Fundamentos del algebra de Boole


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Leyes del complemento 5. El complemento es u nico 1 y A 2 , tal que Suponga que hay dos complementos A 1 = 0 1 = 1 2 = 0 2 = 1 AA A+A AA A+A 2 = 1 A 2 = (A + A 1 ) A 2 = A A 2 + A 1 A 2 = A 1 A 2 = AA 1 + A 1 A 2 = A 1 (A + A 2 )= A 1 1= A 1 0+A ) = A 6. (A ) = X . De la denici Sea (A on de complemento se tiene A X = 0 A + X = 1... pero tambi en tenemos: A A = 0 A + A = 1... y dado que el complemento es unico: X = A Leyes de De Morgan B (A B ) = A +B 7. (A + B ) = A

Tema 2 Circuitos combinacionales

page 12

Simplicaci on de funciones - Suma de productos


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Dise ne un circuito l ogico con dos entradas que representen el estado de dos interruptores (x1 , x2 ). El circuito debe de producir una salida positiva cuando los interruptores se encuentren en cualquiera de los siguientes estados: (0,0), (0,1), (1,1).

Tema 2 Circuitos combinacionales

page 13

Simplicaci on de funciones - Suma de productos


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Dise ne un circuito l ogico con dos entradas que representen el estado de dos interruptores (x1 , x2 ). El circuito debe de producir una salida positiva cuando los interruptores se encuentren en cualquiera de los siguientes estados: (0,0), (0,1), (1,1). x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 0 1

Tema 2 Circuitos combinacionales

page 13

Simplicaci on de funciones - Suma de productos


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Dise ne un circuito l ogico con dos entradas que representen el estado de dos interruptores (x1 , x2 ). El circuito debe de producir una salida positiva cuando los interruptores se encuentren en cualquiera de los siguientes estados: (0,0), (0,1), (1,1). x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 0 1 Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

Tema 2 Circuitos combinacionales

page 13

Simplicaci on de funciones - Suma de productos


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Dise ne un circuito l ogico con dos entradas que representen el estado de dos interruptores (x1 , x2 ). El circuito debe de producir una salida positiva cuando los interruptores se encuentren en cualquiera de los siguientes estados: (0,0), (0,1), (1,1). x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 0 1 Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

Forma can onica en suma de productos f ( x1 , x2 ) = m0 1 + m1 1 + m2 0 + m3 1

Tema 2 Circuitos combinacionales

page 13

Simplicaci on de funciones - Suma de productos


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Dise ne un circuito l ogico con dos entradas que representen el estado de dos interruptores (x1 , x2 ). El circuito debe de producir una salida positiva cuando los interruptores se encuentren en cualquiera de los siguientes estados: (0,0), (0,1), (1,1). x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 0 1 Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

Forma can onica en suma de productos f ( x1 , x2 ) = m(0, 1, 3)

Tema 2 Circuitos combinacionales

page 13

Simplicaci on de funciones - Suma de productos


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Dise ne un circuito l ogico con dos entradas que representen el estado de dos interruptores (x1 , x2 ). El circuito debe de producir una salida positiva cuando los interruptores se encuentren en cualquiera de los siguientes estados: (0,0), (0,1), (1,1). x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 0 1 Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

Forma can onica en suma de productos f ( x1 , x2 ) = m(0, 1, 3) = x 1 x 2 + x 1 x2 + x1 x2

Tema 2 Circuitos combinacionales

page 13

Simplicaci on de funciones - Suma de productos


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Dise ne un circuito l ogico con dos entradas que representen el estado de dos interruptores (x1 , x2 ). El circuito debe de producir una salida positiva cuando los interruptores se encuentren en cualquiera de los siguientes estados: (0,0), (0,1), (1,1). x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 0 1 Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

Forma can onica en suma de productos f ( x1 , x2 ) = m(0, 1, 3) = x 1 x 2 + x 1 x2 + x1 x2

Tema 2 Circuitos combinacionales

page 13

Simplicaci on de funciones - Suma de productos


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Dise ne un circuito l ogico con dos entradas que representen el estado de dos interruptores (x1 , x2 ). El circuito debe de producir una salida positiva cuando los interruptores se encuentren en cualquiera de los siguientes estados: (0,0), (0,1), (1,1). x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 0 1 Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

Forma can onica en suma de productos f ( x1 , x2 ) = m(0, 1, 3) = x 1 x 2 + x 1 x2 + x1 x2 = x 1 x 2 + x 1 x2 + x 1 x2 + x1 x2

Tema 2 Circuitos combinacionales

page 13

Simplicaci on de funciones - Suma de productos


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Dise ne un circuito l ogico con dos entradas que representen el estado de dos interruptores (x1 , x2 ). El circuito debe de producir una salida positiva cuando los interruptores se encuentren en cualquiera de los siguientes estados: (0,0), (0,1), (1,1). x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 0 1 Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

Forma can onica en suma de productos f ( x1 , x2 ) = m(0, 1, 3) = x 1 x 2 + x 1 x2 + x1 x2 = x 1 x 2 + x 1 x2 + x 1 x2 + x1 x2 = x 1 ( x2 + x2 ) + x2 ( x1 + x1 )

Tema 2 Circuitos combinacionales

page 13

Simplicaci on de funciones - Suma de productos


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Dise ne un circuito l ogico con dos entradas que representen el estado de dos interruptores (x1 , x2 ). El circuito debe de producir una salida positiva cuando los interruptores se encuentren en cualquiera de los siguientes estados: (0,0), (0,1), (1,1). x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 0 1 Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

Forma can onica en suma de productos f ( x1 , x2 ) = = = = = m(0, 1, 3) x 1 x 2 + x 1 x2 + x1 x2 x 1 x 2 + x 1 x2 + x 1 x2 + x1 x2 x 1 ( x2 + x2 ) + x2 ( x1 + x1 ) x 1 + x2


page 13

Tema 2 Circuitos combinacionales

Simplicaci on de funciones - Suma de productos


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Dise ne un circuito l ogico con dos entradas que representen el estado de dos interruptores (x1 , x2 ). El circuito debe de producir una salida positiva cuando los interruptores se encuentren en cualquiera de los siguientes estados: (0,0), (0,1), (1,1). x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 0 1 Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

Forma can onica en suma de productos f ( x1 , x2 ) = m(0, 1, 3) = x 1 x 2 + x 1 x2 + x1 x2 = x 1 + x2

Tema 2 Circuitos combinacionales

page 13

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 1 1

x2 0 1 0 1

f ( x1 , x2 ) 1 1 0 1

Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

(x1 , x2 ) f

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 1 1

x2 0 1 0 1

f ( x1 , x2 ) 1 1 0 1

Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

(x1 , x2 )= m2 f

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 1 1

x2 0 1 0 1

f ( x1 , x2 ) 1 1 0 1

Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

(x1 , x2 )= m2 f f ( x1 , x2 ) = m 2

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 1 1

x2 0 1 0 1

f ( x1 , x2 ) 1 1 0 1

Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

(x1 , x2 )= m2 f f ( x1 , x2 ) = m 2 = M2

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 1 1

x2 0 1 0 1

f ( x1 , x2 ) 1 1 0 1

Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

Maxiterminos M0 = x1 + x2 M1 = x1 + x 2 M2 = x 1 + x2 M3 = x 1 + x 2

(x1 , x2 )= m2 f f ( x1 , x2 ) = m 2 = M2

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 1 1

x2 0 1 0 1

f ( x1 , x2 ) 1 1 0 1

Miniterminos m0 = x 1 x 2 m1 = x 1 x2 m2 = x1 x 2 m3 = x1 x2

Maxiterminos M0 = x1 + x2 M1 = x1 + x 2 M2 = x 1 + x2 M3 = x 1 + x 2

(x1 , x2 )= m2 f f ( x1 , x2 ) = m 2 = M2 = x 1 + x2

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0 m0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0 m0 + m2

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f

m0 + m2 + m3 + m7

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 = =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f

m0 + m2 + m3 + m7 x 1 x 2 x 3 + x 1 x2 x 3 + x 1 x2 x3 + x1 x2 x3

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 = = =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f f (x1 , x2 , x3 )

m0 + m2 + m3 + m7 x 1 x 2 x 3 + x 1 x2 x 3 + x 1 x2 x3 + x1 x2 x3 m0 + m2 + m3 + m7

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 = = = =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f f (x1 , x2 , x3 )

m0 + m2 + m3 + m7 x 1 x 2 x 3 + x 1 x2 x 3 + x 1 x2 x3 + x1 x2 x3 m0 + m2 + m3 + m7 M0 M2 M3 M7

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 = = = =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f f (x1 , x2 , x3 )

m0 + m2 + m3 + m7 x 1 x 2 x 3 + x 1 x2 x 3 + x 1 x2 x3 + x1 x2 x3 m0 + m2 + m3 + m7 M (0, 2, 3, 7)

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 = = = =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f f (x1 , x2 , x3 )

m0 + m2 + m3 + m7 x 1 x 2 x 3 + x 1 x2 x 3 + x 1 x2 x3 + x1 x2 x3 m0 + m2 + m3 + m7 M (0, 2, 3, 7) Forma can onica en producto de sumas

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 = = = = =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f f (x1 , x2 , x3 )

m0 + m2 + m3 + m7 x 1 x 2 x 3 + x 1 x2 x 3 + x 1 x2 x3 + x1 x2 x3 m0 + m2 + m3 + m7 M (0, 2, 3, 7) Forma can onica en producto de sumas

(x1 + x2 + x3 )(x1 + x 2 + x3 )(x1 + x 2 + x 3 )( x1 + x 2 + x 3 )

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 = = = = = =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f f (x1 , x2 , x3 )

m0 + m2 + m3 + m7 x 1 x 2 x 3 + x 1 x2 x 3 + x 1 x2 x3 + x1 x2 x3 m0 + m2 + m3 + m7 M (0, 2, 3, 7) Forma can onica en producto de sumas

(x1 + x2 + x3 )(x1 + x 2 + x3 )(x1 + x 2 + x 3 )( x1 + x 2 + x 3 ) ((x1 + x3 ) + x2 )((x1 + x3 ) + x 2 )(x1 + ( x2 + x 3 ))( x1 + ( x2 + x 3 ))

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 = = = = = = =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f f (x1 , x2 , x3 )

m0 + m2 + m3 + m7 x 1 x 2 x 3 + x 1 x2 x 3 + x 1 x2 x3 + x1 x2 x3 m0 + m2 + m3 + m7 M (0, 2, 3, 7) Forma can onica en producto de sumas

(x1 + x2 + x3 )(x1 + x 2 + x3 )(x1 + x 2 + x 3 )( x1 + x 2 + x 3 ) ((x1 + x3 ) + x2 )((x1 + x3 ) + x 2 )(x1 + ( x2 + x 3 ))( x1 + ( x2 + x 3 )) (x1 + x3 )( x2 + x 3 )

Tema 2 Circuitos combinacionales

page 14

Simplicaci on de funciones - Producto de sumas


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 1 1 1 1

x2 0 0 1 1 0 0 1 1

x3 0 1 0 1 0 1 0 1 = = = = = = = = =

f (x1 , x2 , x3 ) 0 1 0 0 1 1 1 0

Miniterminos m0 = x 1 x 2 x 3 m1 = x 1 x 2 x3 m2 = x 1 x2 x 3 m3 = x 1 x2 x3 m4 = x1 x 2 x 3 m5 = x1 x 2 x3 m6 = x1 x2 x 3 m7 = x1 x2 x3

Maxiterminos M0 = x1 + x2 + x3 M1 = x1 + x2 + x 3 M2 = x1 + x 2 + x3 M3 = x1 + x 2 + x 3 M4 = x 1 + x2 + x3 M5 = x 1 + x2 + x 3 M6 = x 1 + x 2 + x3 M7 = x 1 + x 2 + x 3

(x1 , x2 , x3 ) f f (x1 , x2 , x3 )

m0 + m2 + m3 + m7 x 1 x 2 x 3 + x 1 x2 x 3 + x 1 x2 x3 + x1 x2 x3 m0 + m2 + m3 + m7 M (0, 2, 3, 7) Forma can onica en producto de sumas

(x1 + x2 + x3 )(x1 + x 2 + x3 )(x1 + x 2 + x 3 )( x1 + x 2 + x 3 ) ((x1 + x3 ) + x2 )((x1 + x3 ) + x 2 )(x1 + ( x2 + x 3 ))( x1 + ( x2 + x 3 )) (x1 + x3 )( x2 + x 3 ) m(1, 4, 5, 6) x1 x 3 + x 2 x3 page 14 Forma can onica en suma de productos

Tema 2 Circuitos combinacionales

Simplicaci on de funciones - SoP vs PoS


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

f ( x1 , x2 , x3 ) = x1 x 3 + x 2 x3

f (x1 , x2 , x3 ) = (x1 + x3 )( x2 + x 3 )
Tema 2 Circuitos combinacionales page 15

Simplicaci on de funciones - Aplicaciones de las Leyes de De Morgan Compuerta NAND Tabla de Verdad x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 1 0 x1 x2

Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

S mbolo x1 f x2 f

1 + x 2 f ( x1 , x2 ) = ( x1 x2 ) = x

Tema 2 Circuitos combinacionales

page 16

Simplicaci on de funciones - Aplicaciones de las Leyes de De Morgan Compuerta NAND Tabla de Verdad x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 1 0 x1 x2

Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

S mbolo x1 f x2 f

f ( x1 , x2 ) = ( x1 x2 ) = x 1 + x 2

Tema 2 Circuitos combinacionales

page 16

Simplicaci on de funciones - Aplicaciones de las Leyes de De Morgan Compuerta NAND Tabla de Verdad x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 1 0 x1 x2

Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

S mbolo x1 f x2 f

f ( x1 , x2 ) = ( x1 x2 ) = x 1 + x 2 Compuerta NOR Tabla de Verdad x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 0 0 0 x1 x2

S mbolo x1 f x2 f

f ( x1 , x2 ) = ( x1 + x2 ) = x 1 x 2
Tema 2 Circuitos combinacionales page 16

Simplicaci on de funciones - Aplicaciones de las Leyes de De Morgan Compuerta NAND Tabla de Verdad x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 1 1 0 x1 x2

Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

S mbolo x1 f x2 f

f ( x1 , x2 ) = ( x1 x2 ) = x 1 + x 2 Compuerta NOR Tabla de Verdad x1 0 0 1 1 x2 0 1 0 1 f ( x1 , x2 ) 1 0 0 0 x1 x2

S mbolo x1 f x2 f

f ( x1 , x2 ) = ( x1 + x2 ) = x 1 x 2
Tema 2 Circuitos combinacionales page 16

Simplicaci on de funciones - Aplicaciones de las Leyes de De Morgan

Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

N umero de transistores por compuerta Tecnolog a NMOS CMOS NOT 2 2 5 6 AND 3 4 NAND OR 5 6 NOR 3 4

Tema 2 Circuitos combinacionales

page 17

Simplicaci on de funciones - Aplicaciones de las Leyes de De Morgan

Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

N umero de transistores por compuerta Tecnolog a NMOS CMOS NOT 2 2 5 6 AND 3 4 NAND OR 5 6 NOR 3 4

Total de transistores: 22 Total de chips: 3 Compuertas no usadas: 9

Tema 2 Circuitos combinacionales

page 17

Simplicaci on de funciones - Aplicaciones de las Leyes de De Morgan

Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

N umero de transistores por compuerta Tecnolog a NMOS CMOS NOT 2 2 5 6 AND 3 4 NAND OR 5 6 NOR 3 4

Total de transistores: 22 Total de chips: 3 Compuertas no usadas: 9

Tema 2 Circuitos combinacionales

page 17

Simplicaci on de funciones - Aplicaciones de las Leyes de De Morgan

Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

N umero de transistores por compuerta Tecnolog a NMOS CMOS NOT 2 2 5 6 AND 3 4 NAND OR 5 6 NOR 3 4

Total de transistores: 22 Total de chips: 3 Compuertas no usadas: 9

Tema 2 Circuitos combinacionales

page 17

Simplicaci on de funciones - Aplicaciones de las Leyes de De Morgan

Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

N umero de transistores por compuerta Tecnolog a NMOS CMOS NOT 2 2 5 6 AND 3 4 NAND OR 5 6 NOR 3 4

Total de transistores: 22 Total de chips: 3 Compuertas no usadas: 9

Tema 2 Circuitos combinacionales

page 17

Simplicaci on de funciones - Aplicaciones de las Leyes de De Morgan

Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

N umero de transistores por compuerta Tecnolog a NMOS CMOS NOT 2 2 5 6 AND 3 4 NAND OR 5 6 NOR 3 4

Total de transistores: 22 Total de chips: 3 Compuertas no usadas: 9

Total de transistores: 20 Total de chips: 2 Compuertas no usadas: 3

Tema 2 Circuitos combinacionales

page 17

Simplicaci on de funciones - Terminolog a


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Literal. Cada uno de los t erminos involucrados en un producto que representa una operaci on l ogica AND. Estos pueden o no estar negados. (e.g. el producto x1 x 2 x3 tiene tres literales, y el producto x 1 x3 x 4 x6 tiene cuatro literales) x1 0 0 0 0 1 1 1 1 x2 0 0 1 1 0 0 1 1 x3 0 1 0 1 0 1 0 1 f 1 1 1 1 0 0 0 1

Tema 2 Circuitos combinacionales

page 18

Simplicaci on de funciones - Terminolog a


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Implicante. Producto de dos o mas literales para el cual la funci on l ogica es verdadera. Los implicantes b asicos son los miniterminos para los cuales f = 1. Para una funci on de n-variables, un minitermino es un implicante de n literales. x1 0 0 0 0 1 1 1 1 x2 0 0 1 1 0 0 1 1 x3 0 1 0 1 0 1 0 1 f 1 1 1 1 0 0 0 1 f f = m(0, 1, 2, 3, 7)

= x 1 x 2 + x 1 x2 + x2 x3

Tema 2 Circuitos combinacionales

page 18

Simplicaci on de funciones - Terminolog a


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Implicante primo. Es un implicante que ya no puede ser reducido a otro con menos literales. x1 0 0 0 0 1 1 1 1 x2 0 0 1 1 0 0 1 1 x3 0 1 0 1 0 1 0 1 f 1 1 1 1 0 0 0 1 f f f = m(0, 1, 2, 3, 7)

= x 1 x 2 + x 1 x2 + x2 x3 = x 1 + x2 x3

Tema 2 Circuitos combinacionales

page 18

Simplicaci on de funciones - Terminolog a


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Implicante primo. Es un implicante que ya no puede ser reducido a otro con menos literales. Recubrimiento. Colecci on de implicantes que cubren todos los casos para los cuales f = 1. (e.g. el conjunto de todos los miniterminos, el conjunto de todos los implicantes primos) x1 0 0 0 0 1 1 1 1 x2 0 0 1 1 0 0 1 1 x3 0 1 0 1 0 1 0 1 f 1 1 1 1 0 0 0 1 f f f = m(0, 1, 2, 3, 7)

= x 1 x 2 + x 1 x2 + x2 x3 = x 1 + x2 x3

Tema 2 Circuitos combinacionales

page 18

Simplicaci on de funciones - Terminolog a


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Recubrimiento. Colecci on de implicantes que cubren todos los casos para los cuales f = 1. (e.g. el conjunto de todos los miniterminos, el conjunto de todos los implicantes primos) Costo. Cantidad de recursos utilizados en la implementaci on de la funci on l ogica. x1 0 0 0 0 1 1 1 1 x2 0 0 1 1 0 0 1 1 x3 0 1 0 1 0 1 0 1 f 1 1 1 1 0 0 0 1 f f f = m(0, 1, 2, 3, 7)

= x 1 x 2 + x 1 x2 + x2 x3 = x 1 + x2 x3

Tema 2 Circuitos combinacionales

page 18

Simplicaci on de funciones - Terminolog a


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Recubrimiento. Colecci on de implicantes que cubren todos los casos para los cuales f = 1. (e.g. el conjunto de todos los miniterminos, el conjunto de todos los implicantes primos) Costo. Cantidad de recursos utilizados en la implementaci on de la funci on l ogica. x1 0 0 0 0 1 1 1 1 x2 0 0 1 1 0 0 1 1 x3 0 1 0 1 0 1 0 1 f 1 1 1 1 0 0 0 1 f f f = m(0, 1, 2, 3, 7)

= x 1 x 2 + x 1 x2 + x2 x3 = x 1 + x2 x3

La implementaci on con menor costo se logra cuando el recubrimiento de una funci on dada consiste de implicantes primos.
page 18

Tema 2 Circuitos combinacionales

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Tema 2 Circuitos combinacionales

page 19

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

x2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

x3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

x4 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

f 1 0 1 1 0 0 1 1 1 0 1 0 0 0 0 1 page 19

Tema 2 Circuitos combinacionales

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

x2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

x3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

x4 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

f 1 0 1 1 0 0 1 1 1 0 1 0 0 0 0 1 page 19

Tema 2 Circuitos combinacionales

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

x2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

x3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

x4 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

f 1 0 1 1 0 0 1 1 1 0 1 0 0 0 0 1 page 19

Tema 2 Circuitos combinacionales

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

x2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

x3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

x4 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

f 1 0 1 1 0 0 1 1 1 0 1 0 0 0 0 1 page 19

Tema 2 Circuitos combinacionales

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

x2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

x3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

x4 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

f 1 0 1 1 0 0 1 1 1 0 1 0 0 0 0 1 page 19

Tema 2 Circuitos combinacionales

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

x1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

x2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

x3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

x4 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

f 1 0 1 1 0 0 1 1 1 0 1 0 0 0 0 1

f =x 2 x 4 + x 1 x3 + x2 x3 x4

Tema 2 Circuitos combinacionales

page 19

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Considere la funci on f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3

Tema 2 Circuitos combinacionales

page 20

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Considere la funci on f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3 f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3

Tema 2 Circuitos combinacionales

page 20

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Considere la funci on f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3 f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3 1 x2 ( x3 + x 3 ) + x1 x2 x 3 = x 1 x3 + x 1 x 2 x3 + x

Tema 2 Circuitos combinacionales

page 20

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Considere la funci on f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3 f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3 1 x2 ( x3 + x 3 ) + x1 x2 x 3 = x 1 x3 + x 1 x 2 x3 + x 1 x 2 x3 + x 1 x2 x3 + x 1 x2 x 3 + x1 x2 x 3 = x 1 x3 + x

Tema 2 Circuitos combinacionales

page 20

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Considere la funci on f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3 f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3 1 x2 ( x3 + x 3 ) + x1 x2 x 3 = x 1 x3 + x 1 x 2 x3 + x 1 x 2 x3 + x 1 x2 x3 + x 1 x2 x 3 + x1 x2 x 3 = x 1 x3 + x = x 1 x3 + x 1 x3 + x2 x 3

Tema 2 Circuitos combinacionales

page 20

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Considere la funci on f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3 f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3 1 x2 ( x3 + x 3 ) + x1 x2 x 3 = x 1 x3 + x 1 x 2 x3 + x 1 x 2 x3 + x 1 x2 x3 + x 1 x2 x 3 + x1 x2 x 3 = x 1 x3 + x = x 1 x3 + x 1 x3 + x2 x 3 f = x 1 x3 + x2 x 3

Tema 2 Circuitos combinacionales

page 20

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Considere la funci on f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3 f = x 1 x3 + x 1 x 2 x3 + x 1 x2 + x1 x2 x 3 1 x2 ( x3 + x 3 ) + x1 x2 x 3 = x 1 x3 + x 1 x 2 x3 + x 1 x 2 x3 + x 1 x2 x3 + x 1 x2 x 3 + x1 x2 x 3 = x 1 x3 + x = x 1 x3 + x 1 x3 + x2 x 3 f = x 1 x3 + x2 x 3

Tema 2 Circuitos combinacionales

page 20

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Proceso gen erico para encontrar la implementaci on de m nimo costo para una funci on l ogica dada: 1. Genere todos los implicantes primos. 2. Encuentre el conjunto de los implicantes primos esenciales. 3. Si el conjunto de implicantes primos esenciales cubre todos los casos para los que f = 1, este es el recubrimiento deseado. De otra forma, determine los implicantes primos no-esenciales que deber an incluirse para formar un recubrimiento de costo m nimo.

Tema 2 Circuitos combinacionales

page 21

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Considere la funci on f (x1 , . . . , x4 ) = m(0, 4, 8, 10, 11, 12, 13, 15)

Tema 2 Circuitos combinacionales

page 21

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Considere la funci on f (x1 , . . . , x4 ) = m(0, 4, 8, 10, 11, 12, 13, 15)

Tema 2 Circuitos combinacionales

page 21

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Considere la funci on f (x1 , . . . , x4 ) = m(0, 4, 8, 10, 11, 12, 13, 15)

f =x 3 x 4 + x1 x2 x 3 + x1 x3 x4 + x1 x 2 x3 f =x 3 x 4 + x1 x2 x4 + x1 x 2 x3

Tema 2 Circuitos combinacionales

page 21

Simplicaci on de funciones - Mapas de Karnaugh


Tema 2 Contenido Principios de l ogica combinacional Fundamentos del algebra de Boole Simplicaci on de funciones

Considere la funci on f (x1 , . . . , x4 ) = m(2, 4, 5, 6, 10) + D (12, 13, 14, 15); donde D(12,13,14,15) denota valores de no-importa para los miniterminos m12 , m13 , m14 , m15

f = x2 x 3 + x3 x 4

f = (x2 + x3 )( x3 + x 4 )

Tema 2 Circuitos combinacionales

page 22

También podría gustarte