Está en la página 1de 36

International Journal of Modeling, Simulation, and Scientic Computing Vol. 1, No.

3 (2010) 369404 c World Scientic Publishing Company DOI: 10.1142/S1793962310000250

SIMULINK MODEL AND FPGA-BASED OFDM COMMUNICATION SYSTEM: A SIMULATION AND HARDWARE INTEGRATED PLATFORM

LE KHOA DANG, , HUU PHUONG NGUYEN , LE NGUYEN BINH, and DUC NHAN NGUYEN
Faculty

of Electronics and Telecommunications University of Sciences, 227 Nguyen Van Cu St. District 5, Ho Chi Minh City, Vietnam

Department

of Electrical and Computer Systems Engineering Monash University, Clayton, Victoria 3800, Australia dlkhoa@fetel.hcmuns.edu.vn Le.nguyen.binh@eng.monash.edu.au Received 26 April 2010 Accepted 13 June 2010

Ultra-broadband networks are currently attracting signicant interests in employing wireless access and optical ber access to the home and to the building at symbol rate reaching Gb/s. OFDM is a multicarrier modulation technique and considered to oer signicant reduction of the data symbol to be carried per carrier channel, especially in ultra-high speed optical communications with bit rate reaching 100 Gb/s or even higher. This paper thus presents a novel and generic OFDM system employing both MATLAB Simulink and FPGA-based development software platform for simulation as well as hardware implementation for the generation and detection of OFDM signals for wireless and optical communications transmission media. Although the transmission medium is modeled with delay distortion lter in the baseband, this model would be valid for passband signals as the amplitude is represented by complex amplitude whose phase would be the phase of the carrier. The Simulink and hardware models presented hereunder are scalable to much higher speed allowing possible implementation in multi-Giga samples per second electronic processors. The sub-systems of the OFDM transmitter and receiver are presented to demonstrate the feasibility of such models for ultra-wideband communication systems such as wireless access and long haul optical ber communication backbone networks. Keywords : OFDM; FPGA; Viterbi codes and decoding; wireless communications; optical communications.

1. Introduction The advantages of OFDM (Orthogonal Frequency Division Multiplexing) have been well known; they are exploited to combat impairments in wireless and optical communication systems. The principal mechanism of OFDM is to generate parallel
369

370

L. K. Dang et al.

orthogonal channels in the frequency domain, so each subcarrier carries a lower symbol rate, thus providing ecient use of the spectrum and brick-wall-like property. Another superior feature of OFDM is to minimize the intersymbol interference (ISI) and interchannel interference (ICI). Wireless access rates reaching over 1 Gb/s per channel and more than 10 Gb/s per ber channel are expected in the future. Ultra-broadband networks are currently attracting signicant interests; wireless access and optical ber access are provided to the home and to the building. There is a need for structures which can oer high speed and ecient generation and detection schemes for hardware implementation of OFDM signals. FPGA oers possibility of parallel structures and exibility in this line of development.13 In recent years, the fast development of electronic processors reaching several Giga-samples/s has allowed the exploration of hardware implementation operating in multi-Gb/s transmission systems. Therefore, there is a need for a software platform and the corresponding hardware system which can be scalable to ultra-high speed OFDM communication systems. This paper reports the implementation of OFDM transmitter and receiving systems based on the Stratix Development kit EP1S25 and the associate software package DSP Builder of Alterra. The aim is to prove in principle the fast processing speed which is scalable to ultra-broadband level for networking. MATLAB Simulink is also used as a modeling platform for simulation of the hardware implementation. The models and prototype system presented here are applicable to both wireless and optical communications. For optical communications systems, an optical modulator, the I-Q modulator, is used and fed by the signals generating the constellation from the electronic model/hardware system. Likewise these signals are fed to a power amplier and then antenna for wireless media. EP1S254 is a high-speed device, it is suitable for system integration and applications in telecommunications. It allows parallel processing, desired for implementation in the physical layer that requires short delay/propagation time. Implementation sub-systems for OFDM such as coding, FFT/IFFT, cyclic prex adder/remover, equalizer in the frequency domain, especially convolutional coder and Viterbi decoder, can be carried out without much diculty and with eciency. The noise generators and bit error rate counters are also implemented. In our OFDM system, the parameters implemented are as follows: 256 subcarriers, convolutional code 1 2 , modulation scheme either QPSK or 16 QAM, and the . length of prex 1 4 This paper is organized as follows. Section 2 gives a brief overview of the essential features of OFDM techniques so as to bridge the MATLAB Simulink and hardware implementation based on FPGA described in Secs. 4 and 5. Section 3 briey outlines the wireless and optical guided transmission media for the OFDM systems. Section 6 describes the integration of the software and hardware for the experimental platforms. Section 7 then describes the hardware system and the results obtained by simulation and FPGA based hardware implementation. Finally, Sec. 9 gives some conclusions and provides directions for future research.

Simulink Model and FPGA-Based OFDM Communication System

371

2. Overview of OFDM Techniques 2.1. Principles and generation of OFDM system signals OFDM is a block transmission technique. The baseband sequence signals can be coded and modulated to the points of the constellation, for example binary phase shift keying (BPSK), quadrature PSK (QPSK), quadrature amplitude modulation (QAM). Thus complex symbols are generated and assembled into blocks and modulated to a group of subcarriers which are placed closely in the frequency spectrum. These subcarrier channels form an OFDM symbol. The data sequence can be controlled to occupy one or several carriers or all subcarrier channels of the OFDM symbol. Thus the OFDM symbols are transmitted as a superposition of all these subcarrier channels. Figure 1 depicts the sequences for construction of an OFDM symbol. The input data sequence {dl } is partitioned into N parallel data lines whose rate is thus reduced by N times via the serial to parallel converter (SPC). N is also equivalent to and assigned as the number of subcarriers. The bit sequence {di,k } is modulated to form {am,k } signals where k is the index of the subcarrier, i is the index of the time slot corresponding to the N bits parallel after going through the SPC, and m is the time slot index corresponding to the N complex signals. The signals {am,k } are then shaped to an appropriate form so as to limit the spectral width to the allowable width of each subcarrier channel. They are then inserted with an appropriate subcarrier k (t) which is orthogonal to one another and can be expressed as k (t) = ej 2fk t , (1)

where fk is the corresponding frequency with respect to the k th subcarrier within the OFDM symbol. The OFDM signals of N subcarriers can thus be represented as: 1 Sm (t) = N
d i ,1
Baseband modulation
N 1

am,k k (t),
k=0

0 < t < N T,

(2)

a m ,1

Baseband signals

S-P-Conversion

{dl }

di , N

am, N

Baseband signals

N
Fig. 1. Principles of construction of OFDM signals. DAC: digital to analog conversion. S-P: serial to parallel.

DAC

di,k

am, k

1
Baseband signals

Guard band insertion

(t ) Sm

Sm (t )

372

L. K. Dang et al.

where am,k is the k th complex symbol, NT is the length of OFDM symbol containing N subcarriers, and T is the sampling interval. The subcarriers are equally spaced by f = N1T , fk is estimated by fk = k . NT (3)

These fk values can then be mixed with the passband carrier, e.g. microwave or lightwave, to generate a set of orthogonal passband subcarriers. Each signal Sm (t) is equivalent to a point in the Euclidean N -dimensional space which is called the signal space. Each point is thus represented by a set of values (am,1 , am,2 , . . . , am,N ). In the case of continuous transmission, m is an integer and dependent on the length of the input data. All multiplied signals are then added and the nal signal is the passband time-dependent modulated signal waves which can then be transmitted over the transmission medium. Due to the fact that fk is modulated at the carrier frequency fk = k f Hz, the OFDM technique is commonly known to be composed of N subcarriers, each carrying much lower speed data symbols ROFDM = RS /N with Rs as the original sampling rate or the bit rate of the input digital sequence. Thus for OFDM the bit rate of each subcarrier channel is the transmission bit rate of the OFDM frame. 2.2. Implementation of OFDM system using IFFT/FFT The multiplexing of subcarriers is not a major issue but the ltering of each subcarrier channel is the principal task. Originally they were ltered by several bandpass lters and thus their design and performance characteristics, especially the very sharp roll-o, cannot be easily satised. This deters the uses of OFDM in the initial development phase till the proposal of using inverse discrete Fourier transform (IDFT)6 of the sequence ak , written as 1 Sm (nT ) = N
N 1 k=0

am,k ej 2

nk N

0 n N 1.

(4)

Naturally IDFT is commonly available in almost every digital signal processor. Likewise the demultiplexing of the subcarrier channel at the receiver can be easily performed using DFT. This has facilitated the simplication for practical implementation of OFDM. Figure 2 depicts the implementation of OFDM signals using inverse Fourier transform IFFT and FFT which further simplify the generation of orthogonal channels. Therefore, a FPGA-based system can assist with this implementation. 2.3. Cyclic prex Communication systems employing OFDM would face two main problems. Firstly, the channel separation between subcarrier channels is narrow and can easily lead to intercarrier interference (ICI). Secondly, OFDM symbols are continually

Simulink Model and FPGA-Based OFDM Communication System

373

d i ,1
Serial to parallel conversion Baseband modulation

a m ,1
Parallel to serial conversion Guard band insertion

{dl }

di, N

am, N

Fig. 2.

Generic principles for the generation of OFDM using IFFT algorithm.

transmitted, so if the delay or distortion eects occur repeatedly, intersymbol interference (ISI) would happen. So if the guard band consists of all 0s, the processing can minimize the ISI but not the ICI. Thus the prex is suggested by Peled and Ruiz6 in 1980 by copying parts of the message signal and inserting it to the beginning of the message signal. Therefore the problems of ISI and ICI can be resolved. The notation ts is dened as the symbol period, and Ts is the symbol period plus protection interval G such that G t < 0. Thus the OFDM signals including the prex can be expressed as: 1 Sm (t) = N
N 1

am,k k (t),
k=0

G < t < N T.

DAC

IFFT

d i ,k

am , k

(t ) Sm

Sm (t )

(5)

Usually the prex is selected such that it is longer than the transmission delay time of the transmission medium and can be tuned to achieve the maximum transmission quality. Thus the protection interval plays an important part in the mitigation of the ISI and ICI. However by using the cyclic prex, some energy must be paid to this extra part of the signals to be transmitted. Naturally the total energy to be consumed by cyclic prex OFDM signals would be now evaluated for each subcarrier channel as: NT . (6) |k (t)|2 dt = N T G Thus the SNR penalty per subcarrier channel at the receiver is given by NT . N T + G Hence the total SNR penalty at the receiver is given by: Eloss = SNR loss = 10 log10 1 G NT . (7)

(8)

Therefore it is naturally expected that the longer the cyclic prex interval, the higher the SNR penalty. In summary, the structure of the OFDM symbol is arranged as shown in Fig. 3.

374

L. K. Dang et al.

Fig. 3.

Structure of an OFDM symbol, including all subcarriers.

2.4. OFDM signal demodulation The OFDM receiver can be considered to be composed of several demodulators; each one would be demodulated to passband signals carried by each subcarrier to its baseband equivalence. Then by superimposing all these baseband signals of all subcarrier channels, the original data sequence can be recovered. The schematic diagram representing the principles of signal recovery of OFDM signals is shown in Fig. 4. We can easily observe that if all the functions k (t) with k = 1, 2, . . . , N are orthogonal in pair, then the original set (am,1 , am,2 , . . . , am,N ) can be recovered to its original values. From the mathematical point of view, a set of functions can be considered orthogonal if
a b p (t) q (t)dt =

k, p = q 0, p = q.

(9)

Here * denotes the complex conjugation. The orthogonality of the subcarriers can be performed by the following: the pth carrier can be moved to the q th order by

am ,1
Transform symbol to bit sequence

d i ,1

demodulation
Parallel to serial conversion Separation of Guard band

ADC

S m (t )

S m (t )

1
am, k demodulation

di , k

{dl }

k
demodulation

am, N

di , N

Received analog signals

N
Principles of demodulation of OFDM signals.

Fig. 4.

Simulink Model and FPGA-Based OFDM Communication System

375

Conversion of symbol to bit sequence

am ,1
Serial to parallel conversion Separation of guard band sequence

d i ,1
Parallel to serial conversion

A/D Converter

FFT

S m (t )

S m (t )

{dl }

am, k

di , k

am, N

di , N

Fig. 5.

Schematic of the generation of OFDM signals using FFT.

multiplying it with the complex function ejpS t in which wS = 2fS = 2 T1 is S the spectral distance between the pth and q th order subcarriers. If all subcarriers are not desired and mixed to the frequency location given by a multiple number of 1/TS , then the orthogonality ensures that they would result in a null number after integration over a symbol period. Thus the subcarriers must be separated by a multiple number of 1/TS so as to achieve orthogonality. Therefore similarly to the operation given for the transmitting side can be used in the demodulation of OFDM signals as depicted in Fig. 5. 3. Transmission Media: Wireless and Optical 3.1. Eects of transmission medium Additive White Gaussian Noise (AWGN) transmission medium is considered to be the simplest representing a generic model of the transmission medium whose noise characteristics follow a Gaussian prole which most media for communications would follow, e.g. wireless and optical bers. Note that when the optical ber is operating in the nonlinear region, the probability density function (pdf) is nonGaussian and dierent treatment may have to be considered. A Gaussian pdf can be considered to oer zero average and a variance distributed about this null average. In reality, wireless signals transmitted from the base station (BS) to the mobile station (MS) would be aected by several factors of the transmission medium, especially the fading eect due to diraction and reection of the electromagnetic waves to the objects of the environment. If the mobile receiver is moving, then a Doppler frequency shift would exist at fD = fDmax cos() with fDmax = v fc , c (10)

where v is the relative velocity of the MS to the BS. fc is the carrier frequency, c is the velocity of light in vacuum, is the angle of the direction of movement of the MS with respect to the BS.

376

L. K. Dang et al.
x (t )

0 (t )

1 (t )

k (t )

L (t)

y (t )

Fig. 6. Delay model for transmission of purely delay eects such as wireless transmission and polarization mode dispersion in single mode optical bers.

A generic model of the transmission medium with multipath delays can be depicted as shown in Fig. 6 in which x(t) represents the transmitted signals, y (t) is the output of the transmission medium, k is the k th delay time, k (t) is the attenuation factor of the k th delay path, and L is the number of delay paths. For the case of single mode optical bers, the delay is contributed by dierent travel times of the two polarized modes. This is the fundamental property of the weak guiding of lightwaves in modern single mode ber whose refractive index dierence is very small (3 104 ). Furthermore, the random imperfection of the cross section area of the circular core enhances the uctuation of the dierence of the delay times between the two polarized modes. The dierence is the delay time and delay path is strongly dependent on the medium. Thus we can dene a coherence bandwidth as the frequency interval generated by the maximum delay time given by the medium as 1 , (11) (f )C = max where max is the maximum delay time of the at fading or nonselective fading. On the other hand, we would have frequency selective fading. When interference and Doppler eect occurs, the shifting in the subcarrier can be related to a coherent time dened as 1 , (12) (t)C = (2fDmax ) where fDmax is the maximum Doppler frequency. If the coherent time is less than one period of the original data, then the fading is fast fading. Otherwise it is slow fading. 3.2. Equalization of OFDM signals In an OFDM system, the data at the input must be transformed and coded to N parallel sources. These OFDM symbols would then be transformed by IFFT and then by FFT back to the time domain and the superimposition to obtain the signal s(t). For simplication, we can bypass the generation of the OFDM signal and assume that s(t) is the OFDM signal which is transmitted to a channel whose

Simulink Model and FPGA-Based OFDM Communication System

377

impulse response is h(t) and output is r(t). In the case of AWGN n(t), we have r(t) = h(t) s(t) + n(t). Correspondingly in the frequency domain we have R(f ) = H (f ) S (f ) + N (f ), (14) (13)

where S (f ) is the frequency domain representation of the OFDM signal. The recovery of S (f ) is thus mainly ltering of the noises contributed by N (f ). Thus the equalization can be implemented after the FFT subsystem, and only the multiplication or division operations are needed and not integration or dierentiation. This oers signicant advantages in signal processing in real time. The eectiveness of OFDM technique depends on the shifting of the frequency and phase of the subcarriers. If Doppler eects exist in the transmission medium, then the phase lock loop at the receiver front may have to be used. If errors in frequency and phase happen, then the rotation of the constellation of the received signals would happen and the detection must be corrected. The third problem is that the clock signals of the ADC and DAC at the front end of the transmitter and receiver can be dierent, thus creating some extension of the OFDM symbol which may be dierent from symbols to symbols. Thus there must be a synchronization of the symbols. These problems aect the recovery of the transmitted signals and must be dealt with. The use of the cyclic prex can solve the problem of synchronization of the symbols. Furthermore, if the delay time varies and is less than the cyclic prex time interval, then one can use this interval to assist the synchronization of the OFDM symbols. Then the FFT with N points from this location would signicantly reduce the mismatch in the subcarrier phase. Once the synchronization is complete, the eects of shift in the frequency and phase due to the Gaussian noises can be resolved by increasing the spectral distance between the subcarriers. However, the technique employed in this work is to insert pilot carriers at the known subcarrier location in OFDM symbols. This technique is described in the next subsection. 3.3. Pilot signals At the receiver end, the received and original values of the pilot allow the estimation of the eects of the transmission at the frequency location of these pilots and thus one can deduce the eects of the transmission medium on all subcarrier channels of the OFDM symbol. The original OFDM symbols can then be recovered without much diculty. The pilots can be inserted into OFDM symbols as shown in Fig. 7. The frequency spacing between pilots must follow the sampling rules in the frequency and time domain. As mentioned above, the change of frequency of the transmission medium is dependent on the maximum delay time of the medium. Let rf be the sampling

378

L. K. Dang et al.

Fig. 7.

Representation of pilots in the time domain and frequency domain.

ratio in the frequency domain and f be the spacing between the subcarriers, then the spacing between the pilots must satisfy: rf = 1 1. Df f max (15)

Thus the minimum sampling ratio must be rf = 1. When rf < 1, the transmission channel cannot be fully recovered via the pilots. Similarly in the frequency domain, the spacing between the pilots must satisfy rt = 1 1, 2fDmax Dt (TS + G ) (16)

where fDmax is the maximum frequency of the Doppler eects. The estimation of the frequency response of the transmission medium H (f ) is useful especially when OFDM is used in the transmission system due to the frequency operation of the OFDM signals. That means that one can operate on R(f ) instead of r(t). This is quite easy with the implementation using digital signal processors. Now let Spilot (f ) be the frequency distribution of the known pilots in the OFDM symbol. At the receiver end, when the received R(f ) is known, the pilot locations can be derived by Rpilot (f ) = Spilot (f ) Hpilot (f ) + N (f ). (17)

Simulink Model and FPGA-Based OFDM Communication System

379

For the sake of simplicity, we can ignore the noises due to AWGN, then Rpilot (f ) = Spilot (f )Hpilot (f ). (18)

Hence the frequency response of the transmission medium evaluated at the locations of the pilots is given as Hpilot (f ) = Rpilot (f ) . Spilot (f ) (19)

From Hpilot (f ), the overall frequency response H (f ) of the transmission channel can be derived by using available techniques such as WienerHop ltering or other complex ltering methods. There are several possibilities of inserting pilot carriers into the spectrum of OFDM signals as proposed in Refs. 7 and 8. Each scheme oers its strong point depending on the transmission characteristics of the transmission channel. Thus there is possibility for further research in the allocation of the pilots, especially when single mode optical bers and online optical ampliers are employed in the systems in which the nonlinear phase noises and quadratic distortion characteristics of the bers are combined with the random noises (ASE = amplied stimulated emission) of in-line cascaded optical ampliers. 4. OFDM Systems Design 4.1. Structures of OFDM symbols OFDM symbol is dened as a set of subcarrier channels whose number determines the number of the FFT and IFFT to be used. First, the data carrier is employed for transmission. Second, the pilot carriers would be used for synchronization and estimation of the eects of the transmission medium. Finally, the null subcarrier for protection band and DC carrier can be added. The usefulness of the protection band ensures the sharp roll-o of the brick-wall-like passband of the OFDM symbol. Depending on practical systems, the number of subcarriers of each portion of the message symbol may vary. For example, the symbol structure of Standard 802.16 can be {28 zero, 100 data, zero, 100 data, 27 zero} where the data are imbedded with pilot at special locations of 88, 63, 38, 13, 13, 38, 63, 88. Each complex data sequence, when imbedded into the symbol, would be indexed from 128 to +127. 4.2. Estimation of the design parameters In order to design OFDM symbols, we need to specify the following parameters: BW: spectral width of OFDM symbol; Nused : number of subcarriers to be used in an OFDM symbol; n: the sampling factor, together with BW and Nused the spectral width of the carrier can be specied based on the symbol time as dened in Eq. (3); G: ratio between CP and the symbol time; NFFT : number of FFT points which are selected to be in the order of 2N and greater with N being an integer. NFFT is greater than Nused ; Fs : sampling frequency, Fs = f loor(n BW/8000) 8000;

380

L. K. Dang et al.

f: spectral separation between subcarriers; Tb : useful symbol time period, Tb = 1/f ; Tg : guard time interval (GI), Tg = GTb ; Ts : time interval between OFDM symbols Ts = Tb + Tg ; sampling time: Tb /NF F T = 1/Fs ; length of the cyclic prex: G . When designing the system using the OFDM technique, one need to implement the following. First, the cyclic prex (CP) must be selected as small as possible in order to minimize the energy loss and ensure that the transmission speed is greater than the delay time . Secondly, the length of the OFDM symbol must be much longer than the response time of the transmission channel given by = BW. (20) NT N T Thirdly, OFDM is very sensitive to ICI so the subcarrier spacing N1T should be considered as the best when it is greater than the frequency shift due to Doppler eect fD so that the subcarrier orthogonality would remain satised. 1 1 BW fD N = . (21) NT T fD fD Thus, BW N BW . fD (22)

This is the condition to be satised to determine the number N of subcarriers. 1, meaning that the Further we can deduce that the channel delay time fD smaller the delay of the transmission channel , the wider the frequency band with no change in the passband and the greater the coherent time. 4.3. Simulation and FPGA-based models This section outlines briey the transmission system employing the OFDM technique, including the software platform and the hardware demonstration. The detailed description of the function of each block of the system and an introduction to the DSP Builder and DSP development are given. 4.4. OFDM communication system models The OFDM transmission system integrating both the hardware and FPGA-based platform is shown in Fig. 8 which consists of a randomizer/derandomizer, channel encoder/decoder, IQ mapper/IQ demapper, symbol OFDM, signal OFDM, channel estimation, and an equalizer. Data used for inspection of the system generating the randomizer are stored in some allocated memory. SingalTap of Altera FPGA interfaced via the Standard Joint Test Action Group (JTAG) is used for the inspection and control of the operation of the whole system. Digital signals are converted to analog form via the DAC and then monitored using the spectrum analyzer. The system processes

Simulink Model and FPGA-Based OFDM Communication System

381

Fig. 8.

Hard- and software experimental platform of the OFDM digital transmission systems.

signals at the baseband level; thus the signal spectrum is evaluated on the I- and Q-components. 4.5. Functionalities of OFDM system blocks The principal function of a communication system is to transport information with an assurance of the bit error rate (BER) as pre-determined. Therefore the OFDM system consists of the following blocks: The randomizer blocks. At the randomizer the input data initially would be split into groups of 1s and 0s with a distribution by using XOR and a random bit pattern generation. The bit pattern generation block would be associated with the pseudo-random bit sequence (PRBS) generator. The eects of the randomizer are to avoid identical bit patterns so as to avoid the diculty in recovery of signals to its original bit sequence. The derandomizer at the receiver performs reverse process of the randomizer. Channel encoder. This is the most important block and plays the major part in the coding and assists in the recovery of the decoder block with redundancy bits. In this work the convolutional coder employed is associated with the Viterbi algorithm to ensure perfect corrections of error. Turbo algorithm can also be employed to further improve the performance of the decoder. IQ mapper block. This block converts the bits into a set of bit patterns corresponding to the states of the constellation of modulation scheme. In OFDM this is called the mapper which can be formed to further increase the bit rates and hence the spectral eciency by higher order or level of the constellation. QPSK or M-ary QAM can be used. In the reverse process the demapper is used to transform the constellation points back to the bit pattern. OFDM symbol generator block. After conversion of the bit sequence into symbol, the serial bit sequence would be converted to parallel blocks and assembled

382

L. K. Dang et al.

with pilots or DC symbol and protection symbol; these symbols form the OFDM symbol. Each symbol represents a frequency spectrum so as to superimpose on the subcarriers. OFDM signal block. The OFDM symbol would then be IFFT-transformed to generate OFDM signals. Thus OFDM signal is a combination of all the spectra of the OFDM symbols. Afterwards the IFFT cyclic prex would be inserted at the beginning to form the OFDM signal for transmission over the channel. These signals are converted to the analog form via the DAC and then converted to the wireless or optical domain depending on the transmission medium. The transmission channel is simulated using delay and summation to represent the delay paths of signals over a wireless medium. If optical ber is used, then the Schr odinger equation of the complex amplitude would be used in association with the split step process to propagate the OFDM signals. The noises are modeled using AWGN method. Other blocks in the receiving ends would be formed with their operations in a reverse order and in complement to those described for the transmitting end blocks.

4.6. DSP Builder and FPGA-based systems for OFDM The software platform used in this work is the DSP Builder of Alterra for digital signal processing applications. The Builder is operating in a MATLAB Simulink environment. Available blocks in DSP Builder facilitate the development of the communication system. Simulink blocks can also be integrated to analyze simulated results and monitor signals at dierent sections and blocks of the model. Most importantly, the DSP Builder can convert the design block systems into VHDL, allowing the storage and copying of data and their integration to form the design data for hardware implementation. Furthermore, the DSP Builder would also allow us to conduct simulation of ltering and more complex functions by using MegaCore Functions available in the builder. MATLAB Simulink9 generates the model, integrating the blocks of DSP Builder with those of the Simulink. The Register Transfer Level (RTL) expresses the simulation model and the DSP Builder supports the ModelSim by TCL scripts. Created VDHL format model can be used by other software packages for simulation if desired. The les obtained from the DSP Builder Signal Compiler can be combined with RTL. The DSP Builder supports TCL scripts for automatic integration with other software packages such as Quartus II, Synplify, or LeonardoSpectrum. The design platform in this work is written in the Quartus II software environment. The DSP Development Stratix EP1S25 is used. These are the kits employed to design applications of digital signal processing by DSP builder or HDL languages. The kit comprises Stratix DSP Development Board, QuartusII software package, DSP Builder, and IP core MATLAB/Simulink. Main devices are incorporated on

Simulink Model and FPGA-Based OFDM Communication System

383

the Stratix EP1S25 with the main device 25660 logic elements at the fastest speed, packaged with FineLine BGA and a total memory of 1,944,576 bit RAM. The development kit consists of (i) two ADC-12-bit, maximum sampling rate 125 Msamples/s, 2-complement data converter, frequency of input signals greater than 1 MHz, peak-to-peak input amplitude 2 V; (ii) two DAC-14-bit, operating speed 165 MHz, conversion to analog signals from digital form, peak-to-peak amplitude of 1 V; 2 Mb SRAM with 7.5-ns synchronization, each SRAM with 18 address lines, 36 data bus and 1 MB 32 Mbit ash memory. In general the library of the DSP Builder consists of a number of blocks whose functionalities include4 : Library AltLab, Block SignalCompiler, Block SignalTap II Analysis, Library Arithmetic, Library Board, Library Complex Type, Gate and Control, Library IO and Bus, Rate Change, Library Storage, and Library MegaCore. 5. OFDM System Platform This section gives the principal function of the design and associated blocks of the OFDM system including I/O ports, randomizer, convolutional code block, and structures of OFDM symbol. Employment of the cyclic prex is based on 802.16. 5.1. Random generation of data signals 5.1.1. Principles of generation The logic diagram of the randomizer would consist of a shift register and two exclusive OR gates. The principles of operations of this block are briey described above. 5.1.2. Design of the pseudo-random generator The MATLAB Simulink model of the generator is given in Fig. 9, it is integrated in the DSP Builder. The pseudo-random binary sequence generator can be constructed in this block using the sequence 1 + X14 + X15 . The pseudo-random sequence

Fig. 9.

Schematic diagram of the randomizer.

384

L. K. Dang et al.

can be generated from the initial sequence set 100101010000000. The input is XORed with the output of the pseudo-random binary sequence generator. The signals ena and rst enable the reset and rest of the pseudo-random generator. The derandomization at the receiver can be done by passing the signals again through the randomnizer. In this work the input is in the form of binary sequence, thus there is no need for the conversion from the decimal form to the binary form. 5.2. Channel encoding The encoder is shown in Fig. 10 for generation of the convolutional code with the determined speed of 1 2 . The length of the encoder is seven symbols and the polynomial used is G1 = 171OCT and G2 = 133OCT . The encoder is formed by using six tap delays and two XOR gates with ve inputs. In CC is the input of the encoder and out CC1 and out CC2 are the two outputs corresponding to G1 and G2 . The signals ena and rst are for enabling and resetting the encoder. 5.3. Decoding using Viterbi algorithm The decoder is designed using Viterbi algorithm. The algorithm consists of four main blocks: branch metric, add compare and select, survivor path metric, traceback and output decoding block. The branch metric is used to estimate the Hamming distance of the bits, e.g. 2 bit if the speed of codes is R = 1 2 with branches. The summation block conducts selective addition and is responsible for estimating the total Hamming distance of the branches in the current state and it keeps only the shortest distance branch. This block selects and determines the optimum sequence. The traceback and output decoding block is responsible for selection, rechecking the sequence at the optimum state and determine the output sequence which is the decoding sequence of the algorithm. Figure 11 shows the decoding techniques model using MegaCore so as to construct the decoder using Viterbi algorithm. The Viterbi algorithm is based on the best suitable sequence. Therefore the determination of the length of the sequence

Fig. 10.

Encoder for generation of convolutional code.

Simulink Model and FPGA-Based OFDM Communication System

385

Fig. 11.

Schematic of the decoder using Viterbi algorithm on MATLAB Simulink.

inuences the eectiveness of the decoder. If the length is too long, then there would be more numerical operations, increasing the memory storage and the delay time. On the other hand, if the length is too short, then it limits the error correction potential of the algorithm. 5.4. Signal constellation 5.4.1. Constellation Constellation is the modulation technique to transform the sequence of m bit into a complex phasor in the form of a + jb. The number of bits m depends on the number of states of the constellation. For example, a 16-QAM has four bits per symbol, which has 16 points on the constellation. The mapper uses the method of modulation but the modulation function rests at the IFFT and the DAC at the output. There are a number of methods for constructing the mapper by using the look-up table based on data stored in ROM memory. In this work, the mapper uses the structure of look-up tables which are classied for the I- and Q-components. The data used for forming the constellation are stored in the look-up table. The output values vary from 1 to +1. The output of the DAC is represented by a 14-bit word. Thus, this work normalizes the sequence into xed point arithmetic values. Figure 12 shows the MATLAB Simulink model of the constellation generator. 5.4.2. Reconstruction from constellation At the receiving end, the constellation points representing the states of the OFDM symbols at the output of the transmission medium, are accumulated. Thus the constellation demodulator must set the decision levels so as to determine the constellation points of the receiver. The demapper is constructed using Verilog language. The decision point is based on the shortest Euclidean distance to the received signals. When QPSK modulation is used, the demapper can simply determine by

386

L. K. Dang et al.

Fig. 12.

MATLAB Simulink model for QPSK modulation.

Fig. 13.

Schematic of the modulator using 16-QAM by MATLAB Simulink.

evaluating the most signicant bit of the bit sequence received which is the sign bit of the received sequence. For the 16-QAM multilevel modulation scheme, the demapper is designed based on the rule of IF-THEN. The output data are fed into the FIFO and then into the decoder. In the OFDM symbol there are 192 data values to be transmitted. Thus the FIFO needs 192 memory pair shift registers so as to store the I- and Q-component values. Figure 13 illustrates the Simulink model of the demapper for the 16-QAM modulation. 5.5. OFDM symbols An OFDM symbol consists of the data payload, the pilot, and the 0 patching up values and the inserted symbol pilots. These pilots are used to estimate the eects of the transmission medium at the receiving end. 5.5.1. Generation of pilot signals In order to generate the pilot, we need to use the pseudo-random generator/ randomizer. The polynomial for the PRBS is (1 + X 9 + X 11 ) as shown in Fig. 15. The coecients of the polynomial are based on 10101010101B. The pilot signals for

Simulink Model and FPGA-Based OFDM Communication System

387

Fig. 14.

Demapper block.

Fig. 15.

Generation of pilot signals.

the k th OFDM symbol can be derived from the value wk . The interleaving values can be determined as c88 = c38 = c13 = c38 = c63 = c88 = 1 2wk and c63 = c13 = 1 2(!wk ). The Simulink model for generating the pilots is given in Fig. 15 which consists of a LFSR block to generate random sequence, a block for generating the location of !wk and nally the arithmetic operator to generate (1 2wk ) or (1 2(!wk )). If we index the symbol from 0 to 255 then the values 63 and 13 would become 65 and 115 which are the time indices for determining the location of the bit in the OFDM symbol. The signal ena and rst are used for enabling and resetting the circuitry. The pilot signal is the output of the block. 5.5.2. Assembly and separation of symbols The assembly and separation of the constituents of OFDM symbols are implemented as shown in Figs. 16 and 17, respectively. The arrangement of the data sequence of OFDM symbols is based on Standard IEEE 802.16 and dened as follows: {28 0s, 100 data, zero, 100 data, 27 0s} in which the locations of the pilots are at c88 , c63 , c38 , c13 , c13 , c38 , c63 and c88 . Verilog language is used to generate this arrangement. The inputs of assembler are the index of the symbol, the data to be transmitted, pilot and zeros for patching and

388

L. K. Dang et al.

Fig. 16.

Generation of OFDM symbols by combining of constituents.

Fig. 17.

Separation of constituents of OFDM signals.

data for the I- and Q-components as shown in Fig. 16. The output of this assembler is IFFT-transformed to generate OFDM signals with control timing signals as shown in Figs. 17 and 18. The disassembling of the OFDM signals at the receiving end can be implemented with the input to the FFT block. The data information is then fed into the equalizer as shown in the separator of Fig. 19.

Fig. 18.

OFDM generator.

Simulink Model and FPGA-Based OFDM Communication System

389

Fig. 19.

Schematic of the Separator of OFDM signals.

5.6. Transmission medium and evaluation of OFDM signals 5.6.1. Transmission medium models The AWGN transmission channel is structured in a software platform and stored in memory. The output signals with cyclic prex inserted would be superimposed with noises (Figs. 20 and 21). 5.6.2. Equalizer The equalization block is shown in Fig. 22. The estimation of transmission medium from the pilots is received for setting of the coecients of the equalizer, which are updated from time to time.

Fig. 20.

Generation block for cyclic prex insertion.

390

L. K. Dang et al.

Fig. 21.

Noise generation and superposition.

Fig. 22.

Estimator for transmission channel and equalization.

5.6.3. Error analyzer The error analyzer is shown in Fig. 23. It is used to estimate the bit error rate (BER) of the decoded data sequence as compared to the original data sequence at the transmitting end. 5.6.4. Control signals The monitoring of the performance of the hardware system is incorporated in a look-up table. Our OFDM signals carry 256 subcarriers in which 55 values at the

Simulink Model and FPGA-Based OFDM Communication System

391

Fig. 23.

BER counter and analyzer.

Fig. 24.

Generation of control signals.

beginning and at the end of the symbol are patched up with zeroes. Thus, with eight pilots used, the total number of bits for data payload would be 192. Convolution code is used with a factor of 1 2 . Figure 24 shows the structure of the block for control signals generation. 5.7. Hardware implementation The schematic of an OFDM system developed in this work is shown in Fig. 25. Similar shaded blocks are used to indicate the block and its counterparts at the transmitting and receiving ends respectively. The functions of each block are described in the previous section. The functions of the blocks of this diagram are listed in Table 1. 6. Simulation and Experimental Platform The OFDM signals are monitored by the software platform SignalTap which is integrated in the hardware system, in particular the FPGA-based section. The results obtained are then displayed on a desktop computer. SignalTap also updates signals in real time. The development board is also updated via software platform board using JTAG standard. In order to study the functions and performances of each block of the system as described above, we monitored and accumulated

392

L. K. Dang et al.

Fig. 25.

Schematics of OFDM systems as constructed on DSP Builder.

data at the inputs and outputs of each block.11,12 Delay adjustments are made to compensate for the data accumulation. This section thus presents the experimental results obtained including the monitoring of the spectra of OFDK system via an external spectrum analyzer. 6.1. Randomizer The data passing by the randomizer twice would give the same sequence at the input. Once randomized, the data are indexed with 1 or 0 which appear continuously. Figure 26 depicts the waveforms of the data sequence at the input and output of the randomizer after one and two passing. This conrms the working principles of the randomizer as described above. 6.2. Encoder The input randomized data sequence would be encoded using convolutional code principles with a coding speed of 1 2 . After coding, noises are superimposed by a bit-complementary transmission channel. At this stage the probability of error is 1 4 with an error sequence of 01000100. After this the Viterbi algorithm is used to decode the encoded data sequence; the decoded sequence must be the same as the original data sequence. Figure 27 shows the performance of the encoder with the input data sequence, its output after the encoder, and then the output of the decoder which is identical with its original image. Thus this conrms the working of the encoder developed using FPGA-based processor. The coding speed of 1 2 means that for each bit entering the encoder, there would be two bits following the pattern g1 and g2 which are represented as a pair of bits (g1 g2 ) where g1 is the most

Simulink Model and FPGA-Based OFDM Communication System Table 1. Blocks Description of blocks of the OFDM systems (Fig. 25). Data lines Names Sink Generating data for transmission and control signals index out rd data Ena data out Randomizer Randomization of data sequence Encoding ena in ran rst ena in cc rst out cc1 out cc2 rd data wr data in map sclr out mapI out mapQ Assembling Symbol OFDM Generating OFDM symbol by assembling data and inserting pilot and zero patching Generating OFDM signals by IFFT index in dataI in dataQ out I out Q index in in real in imag out real out imag out sop out rst in real in imag sclr out real out imag in real in imag out real out imag sop rx eop rx Functions Indexing of symbol Reading data after constellation at the FIFO Enabling data output Output data Enabling processing Data to be randominzed input Reset Enabling processing Data to be encoded input Reset Output g1 = 171oct Output g2 = 133oct

393

Functions

Channel Encoder

Mapper

Mapping to constellation points

Retrieve data from FIFO memory Writing data to FIFO memory Data input to be mapped to constellation points Erase FIFO memory In phase output of mapper points states of constellation Quadrature phase output Symbol index Inphase input Quadrature phase input Inphase output Quadrature phase output Symbol index Real input Imaginary input Real output Imaginary output Start of fame at output Reset output for new symbol Real input Imaginary input Erase index of data at output Output real Output imaginary Input real Input imaginary Output real Output imaginary Start frame at receiving end after removing CP End of frame at receiving end

Signal OFDM

Cyclic Prex

Insert cyclic prex

Remove Cyclic Prex

Removing cyclic prex

394

L. K. Dang et al. Table 1. Blocks Functions Names (Continued ) Data lines Functions Input real Input imaginary Start of receiving frame End of receiving frame Output real Output imaginary Start of frame output Inphase input Quadrature phase input Start of output fram Inphase output QUadrature phase output Inphase pilot output Quadrature phase pilot output Writing into FIFO memory Index of received data Inphase input data Quadrature input data Pilot inphase input Quadrature pilot input Inphase output Quadrature phase output Inphase input data Quadrature phase input data Writing into FIFO memory Reading data from FIFO Data output after mapping to constellation Input data to be decoded Enabling decoding Output of decoder Enable output of decoder Input data to be decoded Enabling randomnization Randomnized Data

Signal OFDM

Decomposition of OFDM signals by FFT

in realrx in imagrx in sop In eop out realrx out imagrx sop rx in realrx in imagrx sop rx data I data Q pilot I pilot Q wr data index data I data Q pilot I pilot Q rx I rx Q rx I rx Q wr data rd data data out

Disassembling Symbol OFDM

Decomposition of OFDM signals to collect data, pilot

Equalizer

Estimation of transmission channel characteristics

Demapper

Conversion of constellation points to data sequence

Channel Decoder

Decoding using Viterbi algorithm

in dec sink val out dec out ena in ran ena out ran

Derandomizer

Decoding by de-randomization

signicant bit and g2 is the least signicant bit. The clock period of the decoder is four times longer than the recovery temporal length. Thus for a recovery length of 42, the length of the decoder is 7, and a delay time of the decoder is 168 clock intervals. This would allow us to set the delay time for comparison between the input encoded data sequence and that of the output of the decoder. The sequence waveforms in Fig. 27 can then be conrmed to demonstrate the working of the encoder and decoder. Note that for every four bits transmitted, there would be one error bit and this error bit is corrected by the Viterbi algorithm.

Simulink Model and FPGA-Based OFDM Communication System

395

(a)

(b)

(c) Fig. 26. Experimental observation of the randomizer. (a) Original data sequence. (b) Randomized data sequence. (c) De-randomized data sequence.

(a)

(b)

(c)

(d)

Fig. 27. Experimental signals obtained at the encoder. (a) Original data sequence. (b) Encoded data sequence. (c) Encoded data with added noises. (d) Decoded data sequence.

6.3. Signal processing at the transmitter The data sequence at the output of the transmitting end is formed by the randomizer. It is fed into the input of the encoder, the mapper for mapping to the constellation points, assembling into OFDM symbol and cyclic prex. Furthermore, the output of DAC is a unipolar signal; thus addition of DC component and conversion to bipolar is required. Each OFDM symbol is transmitted within 320 clock periods; hence the obtained waveforms are presented in 320 clock periods. 6.3.1. Encoder Because the randomized data sequence consists of 192 binary bits, in order to ensure the right error correction of the 7-bit-long error encoder, we need to path a 0 at the end of the bit sequence.

396

L. K. Dang et al.

(a)

(b)

(c) Fig. 28. Data input to the mapper and after the mapper for mapping to the constellation for QPSK modulation. (a) Input data sequence to the mapper. (b) Inphase component sequence at the output of the mapper. (c) Quadrature phase component sequence at the output of the mapper.

6.3.2. Constellation transformer The encoded data sequence would then be transformed to the states of the constellation using the mapper. With the convolutional encoding of 1 2 , the output of the encoder is a 2-bit pair, or two bits per symbol state, which is equivalent to one state of QPSK. Figure 28 shows the experimental waveform monitored at the output of the encoder, consistent with the constellation mapper. The results obtained for the I- and Q-components with xed points are consistent. 6.3.3. Forming OFDM symbols Figure 29 shows the observed waveforms of the block performing the assembling of data and pilot and zero patching for OFDM symbol, which consists of 256 points

(a)

(b)

(c)

(d)

Fig. 29. Structure of an OFDM symbol. (a) Rearranged data in the I-component to bypass pilot location. (b) Q-component. (c) Final OFDM symbol in I-axis. (d) Final OFDM symbol in Q-axis.

Simulink Model and FPGA-Based OFDM Communication System

397

with a structure of {28 0s, zero, 100 data bits, zero, 100 data bits, 27 0s}. In this structure, the data-bit sequence consists of eight pilots at the locations of c88 , c63 , c38 , c13 , c13 , c38 , c63 and c88 . These pilots are not standardized. Thus, they would have values greater than the data bits. The input data sequence would bypass the locations of these pilots and the inserted pilot. The output of the OFDM symbol block is consistent with its symbol structure. 6.3.4. Generation of OFDM signals After forming the OFDM symbol, the IFFT would be used to form OFDM signals (Fig. 30). Both I- and Q-data sequences are fed into the real and imaginary inputs of the IFFT block. Thus the output of the IFFT is a complex sequence and we may observe the PAPR eects of the waveform at this output as described in the section above. 6.3.5. Insertion of cyclic prex Figure 31 shows the waveform after insertion of cyclic prex. The length of the cyclic is 1 4 . The cyclic is inserted at the beginning of OFDM signals. The data at

(a) Fig. 30.

(b) OFDM signals. (a) I-component. (b) Q-component.

(a)

(b)

(c)

(d)

Fig. 31. Transmitted signals before and after adding cyclic prex after 192 clock period delay. (a) I-component without cyclic prex. (b) Q-component without cyclic prex. (c) I-component with cyclic prex. (d) Q-component with cyclic prex.

398

L. K. Dang et al.

(a) Fig. 32.

(b)

Combined OFDM signals. (a) I-component signal. (b) Q-component signal.

(a)

(b)

(c) Fig. 33. Transmitted signals after AWGN channel. (a) Random noises generated by the randomizer. Superimposed signals and noises of (b) the I-component, and (c) the Q-component.

the end would be copied to the beginning so as to form a signal with 320 intervals in the time domain. These signals are then passed through the DAC to give the baseband OFDM signals. The output of the DAC is unipolar 14 bit thus the IFFT would be set up so that there is unipolar waveform at the output of the IFFT. The DC component would be added with an appropriate value of 8192. Figure 32 shows the waveform after this superposition. 6.3.6. Transmitted signals The signals at the transmitter would be added with noises which have a natural probability density distribution to mimic the AWGN channel noises that are normally presented in wireless transmission medium or polarization dispersion and optically amplied ber cascaded spans. Figure 33 shows the transmitted signals after superimposing noises to give a SNR of 20 dB. Naturally when the signals pass through the medium, errors would occur. However, the decoder can perform error correction. 6.4. Processing of signals at the receiving ends The rst block at the receiving end of the OFDM system has the responsibility of removing the cyclic prex. In this work the removal is done by controlling the

Simulink Model and FPGA-Based OFDM Communication System

399

start of sop and nish of the frame eop. These two signal indicators indicate the FFT to receive only 256 values of the OFDM signal frame. The output of the FFT would then be fed to the blocks responsible for disassembling the OFDM symbols, demapping the constellation points, decoding and error correction and derandomization. At the same time the output of the receiving end block would be compared with the data sequence at the transmitting end and error counting would be performed to obtain the BER of the system. 6.4.1. FFT transform to recover OFDM symbol OFDM signals are passed through the FFT so as to receive the data sequence as shown in Fig. 34. Due to superimposition of the noises on the signal, correction is implemented at the decoder using the Viterbi algorithm. 6.4.2. Disassembling OFDM symbol block The OFDM signals are then disassembled into data, pilot and eliminated zero patching sections. The pilot parts would be used to estimate the distortion eects of the transmission channel and then used for the equalizer of the receiving end section. Figure 35 shows the waveforms obtained at the transmitting and receiving ends at the assembling block and disassembling block. 6.4.3. Constellation and encoder The output of the disassembling block would be fed into the constellation mapper. The output would be a pair of bits for QPSK modulation states on the constellation. With the errors accumulated, the Viterbi algorithm associated with the decoder can correct without much diculty, as proven in our experimental system.

(a)

(b)

(c)

(d)

Fig. 34. Data waveforms after FFT. (a) I-channel waveform of OFDM symbol at the transmitting end. (b) Q-channel waveform at the transmitting end. (c) I-component of OFDM signals at the receiving end. (d) Q-component of the OFDM signals at the receiving end.

400

L. K. Dang et al.

(a)

(b)

(c)

(d)

Fig. 35. Comparison of OFDM symbol at the transmitting and receiving ends. (a) I-component data sequence of one symbol at the transmitting end. (b) Q-component data sequence of one symbol at the transmitting end. (c) I-component data sequence of one symbol at the receiving end. (d) Q-component data sequence of one symbol at the receiving end.

6.5. System performance 6.5.1. System parameters and resources used The speed of the operating system is set at 100 MHz for the complete OFDM system. The number of FFT points is 256 with a cyclic prex of 1 4 . Thus there would be 320 value levels of the signal and 320 clock pulses for transmitting one symbol OFDM. In the 256 values to be set at the IFFT, there are 192 useful data locations. The system employs the convolutional code of Hamming distance of 1 2 and QPSK modulation scheme with 2 bits/state. Thus the useful speed of the system is 60 Mb/s. FPGA is used for the design of systems and facilitates the setting of the operating condition of the system, as well as interfaces to various sections of the board for the transmitting and receiving ends. The details of the FPGA are listed in Table 2. The number of logic elements is 17,389 with a total LAB of 2020. Thus with the potential of the DSP Development Kit and Devices Stratix EP1S25, we can design

Table 2. STT 1 2 3 4 5 6 7 8

Resources of the development systems. Resources Use 17,389/25,660 (68%) 14,900/29,168 (51%) 2020/2566 (79%) 54/598 (9%) 19/224 (8%) 136/138 (99%) 460,520/1,944,576 (24%) 637,632/1,944,576 (33%)

Total logic elements Total registers Total LABs I/O pins M512s M4Ks Total memory bits Total RAM block bits

Simulink Model and FPGA-Based OFDM Communication System

401

and implement OFDM communication system or any other digital communication systems with equivalent complexity.

6.5.2. Spectra of OFDM signals The wireless transmission channel can be modeled as a set of delay paths and interference of signals. For optical guided wave channel, the distortion eects are mainly due to chromatic and polarization dispersion eects, as well as nonlinear self phase modulation eects. One must address the fact that such models are applicable to passband communication systems. For example, in the case of optical communication systems, the lightwave frequency is very high in the tera-Hz range and it is very dicult for the digital computing systems to operate if we include and sample the data at this speed. Indeed it must be a few ten-times higher than the center frequency of the carrier. Thus the common complex amplitude signals are used to represent the envelope of the signals. The phase of the carrier is included as the complex part of the amplitude. The signals are observed at the output of the DAC. The spectra of OFDM signals generated by MATLAB Simulink section under noiseless and noisy conditions are shown in Figs. 36 and 37 respectively. The monitored OFDM spectra at the output of the digital to analog converter of the FPGA board are shown in Fig. 38, at the receiver output that indicates the agreement of the analysis and simulation. There are some deviations of the spectra, possibly due to electromagnetic interference. The modulation is QPSK with the I- and Q-channels monitored. Implementation of M-ary PSK would also be possible without much diculty. The frequency scale in Fig. 37 is normalized to 80 MHz; the bandwidth of the OFDM system is 64 MHz which agrees well with the experimental spectrum in Fig. 38. It is noted that the MATLAB observation point is at the position where no cyclic prex has been added. Clearly the spectrum indicates the true representation of the composite data signal waveforms. The roll-o of the spectrum observed in this experiment (Fig. 38) is due to the roll-o characteristics of the

(a)

(b)

Fig. 36. Spectra of noiseless OFDM signals as obtained on MATLAB Simulink. (a) I-component. (b) Q-component. Normalized frequency.

402

L. K. Dang et al.

Fig. 37. Nonnormalized spectrum of OFDM signals superimposed with noises as observed on MATLAB Simulink platform. Note that no cyclic prex is included at this observation.

(a)

(b)

Fig. 38. Spectra of received signals as observed at the output of the DAC on a spectrum analyzer. (a) I-channel at 8.7 dB/div. (b) Q-channel at 8.7 dB/div.

low-pass ltering of the DAC subsystem at the transmitting side. This could be corrected without much diculty. 7. Concluding Remarks and Further Research In this paper, we have demonstrated an OFDM communication platform using both MATLAB Simulink and software development facilities of an FPGA-based development hardware to prove the principles and performances of systematic blocks of the system for generation of OFDM symbols, encoding, and mapping

Simulink Model and FPGA-Based OFDM Communication System

403

to QPSK symbols with the possibility of upgrading to 16-QAM models of transmission medium. The use of pilots has enabled the estimation of the transmission medium characteristics and hence their employment in the equalizer at the receiver. The waveforms are monitored at the transmitting end blocks and at the receiving end so as to conrm the working principles of the design of various blocks of the OFDM communication systems. The models presented in this paper will be modied and integrated into a MATLAB development platform for simulation of OFDM signals transmission through optically amplied multi-span optical ber communication systems.13 This will be used to study various OFDM signaling techniques to combat impairment and associated mitigation technqiues for ultra-long transmission without using dispersion compensating modules and associated optical ampliers. These works will be reported in the future. Furthermore, the developed system reported here would be expanded to include all kinds of wireless transmission media and channel estimation for broadband wireless access for the 4G wireless communication networks with the bit rate reaching 1 Gb/s and above. For long-haul optical amplifed ber transmission systems, we identify the following issues/problems for further research: Techniques for the reduction of the peak to average values of OFDM signals in order not to drive the optical modulator into the nonlinear region, especially when an optical interferometric modulator is used. Optical phase modulator may be used in association with clamping of the peak amplitude. The error contribution to the signal recovery due to this clamping will be studied and investigated by simulation. The model developed in this paper will be integrated with a MATLAB Simulink platform for multi-span optically amplied ber transmission to study these eects and the mitigation techniques to reduce the impairment. Under long-haul multi-span transmission, OFDM will suer the eects of linear chromatic dispersion and polarization mode dispersion, and nonlinear eects such as self-phase modulation, cross-phase modulation and four-wave mixing. However, we believe that the self-phase modulation eects will aect the I- and Q-components of the passband OFDM signals and the cross-phase modulation eects will create interchannel interference eects in the frequency domain of adjacent optical channels (i.e. at dierent wavelengths of systems employing dense wavelength division multiplexing). Mitigation techniques will be employed to overcome the distortion eects identied above such as equalization of OFDM, especially the equalization in the frequency domain. A parallel bank of these FPGA-based processors will be structured to form extremely wideband signals for modulating an IQ optical modulator for long-haul optical transmission, metropolitan or access optical Internet operating with multi-Gb/s bit rates.

404

L. K. Dang et al.

References
1. Garc a J., Cumplido R., On the design of an FPGA-Based OFDM modulator for IEEE 802.16-2004, Proc. Int. Conf. Recongurable Comp. FPGAs, ReConFig 2005, pp. 14, 2005. 2. Chang K.-C., Sobelman G. E., FPGA-based design of a pulsed-OFDM system, Proc. APCCAS 2006, IEEE Asia Pacic Conf. Circ. Syst, 2006. 3. Dang L. K., Nguyen T. A., Bui H. P., Nguyen H. P., Hardware implementation of OFDM systems, J. Dev. Res. Sc. Tech., Public University of Natural Science, HCM City, 2009. 4. Altera Corporation, Stratix EP1S25 DSP Development Board, 2005. http://www. altera.com/technology/dsp/dsp-index.jsp. 5. Weinstein S. P., Ebert P. M., Data transmission by frequency-division multiplexing using the discrete Fourier transform, IEEE Trans Comm. Tech. 19(5): 628634, 1971. 6. Peled A., Ruiz A., Frequency domain data transmission using reduced computational complexity algorithms, Proc. IEEE Int. Conf. Acoustics Speech Sig. Proc. (ICASSP80), pp. 964967, 1980. 7. Hara S., Prasad R., Multicarrier Techniques for 4G Mobile Communications, Artech House, 2003. 8. Barkat M., Signal Detection and Estimation, Artech House, Norwood, MA, 2005. 9. Binh L. N., Digital Optical Communications, CRC Press, Florida, USA, Chapter 11, 2009. 10. MathWorks, access date March 2010. http://www.mathworks.com/products/ simulink/. 11. Altera Corporation, Viterbi Compiler User Guide. http://www.altera.com/technology /dsp/dsp-index.jsp. 12. Altera Corporation, FFT MegaCore Function User Guide. http://www.altera.com/ technology/dsp/dsp-index.jsp. 13. Binh L. N., MATLAB Simulink modeling of Raman hybrid amplication for long distance hut-skipped undersea optical ber transmission systems, Opt. Eng. 48(10): 105005-1105005-12, 2009.

También podría gustarte