Está en la página 1de 192

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

|
Materia: Sistemas Digitales

Unidades temticas:
I. II. III. IV.

V.

Sistemas numricos y cdigos Familias lgicas Diseo lgico combinacional Circuitos combinacionales prcticos Circuitos secuenciales

Manual Terico

Nombre del catedrtico:


M.C. Gloria Mnica Martnez Aguilar Ing. Eduardo Salazar Valle
SISTEMAS DIGITALES 0

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

|
Materia: Sistemas Digitales Carrera:
Tecnologas de la Informacin y Comunicacin

Unidades temticas:
I. II. III. IV. V.

Sistemas numricos y cdigos Familias lgicas Diseo lgico combinacional Circuitos combinacionales prcticos Circuitos secuenciales

Manual Terico

Nombre del catedrtico:


M.C. Gloria Mnica Martnez Aguilar
SISTEMAS DIGITALES Ing. Eduardo Salazar Valle 1

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

CONTENIDO
Competencias:..................................................................................................................................... 4 Objetivo de la Asignatura .................................................................................................................... 4 Introduccin ........................................................................................................................................ 4 I. Sistemas numricos y cdigos................................................................................................... 12 Objetivo ........................................................................................................................................ 12 1.1 1.2 1.3 1.4 II. Representacin de sistemas numricos ..................................................................... 12 Conversin....................................................................................................................... 18 Aritmtica binaria ............................................................................................................ 27 Cdigos ............................................................................................................................ 33

Familias lgicas. ......................................................................................................................... 34 Objetivo ........................................................................................................................................ 34 2.1 Seales digitales .................................................................................................................. 34 2.2 Compuertas lgicas ............................................................................................................. 35 2.2 Familias TTL y 2.4 Familias CMOS ............................................................................ 45

III. Diseo lgico combinacional ........................................................................................................ 51 Objetivo ........................................................................................................................................ 51 3.1 Representacin de funciones lgicas ............................................................................... 51 3.2 lgebra booleana ........................................................................................................... 55

3.3 Mapas de Karnaugh ............................................................................................................ 62 3.3.3 Reglas de simplificacin ........................................................................................................... 74 3.3 Proceso de diseo de un circuito combinacional ...................................................... 77

IV. Circuitos combinacionales prcticos. ........................................................................................... 83 Objetivo ........................................................................................................................................ 83 4.1 4.2 4.3 4.4 4.5 Entradas de habilitacin y de tercer estado en dispositivos electrnicos. ............ 83 Decodificadores y Codificadores.................................................................................. 84 Multiplexores y demultiplexores ................................................................................... 89 Comparadores de magnitud ......................................................................................... 95 Circuitos aritmticos ....................................................................................................... 97

SISTEMAS DIGITALES

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

V. Circuitos secuenciales ................................................................................................................. 119 Objetivo ...................................................................................................................................... 119 5.1 Diferencias entre circuitos combinacionales y secuenciales ...................................... 119 5.2 Flip-flop ................................................................................................................................ 120 5.3 Comportamiento monoestable, biestable, estable y metaestable. ............................ 135 5.4 Contadores ......................................................................................................................... 138 5.5 Registros de corrimiento ................................................................................................... 154 5.6 Memorias............................................................................................................................. 163

SISTEMAS DIGITALES

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Competencias: Implementar y administrar Redes de rea Amplia y servicios de


cmputo que garanticen el ptimo manejo de informacin de las organizaciones. Implementar enlaces de telecomunicaciones que satisfagan las necesidades de comunicacin de las organizaciones.

Objetivo de la Asignatura: El alumno disear sistemas electrnicos


digitales para resolver problemas de los equipos de telecomunicaciones.

Introduccin
Antes de entrar en los detalles de esta asignatura, es interesante tener una perspectiva mayor, para entender el contexto de esta asignatura, en qu fundamentos se basa y cmo se relaciona con el resto de asignaturas. Electrnica Esta asignatura trata sobre Electrnica. La Elecrnica estudia el comportamiento de los electrones en diversos medios, y se aplican estos conocimientos para conseguir que los electrones hagan lo que nosotros queramos. As por ejemplo, si construimos un circuito electrnico constituido por una pequea bombilla, una pila y un interruptor (figura 1) y lo conectamos, lograremos que los electrones circulen por todo el circuito y que al atravesar la bombilla parte de ellos se conviertan en luz. Hemos conseguido que los electrones nos obedezcan!! Para dominar a los electrones, es necesario crear circuitos electrnicos, formados por materiales conductores (cables) que unen todos los componentes del circuito, de la misma manera que hay tuberas de agua que recorren nuestras casas, uniendo diferentes elementos: grifos, llaves de paso, el contador del agua...

SISTEMAS DIGITALES

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

El objetivo de la electrnica aplicada es construir circuitos electrnicos para que los electrones se comporten de la manera que a nosotros nos interese.

Figura 1.- Un circuito electrnico muy simple: pila, interruptor y bombilla.

Electrnica Analgica Uno de los grandes retos del hombre es el de manipular, almacenar, recuperar y transportar la informacin que tenemos del mundo en el que vivimos, lo que nos permite ir progresando poco a poco, cada vez con ms avances tecnolgicos que facilitan nuestra vida y que nos permiten encontrar respuestas a preguntas que antes no se podan responder. Ahora estamos viviendo un momento en el que esa capacidad de manipulacin, almacenamiento, recuperacin y transporte de la informacin est creciendo exponencialmente, lo que nos convierte en lo que los socilogos llaman la

SISTEMAS DIGITALES

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Sociedad de la informacin, y que tendr (de hecho ya tiene) grandes implicaciones sociales. Con la aparicin de la electrnica las posibilidades para desarrollar esas capacidades aumentaron considerablemente. Para comprender los principios de la electrnica analgica, nos centraremos en un ejemplo concreto: la manipulacin, almacenamiento, recuperacin y transporte de una voz humana. Cuando hablamos, nuestras cuerdas vocales vibran de una determinada manera, lo que originan que las molculas del aire tambin lo hagan, chocando unas con otras y propagando esta vibracin. Si no existiesen esas molculas, como en el espacio, el sonido no se podra propagar.

Figura 2.- Un trozo de una seal acstica.

Si medimos la vibracin de una de estas molculas, durante un intervalo corto de tiempo, y la pintamos, podra tener una pinta como la que se muestra en la figura 2. A esta vibracin la llamaremos seal acstica. Cuando esta seal acstica incide sobre un micrfono, aparece una seal elctrica que tiene una forma anloga a la de la seal acstica. Las vibraciones de las molculas se han convertido en variaciones del voltaje, que al final se

SISTEMAS DIGITALES

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

traducen en vibraciones de los electrones. Es decir, que con los micrfonos lo que conseguimos es que los electrones vibren de una manera anloga a cmo lo hacen las molculas del aire (ver figura 3). Esta nueva seal elctrica que aparece, se denomina seal analgica, puesto que es anloga a la seal acstica original. De esta manera, con seales elctricas conseguimos imitar las seales del mundo real. Y lo que es ms interesante, conseguimos que la informacin que se encuentra en la vibracin de las molculas del aire, pase a los electrones. Cuanto mejor sea el micrfono, ms se parecer la seal elctrica a la acstica, y la informacin se habr copiado con ms fidelidad. La electrnica analgica trata con este tipo de seales, anlogas a las que hay en el mundo real, modificando sus caractersticas (ej. amplificndola, atenundola, filtrndola...). Fijmonos en el esquema de la figura 4. La persona que habla emite una seal acstica que es convertida en una seal electrnica analgica por el micrfono. Estas dos seales son muy parecidas, pero la que sale del micrfono es ms pequea. Por ello se introduce en un circuito electrnico, llamado amplificador, que la agranda (la ha manipulado). A continuacin esta seal se puede registrar en una cinta magntica de audio. Lo que se graba es una copia de la seal, pero ahora convertida a seal magntica. En cualquier momento la seal se puede volver a recuperar, convirtindose de seal magntica nuevamente a seal elctrica. Una parte del sistema se ha llamado sistema de transmisin-recepcin indicndose con esto que la seal elctrica se puede transportar (Por ejemplo el sistema telefnico). Finalmente se introduce por un altavoz que realiza la conversin inversa: pasar de una seal elctrica a una acstica que se puede escuchar. Los problemas de los sistemas analgicos son: 1. La informacin est ligada a la forma de la onda. Si esta se degrada, se pierde

SISTEMAS DIGITALES

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

informacin 2. Cada tipo de seal analgica necesita de unos circuitos electrnicos particulares (No es lo mismo un sistema electrnico para audio que para vdeo, puesto que las seales tienen caractersticas completamente diferentes).

En las seales analgicas, la informacin se encuentra en la forma de la onda.

Figura 3.- Conversin de una seal acstica en una seal elctrica

Figura 4.- Un sistema de tratamiento de voz, con electrnica analgica

SISTEMAS DIGITALES

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Electrnica digital
Existe otra manera de modificar, almacenar, recuperar y transportar las seales, solucionando los problemas anteriores. Es un enfoque completamente diferente, que se basa en convertir las seales en nmeros. Existe un teorema matemtico (teorema de muestreo de Nyquist) que nos garantiza que cualquier seal se puede representar mediante nmeros, y que con estos nmeros se puede reconstruir la seal original. De esta manera, una seal digital, es una seal que est descrita por nmeros. Es un conjunto de nmeros. Y la electrnica digital es la que trabaja con seales digitales, o sea, con nmeros. Son los nmeros los que se manipulan, almacenan, recuperan y transportan. Reflexionemos un poco. Estamos acostumbrados a escuchar el trmino televisin digital, o radio digital. Qu significa esto? Significa que lo que nos estn enviando son nmeros!!!!! Que la informacin que nos envan est en los propios nmeros y no en la forma que tenga la seal que recibidos. Y qu es un sistema digital?, un sistema que trabaja con nmeros. Y un circuito digital? Un circuito electrnico que trabaja con nmeros. Y slo con nmeros!! Si nos fijamos, con un ordenador, que es un sistema digital, podemos escuchar msica o ver pelculas. La informacin que est almacenada en el disco duro son nmeros. En la figura 5 se muestra un sistema digital. La seal acstica se convierte en una seal elctrica, y a travs de un conversor analgico-digital se transforma en nmeros, que son procesados por un circuito digital y finalmente convertidos de nuevo en una seal electrnica, a travs de un conversor digital-analgico, que al atravesar el altavoz se convierte en una seal acstica.

SISTEMAS DIGITALES

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

El utilizar circuitos y sistemas que trabajen slo con nmeros tiene una ventaja muy importante: se pueden realizar manipulaciones con independencia de la seal que se est introduciendo: datos, voz, vdeo... Un ejemplo muy claro es internet. Internet es una red digital, especializada en la transmisin de nmeros. Y esos nmeros pueden ser datos, canciones, vdeos, programas, etc.. La red no sabe qu tipo de seal transporta, slo ve nmeros. La electrnica digital trabaja con nmeros. La informacin est en los nmeros y no en la forma de seal. Cualquier seal siempre se puede convertir a nmeros y recuperarse posteriormente.

Figura 5.- Sistema digital

Circuitos y sistemas digitales Ya podemos entender de lo que trata esta asignatura. En ella estudiaremos y disearemos circuitos digitales, que manipulan nmeros. Existen unos nmeros en la entrada y nuestro circuito generar otros nmeros de salida (figura 6). Algunos nmeros se considerarn como datos y otros se usarn para el control del propio circuito. No nos preocuparemos de dnde vienen estos nmeros, pero ya

SISTEMAS DIGITALES

10

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

sabemos que o bien vendrn de otro sistema digital, o bien de una seal analgica que se ha convertido a nmeros (se ha digitalizado). Un circuito digital realiza manipulaciones sobre los nmeros de entrada y genera unos nmeros de salida.

Figura 6.- Un circuito digital genrico

SISTEMAS DIGITALES

11

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

I.
Objetivo

Sistemas numricos y cdigos

El alumno realizar conversiones con los diferentes cdigos numricos para el manejo de seales elctricas. 1.1

Representacin de sistemas numricos

Hemos visto en cmo un circuito digital trabaja con nmeros y slo con nmeros. Antes de entrar en la comprensin y diseo de estos circuitos, hay que estudiar cmo se pueden representar esos nmeros, de manera que el circuito los entienda. Veremos que existen muchsimas formas de representar el mismo nmero (de hecho, existen infinitas formas), pero slo unas pocas son las que nos interesarn para los circuitos digitales.

1.1.1

Conceptos

El concepto de nmero todos lo tenemos, pero un mismo nmero se puede representar de muchas maneras. Por ejemplo, el nmero 10, lo representamos mediante dos dgitos, el 1 y el 0. Si utilizsemos numeracin romana, este mismo nmero lo representaramos slo con un nico dgito X. Pero est claro que ambas representaciones, 10 y X hacen referencia al mismo nmero diez. Nosotros estamos acostumbrados a representar los nmeros utilizando diez dgitos: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9. Por eso nuestro sistema de representacin se denomina Sistema decimal o sistema en base diez. Analicemos con un poco ms de detalle el sistema decimal, que es el que manejamos habitualmente. Vamos a representar el nmero tres mil doscientos ochenta y uno:

SISTEMAS DIGITALES

12

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

3281
Observamos lo siguiente: Est constituido por cuatro dgitos: 3,2,8 y 1. El orden en el que estn colocados es muy importante y si se modifica, se est representando otro nmero. Cuanto ms a la izquierda est un dgito, ms importante es. Este ltimo punto es muy intuitivo. Imaginemos que el nmero 3281 representa el sueldo mensual de un ingeniero. Si le preguntamos qu dgito es el que le gustara modificar para tener un sueldo mayor, no dudara en sealar al 3.

El dgito 3 es ms importante que todos los que tiene a su derecha. Tiene un peso mayor que el resto de dgitos. De hecho, este dgito 3 est representando al nmero tres mil. El dgito 2 por estar en tercera posicin comenzado desde la derecha, representa el nmero doscientos, el 8 al ochenta y el 1 al uno. Podemos descomponer el nmero de la siguiente manera:

3281 = 3000 + 200 + 80 + 1 = = 31000+ 2100+ 810+ 1 = 3103+2102+8101+110

Observamos que cada dgito est multiplicando una potencia de 10. Cuanto ms a la izquierda se site el dgito, mayor ser la potencia de diez por la que se multiplica.

SISTEMAS DIGITALES

13

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

En la figura 7 se muestra el nmero 3281 descompuesto en dgitos y pesos, y se indica cul es el dgito de mayor peso y cul es el de menor.

Figura 7.- Dgitos y pesos del nmero 3281

Este sistema de representacin tambin se llama sistema en base diez porque los pesos de los dgitos son potencias de 10: El dgito de ms de la derecha tiene un peso de 10, los siguientes tienen pesos de 10, 102, 103, 104... Nosotros representamos los nmeros en el sistema decimal, que consta de diez dgitos diferentes, asignndoles un peso que es una potencia de diez, y que ser mayor cuanto ms a la izquierda se encuentre el dgito. Qu nos impide que utilicemos unos sistemas de representacin en los que los pesos de los dgitos, o incluso los dgitos sean diferentes de los del sistema decimal? Nada. Por ejemplo, podemos emplear un sistema de representacin octal (Base 8), que utiliza slo ocho dgitos (0,1,2...7) para representar cualquier nmero y los pesos de los diferentes dgitos sern potencias de 8. En este sistema, si escribimos los dgitos 352 no se corresponden con el nmero trescientos cincuenta y dos . Para calcular cul es el nmero que representa hay que multiplicar cada dgito por su correspondiente peso, obteniendo el nmero equivalente en el sistema decimal.

SISTEMAS DIGITALES

14

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

352 = 382 + 581 + 28 = 364 + 5 8 + 2 = 248 El nmero 352 en representacin octal es equivalente al nmero 248 del sistema decimal. En el sistema octal, los dgitos tienen pesos que son potencias de 8, en lugar de potencias de 10 como en el sistema decimal. Para evitar confusiones cuando se trabaja con sistemas de representacin diferentes, se emplea la siguiente notacin: 3528 = 24810 El subndice 8 indica que el nmero est representado en un sistema octal y con el subndice 10 se indica que lo est en un sistema decimal.

1.1.2 Algunos sistemas de representacin 1.1.2.1 Sistema octal (Base 8)

Ya lo hemos visto en el apartado de introduccin. Utiliza ocho dgitos: 0,1,2,3,4,5,6 y 7 y los pesos son potencias de 8. 1.1.2.2 Sistema binario (Base 2)

Se podran utilizar slo dos dgitos para representar cualquier numro? Si, se denomina sistema binario. Este sistema de representacin slo utiliza los dgitos 0 y 1 para representar cualquier nmero. Fijmonos en lo interesante que resulta esto, slo con dos dgitos podemos representar cualquiera de los infinitos nmeros!!!

SISTEMAS DIGITALES

15

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

En el sistema binario los pesos de estos dgitos son pontencias de 2. Veamos un ejemplo del nmero binario 101001 101001= 125+ 024 + 123+ 022+021+12= 25+23+20=41 El nmero binario 101001 se corresponde con el nmero 41 en decimal. El sistema binario tiene mucha importancia y lo utilizaremos constantemente en esta asignatura. Fijmonos en lo que significa esta forma de representacin. Utilizando slo dos dgitos, es posible representar cualquiera de los infinitos nmeros. En la tecnologa actual disponemos de un elemento, llamado transistor, que se puede encontrar en dos estados diferentes, abierto o cerrado, a los que le asociamos los dgitos 0 y 1. Todos los circuitos intregrados o chips se basan en estos transistores y trabajan internamente en binario. Todas las operaciones se realizan utilizando este sistema de representacin, por eso es muy importante que lo conozcamos, para entender cmo funcionan los microprocesadores y los chips por dentro. El sistema binaro utiliza slo dos dgitos diferentes para representar cualquier nmero. El peso de los dgitos es una potencia de 2.

1.1.2.3 Sistema hexadecimal (Base 16) Y sera posible utilizar ms de 10 dgitos para representar los nmeros?. Tambin es posible. Ese es el caso del sistema hexadecimal, en el que se emplean 16 dgitos: 0, 1,2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, Ey F, donde las letras representan los nmeros 10, 11, 12, 13, 14 y 15 respectivamente. Los pesos de los dgitos son pontencias de 16. Por ejemplo, el nmero hexadecimal FE2A se puede descomponer de la siguiente manera:

SISTEMAS DIGITALES

16

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

FE2A = F163 + E162 + 2161 + A16= 15163 + 14162 + 2161 + 1016 = 65066 El sistema hexadecimal es muy curioso. Permite escribir nmeros como los siguientes: CACA, DE, BACA :-). Se deja como ejercicio el obtener sus correspondientes nmeros en el sistema decimal. Este sistema, como veremos ms adelante, se emplea para escribir nmeros binarios de una manera ms compacta, dado que el paso de hexadecimal a binario y viceversa es inmediato. 1.1.2.4 Generalizacin Dado un nmero de m dgitos (am...ao), y usando un sistema en base b, se puede expresar en el sistema decimal utilizando la siguiente frmula:

Ecuacin (0)

Esta frmula no es ms que la generalizacin de los ejemplos expuestos en el apartado anterior. Si estamos trabajando con un sistema en base 7 (b=7) y el nmero que queremos convertir al sistema decimal tiene 4 dgitos (m=4), la frmula de conversin sera: a3a2a1a0 = a373 + a272 + a11 + ao7 En esta asignatura nos centraremos en el sistema binario, que ser el que tendremos que comprender para utilizarlo en el diseo de circuitos digitales.

SISTEMAS DIGITALES

17

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

1.2

Conversin

La tabla que se muestra a continuacin representa las equivalencias entre diferentes nmeros expresados en los sistemas decimal, binario y hexadecimal, que son los que ms usaremos.
Tabla 1.- Equivalencias sistemas decimal-binario-hexadecimal

DECIMAL 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

BINARIO 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111

HEXADECIMAL 0 1 2 3 4 5 6 7 8 9 A B C D E F

1.2.1 Circuitos digitales y el Sistema binario Ahora que ya tenemos un poco ms claro el concepto de nmero y las diferentes formas que tenemos de representarlo, podemos retomar el esquema de un circuito digital (Figura 8) para precisarlo un poco ms.

SISTEMAS DIGITALES

18

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 8.- Un circuito digital genrico, con entradas y salidas binarias

Figura 9.- Un circuito digital con tres bits de entrada y 4 de salida

Con la tecnologa que hay actualmente, los circuitos digitales manipulan nmeros que estn representados en binario. As podemos decir que un circuito digital actual tiene como entradas y salidas nmeros en binario. Es decir, nmeros que vienen expresados con los dgitos 0 y 1. En la figura 8 se ha dibujado un circuito digital genrico, en el que sus entradas y salidas se expresan en binario. Cada una de las entradas y salida representa un dgito binario. Pero cul es el peso de este dgito? Eso nos lo indican los subndices de las letras E y S. As, la entrada EQ se corresponde con el dgito de menor peso, la entrada Ei con los dgitos de peso 21 = 2, y as sucesivamente hasta la entrada n que es la de mayor peso. Lo mismo es aplicable a la salida.

SISTEMAS DIGITALES

19

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

En los circuitos digitales, los nmeros que se procesan, estn expresados en binario, tanto en la entrada como en la salida. Un dgito binario, que puede ser 0 1, recibe el nombre de BIT, del trmino ingles BInary digiT(dgito binario). Utilizaremos los bits para indicar el tamao de las entradas y salidas de nuestros circuitos. As por ejemplo podemos tener un circuito digital con 3 bits de entrada y 4 de salida. Este circuito se muestra en la figura 9. Los circuitos digitales slo saben trabajar con nmeros en binario, sin embargo a los humanos nos es ms cmodo trabajar en decimal. Trabajar con nmero binarios puede parecer poco intuitivo. Vamos a ver cmo en determinadas ocasiones resulta muy intuitivo el trabajar con nmeros binarios. Imaginemos que en una habitacin hay 5 bombillas situadas en la misma lnea, y que cada una de ellas puede estar encendida o apagada. Cmo podramos representar el estado de estas 5 bombillas mediante nmeros? Una manera muy intuitiva sera utilizar el sistema binario, en el que utilizaramos el dgito 1 para indicar que la bombilla est encendida y el dgito 0 para indicar que est apagada. As el nmero 01011 nos indica que la primera bombilla est apagada, la segunda encendida, la tercera apagada y las dos ltimas encendidas, como se muestra en la figura 10. Esta forma de representar el estado de las bombillas es bastante intuitivo. Este es un ejemplo en el que se puede ver que pensar en binario resulta ms fcil que hacerlo directamente en decimal.

Figura 10.- Utilizacin del sistema binario para expresar el estado de 5 bombillas

SISTEMAS DIGITALES

20

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

1.2.2 Sistema binario y sistema hexadecimal El sistema hexadecimal se utiliza para representar nmeros binarios de una forma ms compacta. Cada dgito hexadecimal codifica 4 bits, de manera que un nmero hexadecimal de 4 bits permite representar un nmero binario de 16 bits. Veamos un ejemplo:

Podemos ver cmo es mucho ms cmodo utilizar el nmero hexadecimal que el binario. Pero, cmo se pasa de binario a hexadecimal o viceversa? El proceso es muy sencillo. Lo nico que hay que conocer es la tabla 1. El nmero en binario hay que dividirlo en grupos de 4 bits empezando desde la derecha.

1.2.3 Bits y electrnica Todava nos queda una cosa por resolver. En la electrnica trabajamos con electrones, forzndolos a que hagan lo que nosotros queremos. En el caso de los circuitos digitales, lo que hacemos es operar con nmeros. Cmo conseguimos esto? Cmo introducimos los nmeros en los circuitos digitales? La solucin a esto es asignar un voltaje a cada uno de los dos estados de un bit. Lo normal, conocido como lgica TTL, es asignar el valor de 5 voltios al dgito 1 y 0 voltios al dgito 0. Esta asignacin de valores depende de la tecnologa empleada.

SISTEMAS DIGITALES

21

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

En la figura 11 se muestra un circuito digital que tiene un bit de entrada. Si queremos introducir un dgito 1 ponemos el interrupcin en la posicin A, de manera que por la entrada E llegan 5 voltios. Si queremos introducir un dgito 0 ponemos el interruptor en la posicin B, por lo que llegan cero voltios.

Figura 11.- Como introducir dgitos binarios por un bit de la entrada de un circuito digital

En los circuitos digitales, se usan dos tensiones diferentes, una para representar el dgito 1 y otra para representar el dgito 0. En la electrnica tradicional se usan 5 voltios para el digito 1 y 0 voltios para el digito 0

1.2.5 Conversin de base 10 a otra base La conversin de un nmero en base 10 a otra base, r, se realiza mediante dos procedimientos separados, uno para obtener la parte entera del nmero en base r y otro para obtener la parte fraccionaria. La parte entera del nmero en base r se obtiene dividiendo la parte entera del nmero decimal sucesivamente entre r, guardando en cada paso el residuo, y volviendo a dividir el cociente entre r. Esta divisin contina hasta que el cociente sea cero. En este momento, la parte entera del nmero en base r se forma

SISTEMAS DIGITALES

22

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

considerando los residuos en el orden inverso en que se obtuvieron. Es decir, el dgito ms significativo de la parte entera es el ltimo residuo que se gener y el dgito menos significativo es el primer residuo. La parte fraccionaria del nmero en base r se obtiene multiplicando la parte fraccionaria del nmero decimal sucesivamente por r, guardando en cada paso la parte entera del resultado, y volviendo a multiplicar la parte fraccionaria del resultado por r. Esta multiplicacin contina hasta que la parte fraccionaria del resultado sea cero o se obtenga la precisin deseada. En este momento, la parte fraccionaria del nmero en base r se forma considerando los enteros en el mismo orden en que se obtuvieron. Como ejemplo considere convertir 26.375 de base 10 a base 2. La parte entera se convierte as: 26 2 cociente = 13, residuo = 0 (dgito menos significativo) 13 2 cociente = 6, residuo = 1 6 2 cociente = 3, residuo = 0 3 2cociente = 1, residuo = 1 1 2 cociente = 0, residuo = 1 (dgito ms significativo) Y la parte fraccionaria as: 0.375 x 2 = 0.75 entero = 0 fraccin 0.75 (primer dgito fraccionario) 0.75 x 2 = 1.5 entero = 1 fraccin 0.5 0.5 x 2 = 1.0 entero = 1 fraccin 0.0 (ltimo dgito fraccionario) En conclusin, 26.37510 = 11010.0112.

SISTEMAS DIGITALES

23

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

En ocasiones nos encontramos con fracciones decimales que no se pueden representar en otras bases de manera exacta. Para estos casos es necesario definir una precisin o detener el proceso si la fraccin en base r es cclica. Un ejemplo de esta ltima situacin se genera al convertir 34.2 de base 10 a base 8. Primero se convierte la parte entera: 34 8 cociente = 4, residuo = 2 4 8 cociente = 0, residuo = 4 Y luego la parte fraccionaria: 0.2 x 8 = 1.6 entero = 1, fraccin 0.6 0.6 x 8 = 4.8 entero = 4, fraccin 0.8 0.8 x 8 = 6.4 entero = 6, fraccin 0.4 0:4 x 8 = 3.2 entero = 3, fraccin 0.2 0.2 x 8 = 1.6 entero = 1, fraccin 0.6 0.6 x 8 = 4.8 entero = 4, fraccin 0.8 En este momento nos podemos dar cuenta que la fraccin octal es cclica. Por lo tanto, 34.210 se puede representar como 42.14638 con 4 dgitos de precisin o ms precisamente como 42.14638.

1.2.6 De otra base a base 10 Formalmente, para convertir un nmero en base r, formado por n dgitos enteros y m fraccionarios an-1an-2...a1a0b0b1...bm-2bm-1, a base 10, se aplica la siguiente ecuacin:

SISTEMAS DIGITALES

24

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Ecuacin (1)

Donde N es el nmero en base 10. Como ejemplo, considere la conversin del nmero hexadecimal 25A.CC a base 10. N = 2 162 + 5 161 + 10 160 + 12 16-1 + 12 16-2 = 512 + 80 + 10 + 0.75 + 0.046875 = 602.79687510

1.2.7

Conversin de base r a base s

El mtodo ms sencillo para convertir un nmero de base r a base s es utilizar la base 10 como base intermedia. Esto es, el nmero original en base r se convierte a base 10 como ya se y luego el nmero decimal se convierte a base s siguiendo el procedimiento ya tambin visto. 1.2.8 Conversin rpida entre base 2 y bases 8 y 16

Para el caso particular de convertir nmeros de base 2 a base 8 o 16 y viceversa, existen mtodos de conversin directos para evitar utilizar la base 10 como base intermedia. A continuacin se describen primero los mtodos de conversin entre base 2 y base 8, y en seguida los mtodos de conversin entre base 2 y base 16. Para convertir un nmero de base 2 a base 8 se sigue el siguiente procedimiento. Primero, si el nmero de dgitos en la parte entera o en la parte fraccionaria del nmero binario no es mltiplo de tres, se agregan ceros suficientes a la izquierda de la parte entera o a la derecha de la parte fraccionaria cuidando de no alterar el valor del nmero. Segundo, los dgitos del nmero binario se agrupan en bloques de tres

SISTEMAS DIGITALES

25

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

dgitos. Finalmente, el nmero octal se obtiene cambiando cada bloque de tres dgitos binarios por un dgito octal de acuerdo al Cuadro 2. Como ejemplo, se muestra la conversin del nmero binario 1011.01 a octal. 1011.012 = 001011.010 Despus de agregar ceros a la izquierda y derecha. = 001 011 . 010 Despus de agrupar los dgitos binarios.

= 13.28 Despus de cambiar los dgitos usando el Cuadro 2. La conversin de un nmero de base 8 a base 2 sigue el procedimiento inverso. Cada dgito octal se cambia por tres dgitos binarios de acuerdo a la tabla 2.

Tabla 2.- Conversin entre base 2 y base 8.

Binario 000 001 010 011 100 101 110 111

Octal
0 1 2 3 4 5 6 7

Por ejemplo, la conversin del nmero octal 242.52 a binario es como sigue. 242.528 tabla 2. = 10100010.101012 Despus de eliminar los ceros superfluos. = 010 100 010 . 101 010 Despus de cambiar los dgitos usando la

SISTEMAS DIGITALES

26

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

La conversin de base 2 a base 16 es similar a la conversin de base 2 a base 8 descrita arriba. Las nicas diferencias son que los bloques tienen cuatro bits binarios y que cada bloque se cambia por un dgito hexadecimal usando el Cuadro 3. En el ejemplo que sigue, se muestra la conversin del nmero binario 101110.01 a hexadecimal.
Tabla 3.- Conversin entre base 2 y base 16.

Binario 0000 0001 0010 0011 0100 0101 0110 0111

Hexadecimal 0 1 2 3 4 5 6 7

Binario 1000 1001 1010 1011 1100 1101 1110 1111

Hexadecimal 8 9 A B C D E F

72.E5C16 = 0111 0010 . 1110 0101 1100 tabla 3.

Despus de cambiar los dgitos usando la

= 1110010.11100101112 Despus de eliminar los ceros superfluos.

1.3

Aritmtica binaria

En los sistemas digitales las operaciones aritmticas se realizan en binario porque es ms fcil disear circuitos digitales para realizar aritmtica binaria que aritmtica decimal. Estas operaciones aritmticas incluyen la suma, resta, multiplicacin y divisin. Las operaciones se hacen del mismo modo que en el sistema decimal, pero debido a la sencillez del sistema binario, pueden hacerse algunas simplificaciones que facilitan la realizacin de las operaciones.

SISTEMAS DIGITALES

27

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

1.3.1

Suma

La suma de dos dgitos binarios, x y y (ver Tabla 4), involucra el concepto de acarreo (carry en ingls). El acarreo se presenta cuando se suma 1 + 1 cuyo resultado, 2 en decimal, se escribe con dos dgitos en binario (10). En este caso la suma es 0 y se pasa (o se acarrea) 1 a la siguiente columna.
Tabla 4.- Suma de dos dgitos binarios.

X 0 0 1 1

Y 0 1 0 1

x+y 0 1 1 0

Acarreo 0 0 0 1

Como ejemplo considere la suma binaria de 11001 y 1101. 110010 acarreos 11001 + 1101 100110

Los acarreos, presentados en rojo, muestran si en la columna anterior hubo acarreo (valor de 1) o no (valor de 0). 1.3.2 Resta

La resta de nmeros binarios es similar a la resta decimal que conocemos. Cuando al restar dos nmeros binarios nos encontramos con la operacin 0 - 1 se genera un prstamo de la siguiente columna (ver Tabla 5). Al continuar con la siguiente columna hay que tomar en cuenta el prstamo, y si es necesario volver hacer un prstamo de la columna que sigue. Si el prstamo se propaga hasta la ltima columna entonces el resultado de la resta es un nmero negativo.

SISTEMAS DIGITALES

28

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Tabla 5.- Resta de dos dgitos binarios.

x 0 0 1 1

y 0 1 0 1

xy 0 1 1 0

Prstamo 0 1 0 0

Como ejemplo considere la resta binaria de 111001 y 1011. 011100 prstamos 111001 + 1011 101110 Los prstamos, presentados en rojo, muestran si en la columna anterior hubo un prstamo (valor de 1) o no (valor de 0).

1.3.2.1 Complemento a 1 y 2 de nmeros binarios El complemento a 1 y a 2 de un nmero binario son importantes porque permiten la representacin de nmeros negativos. El mtodo de complemento a 2 en aritmtica es comnmente usada en computadoras para manipular nmeros negativos.

1.3.2.2 Obteniendo el complemento a 1 de un nmero binario El complemento a 1 de un nmero binario es encontrado simplemente cambiando todos los 1s por 0s y todos los 0s por 1s.

SISTEMAS DIGITALES

29

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Como alternativa para representar nmeros negativos puede usarse un sistema conocido como complemento a uno. La forma del complemento a uno de un nmero binario es un NOT bit a bit aplicado al nmero Recordemos que el complemento a uno de un nmero positivo no sufre ningn cambio (C1 (2)= 00000010 C1(-2)= 11111101). Como en la representacin de signo-y-magnitud, el complemento a uno tendr dos representaciones del 0: 00000000 (+0) y 11111111 (0). Como ejemplo, el complemento a uno de 0101011 (43) se convierten en 1010100 (43). El rango para la representacin en complemento a un o con 8 bits es 127 a +127 (en base 10). Para sumar dos nmeros representados en este sistema, uno hace una suma binaria convencional, pero es necesario sumar el ltimo acarreo obtenido al resultado de la suma.

1.3.2.3 Obteniendo el complemento a 2 de un nmero binario El complemento a 2 de un nmero binario es encontrado sumando 1 al bit menos significativo del complemento a 1 del nmero.

SISTEMAS DIGITALES

30

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Una forma fcil de implementar el complemento a dos es la siguiente: 1. Empezando desde la derecha encontramos el primer '1' 2. Hacemos un NOT a todos los bits que quedan por la izquierda Ejemplo 1 0101001 1010111 Ejemplo 2 0101100 1010100

1.3.3. Multiplicacin En la multiplicacin de nmeros binarios slo es necesario recordar la tabla de multiplicacin que se exhibe en Tabla 6.
Tabla 6.- Multiplicacin de dos dgitos binarios.

X 0 0 1 1

y 0 1 0 1

xxy 0 0 0 1

Como ejemplo se presenta la multiplicacin de 1111 por 1101. Ntese como para evitar sumas de varios dgitos binarios se hacen sumas parciales, denotadas en rojo, despus de cada dos nmeros generados. El producto final se muestra en azul.

SISTEMAS DIGITALES

31

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

1.3.4. Divisin La divisin binaria es ms sencilla que la divisin decimal porque solo hay dos posibles valores para el cociente: 0 si el divisor es menor que el dividendo, y 1 en caso contrario. Como ejemplo a continuacin se muestra la divisin de 10010001 entre 1011.

Al finalizar la divisin, se obtiene que el cociente es 1101 y el resto o residuo es 10.

SISTEMAS DIGITALES

32

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

1.4

Cdigos

Para representar los nmeros hemos visto que los circuitos digitales utilizan el sistema binario. Y hemos estado utilizando el sistema binario natural, en el que los bits tienen de peso potencias de 2, que es lo ms habitual. Sin embargo existen otros sistemas de representacin que son binarios en el sentido de que slo usan los dos dgitos 0 y 1, sin embargo tienen pesos diferentes. Algunos de estos sistemas, tambin conocidos como cdigos son los siguientes: 1. Cdigo BCD: Decimal Codificado en Binario. Es una manera de representar

nmeros decimales en binario. A cada dgito decimal se le asignan 4 bits, correspondientes a su nmero binario natural. As por ejemplo para representar nmero decimal 21 en BCD, utilizaremos en total 8 bits, 4 para uno de los dos dgitos: 21 = 0010 0001 Los primeros 4 bits representan al dgito 2 y los 4 siguientes al dgito 1. 2. Cdigo AIKEN: Similar al BCD, pero con los pesos cambiados. Cada dgito decimal se representa mediante 4 bits, siendo los pesos de estos bits: 2, 4, 2 y 1. 3. Cdigo GRAY: Son una familia de cdigos que se caracterizan porque el paso de un nmero al siguiente implica que slo se modifica un bit.

SISTEMAS DIGITALES

33

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

II.
Objetivo

Familias lgicas.

El alumno implementar un circuito electrnico para comprobar las tablas de verdad de las compuertas lgicas.

2.1 Seales digitales


La seal digital es un tipo de seal generada por algn tipo de fenmeno electromagntico en que cada signo que codifica el contenido de la misma puede ser analizado en trmino de algunas magnitudes que representan valores discretos, en lugar de valores dentro de un cierto rango. Por ejemplo, el interruptor de la luz slo puede tomar dos valores o estados: abierto o cerrado, o la misma lmpara: encendida o apagada (vase circuito de conmutacin). Esto no significa que la seal fsicamente sea discreta ya que los campos electromagnticos suelen ser continuos, sino que en general existe una forma de discretizarla unvocamente. Los sistemas digitales, como por ejemplo el ordenador, usan lgica de dos estados representados por dos niveles de tensin elctrica, uno alto, H y otro bajo, L (de High y Low, respectivamente, en ingls). Por abstraccin, dichos estados se sustituyen por ceros y unos, lo que facilita la aplicacin de la lgica y la aritmtica binaria. Si el nivel alto se representa por 1 y el bajo por 0, se habla de lgica positiva y en caso contrario de lgica negativa. Cabe mencionar que, adems de los niveles, en una seal digital estn las transiciones de alto a bajo y de bajo a alto, denominadas flanco de bajada y de subida, respectivamente. En la figura se muestra una seal digital donde se identifican los niveles y los flancos.

SISTEMAS DIGITALES

34

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

2.1.1 Ventajas de las seales digitales 1. Ante la atenuacin, puede ser amplificada y reconstruida al mismo tiempo, gracias a los sistemas de regeneracin de seales. 2. Cuenta con sistemas de deteccin y correccin de errores, en la recepcin. 3. Facilidad para el procesamiento de la seal. Cualquier operacin es fcilmente realizable a travs de cualquier software de edicin o procesamiento de seal. 4. Permite la generacin infinita con prdidas mnimas en la calidad. Esta ventaja slo es aplicable a los formatos de disco ptico; la cinta magntica digital, aunque en menor medida que la analgica (que slo soporta como mucho 4 o 5 generaciones), tambin va perdiendo informacin con la multigeneracin. 5. Las seales digitales se ven menos afectadas a causa del ruido ambiental en comparacin con las seales analgicas. 2.1.2 Inconvenientes de las seales digitales 1. Necesita una conversin analgica-digital previa y una decodificacin posterior en el momento de la recepcin. 2. Requiere una sincronizacin precisa entre los tiempos del reloj del transmisor con respecto a los del receptor. 3. Prdida de calidad cada vez mayor en el muestreo respecto de la seal original.

2.2 Compuertas lgicas


Suponga que las seales elctricas con que trabaja un sistema digital son 0V y 5V (este es un nivel cmodo para el diseador de los circuitos pero podra ser cualquier otro). Puede parecer lgico que 5V ser el estado alto o uno lgico, pero

SISTEMAS DIGITALES

35

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

debemos tener en cuenta que existe la Lgica Positiva y la Lgica Negativa, veamos cada una de ellas. Lgica Positiva: en esta notacin al 1 lgico le corresponde el nivel ms alto de tensin (positivo) y al 0 lgico el nivel mas bajo (negativo) pero que ocurre cuando la seal no est bien definida en 0 o 1? Habr que conocer cuales son los lmites para cada tipo de seal (conocido como tensin de histresis), en la figura 1 se puede ver con mayor claridad cada estado lgico y su nivel de tensin.

Figura12.- Diagrama lgico de la lgica positiva.

Es decir que a toda tensin comprendida entre 0 y 2,5 la denominamos cero y a toda tensin comprendida entre 3,5 y 5 lo denominamos 1 entre 2,5 y 3,5 quedan los niveles que llamamos indefinidos. Lgica Negativa: Aqu ocurre todo lo contrario, es decir, se representa al estado 1 con los niveles ms bajos de tensin y al 0 con los niveles ms altos.

Figura 13.- Diagrama lgico de la lgica negativa.

SISTEMAS DIGITALES

36

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Por lo general se suele trabajar con lgica positiva, y as lo haremos en este curso, la forma ms sencilla de representar estos estados es como se puede ver en el siguiente grfico.

Figura 14.- Forma sencilla de representacin.

Las compuertas son dispositivos que operan con aquellos estados lgicos mencionados en el punto anterior. Pueden asimilarse a una calculadora, por un lado ingresas los datos, la compuerta realiza la operacin lgica correspondiente a su tipo, y finalmente, muestra el resultado en algn display.

Figura 15.- Aplicacin de una operacin lgica.

Cada compuerta lgica realiza una operacin aritmtica o lgica diferente, que se representa mediante un smbolo de circuito. La operacin que realiza (Operacin lgica) tiene correspondencia con una determinada tabla, llamada Tabla de Verdad. A continuacin vamos a analizar las diferentes operaciones lgicas una por una comenzando por la ms simple.

SISTEMAS DIGITALES

37

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

2.2.1 Compuerta negadora o NOT Se trata de un amplificador inversor, es decir, invierte el dato de entrada y lo saca sobre una salida de baja impedancia, que admite la carga de varias compuertas en paralelo, o de un display de baja impedancia; por ejemplo si se pone su entrada a 1 (nivel alto) se obtiene una salida 0 (o nivel bajo), y viceversa. Esta compuerta dispone de una sola entrada que llamaremos A. Su operacin lgica genera una salida S igual a la entrada A invertida.

Figura 16.- Compuerta Not

La tabla de verdad nos indica que la salida S siempre es el estado contrario al de la entrada A. La ecuacin matemtica binaria indica que la salida S es siempre igual a la entrada negada lo que se representa con la rayita sobre la A.

SISTEMAS DIGITALES

38

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

2.2.2 Compuerta AND Y Una compuerta AND tiene dos entradas como mnimo y su operacin lgica es un producto de ambas entradas. El lector no se debe confundir porque las operaciones lgicas pueden no concordar con las aritmticas, aunque en este caso particular coincidan. Su salida ser alta si sus dos entradas estn a nivel alto.

Figura 17.- Compuerta And

El nombre aclara la funcin. Deben estar altos A y B para que se levante S. Una aplicacin de esta compuerta puede ser un sistema de seguridad para un balancn. Para evitar que las manos del operario estn dentro de la zona de presin, se colocan dos pulsadores que ponen un uno en cada entrada. Los pulsadores estn bien separados entre si. Recin cuando el operario los pulse aparece un uno en la salida que opera el relay del motor.

SISTEMAS DIGITALES

39

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

2.2.3 Compuerta OR O Al igual que la anterior posee dos entradas como mnimo y la operacin lgica, ser una suma entre ambas. Aqu podemos ver que la operacin aritmtica no coincide con la lgica ya que la ltima condicin de la tabla de verdad es 1+1=1 y en la operacin aritmtica seria 1+1=2. La operacin lgica O es inclusiva; es decir que la salida es alta si una sola de las entradas es alta o inclusive si ambas lo son. Es decir, basta que una de las entradas sea 1 para que su salida tambin lo sea. Deben ser altas A o B o ambas al mismo tiempo, para que la salida sea alta.

Figura 18.- Compuerta Or

Un ejemplo de uso puede ser que se desee que un motor se opere con una pequea llave desde una oficina, o en forma local desde al lado del motor; pero no se desea que el motor se apague, si se cierran las dos llaves. La salida debe comandar al contactor del motor y las llaves de entrada deben conectar la tensin de fuente a las entradas.

SISTEMAS DIGITALES

40

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

2.2. 4 Compuerta OR-EX XOR O exclusiva En nuestro caso la OR Exclusiva tiene dos entradas (pero puede tener ms) y lo que har con ellas ser una suma lgica entre A por Binvertida y Ainvertida por B. Todo un lo si consideramos su frmula pero su tabla de verdad es muy sencilla y su descripcin tambin, ya que la salida ser alta solo si una de las entradas lo es, pero no lo es, si lo son las dos al mismo tiempo.

Figura 19.- Compuerta XOR

Como ejemplo recurrimos al caso anterior pero donde deseamos que si la maquina se opera en forma local no pueda operarse tambin en forma remota. Estas seran bsicamente las compuertas ms sencillas. Pero no son todas las que hay porque existen combinaciones de las compuertas bsicas con compuertas negadoras que vamos a ver a continuacin.

SISTEMAS DIGITALES

41

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

2.2.5 Compuertas lgicas combinadas Al agregar una compuerta NOT a la salida de cada una de las compuertas anteriores los resultados de sus respectivas tablas de verdad se invierten, y dan origen a tres nuevas compuertas: NAND, NOR y NOR-EX. Veamos ahora sus caractersticas y cul es el smbolo que las representa. La compuerta NAND responde a la inversin del producto lgico de sus entradas, en su representacin simblica se reemplaza la compuerta NOT por un crculo sobre su salida.

Figura 20.- Compuerta NAND

Una compuerta NOR se obtiene conectando una NOT a la salida de una OR. El resultado que se obtiene a la salida de esta compuerta resulta de la inversin de la operacin lgica o inclusiva es como un no a y/o b. Igual que antes, solo se agrega un crculo a la compuerta OR y ya se obtiene el smbolo de una NOR.

SISTEMAS DIGITALES

42

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 21.- Compuerta NOR

La compuerta NOR-EX, es simplemente la inversin de la compuerta OR-EX, los resultados se pueden apreciar en la tabla de verdad en donde la columna S es la negacin de la anterior. El smbolo que la representa se obtienen agregando un circulo a la salida de una OR-EX.

Figura 22.- Compuerta NOR-EX

SISTEMAS DIGITALES

43

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Las compuerta buffer sera una compuerta negadora detrs de otra negadora lo cual no parece tener sentido ya que la tabla de verdad sera una repeticin de la entrada en la salida. Pero sin embargo existen y tienen un uso muy importante aclarado por su nombre que significa expansora o reforzadora. Se usan para alimentar a un conjunto de compuertas conectadas sobre su salida. El buffer en realidad no realiza ninguna operacin lgica, su finalidad es amplificar la seal (o refrescarla para decirlo de otra manera ya que no se incrementa su amplitud sino su capacidad de hacer circular corriente. Como puede ver en la figura 12 la seal de salida es la misma que la de entrada.

Figura 23.- Compuerta buffer

Hasta aqu lleg la teora aunque dimos algunos ejemplos prcticos. Ahora nos interesa ms saber cmo se hacen evidentes estos estados lgicos y operaciones para lograr resultados prcticos, y en qu circuitos integrados se las puede encontrar. Pero antes debemos estudiar las distintas familias de compuertas que existen en la actualidad.

SISTEMAS DIGITALES

44

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

2.2 Familias TTL y 2.4 Familias CMOS


Existen varias familias de Circuitos integrados pero el alcance de nuestro curso solo estudiaremos dos, las ms comunes, que son las TTL y las CMOS: Estos Integrados los puedes caracterizar por el nmero que corresponde a cada familia segn su composicin. Por ejemplo:

Los TTL se corresponden con la serie 5400, 7400, 74LSXX, 74HCXX, 74HCTXX etc. algunos 3000 y 9000.

Los C-MOS y MOS se corresponde con la serie CD4000, CD4500, MC14000, 54C00 74C00. Cul es la diferencia entre una y otra familia? Los C-MOS, soportan en algunos casos a +15V, mientras que los TTL el pueden soportar +12V como lmite extremo pero por lo comn se utilizan en +5V.

Pero resulta que los circuitos C-MOS son ms lentos que los TTL pero ocupan menos espacio; por eso su uso en algunos u otros equipos. De todos modos es importante buscar la hoja de datos o datasheet del integrado en cuestin, distribuido de forma gratuita por cada fabricante y disponible en Internet. Probar una compuerta es algo simple. Por ejemplo tomemos un circuito integrado 74LS08, que es un TTL, cudruple compuerta AND. Es importante notar el sentido en que estn numeradas las patas y esto es general, para todo tipo de integrado y para todo tipo de compuerta cuadruple de dos patas lgicas de entrada.

SISTEMAS DIGITALES

45

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 24.- Disposicin interna de una cuadruple AND

Con este integrado podremos verificar el comportamiento de las compuertas vistas anteriormente. El representado en el grfico marca una de las compuertas que ser puesta a prueba, para ello utilizaremos una fuente regulada de +5V, un LED una resistencia de 220 ohm, y por supuesto el IC que corresponda y una placa de prueba o un zcalo para trabajar prolijos; aunque lo mejor es un panel de armado rpido por contactos de presin. El circuito de prueba es el mostrado en la figura 14 armado en un Multisim en solo 4 segundos.

SISTEMAS DIGITALES

46

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 25.- Circuito de prueba de la compuerta 74LS08

El procedimiento de armado consiste en invocar el multisim y elegir el CI de la estantera de componentes. Posteriormente lo arrastramos y depositamos en la mesa de trabajo. El programa nos va a mostrar una pantalla con los cuatro integrados que forman el dispositivo es decir el A B C y D elegimos el A y los pegamos en la mesa de trabajo en forma definitiva. Al hacerlo se conectan automticamente la masa de la pata 7 y la fuente de 5V de la 14. Ahora abrimos la estantera de componentes pasivos indicados con un resistor y de all elegimos una llave (swich) de una va. La arrastramos y pegamos a la mesa como J1 y luego hacemos lo mismo con otra llave J2. Picando sobre la llave superior la predisponemos para que se opere con la tecla A y luego hacemos lo mismo con la inferior pero usando la tecla B. Probamos la operacin de las llaves pulsando A y B.

SISTEMAS DIGITALES

47

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

De la misma gaveta de componentes pasivos obtenemos un resistor de 330 Ohms y lo pegamos cerca de la salida de la compuerta. Buscamos la gaveta de diodos, seleccionamos un diodo LED azul y lo pegamos en la mesa de trabajo. Ahora de la gaveta de fuentes obtenemos el smbolo de VCC que ya viene predispuesto con 5V y lo pegamos cerca de las llaves. Ahora hay que realizar el armado Picamos en una pata de entrada con el botn derecho del Mouse y sin soltarlo lo llevamos hasta la llave. All soltamos y queda armada la conexin. Hacemos lo mismo con el resto de las conexiones. Y el circuito est listo para la prueba. Pulsamos el icono con el rayo amarillo para encender la simulacin. Primero cerramos la llave J1 con la tecla A y veremos que el LED queda apagado. Luego cerramos la llave J2 pulsando la tecla B y veremos que el LED se enciende. Verifique la tabla de verdad de U1A. Consideramos que no es necesario que el alumno arme el circuito real porque la simulacin le brinda todas las posibilidades de realizar una buena prctica tan didctica como la realidad. Pero si lo desea puede hacer un armado en un panel de armado a presin tal como lo indicamos en la figura 26.

Figura 26.- Armado real del circuito de prueba

SISTEMAS DIGITALES

48

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Nota: dibujamos en color cobre el dibujo interno de patas conectadas entre si, para que el lector entienda como se arm todo el circuito. El integrado no tiene nombre porque se pueden probar diferentes compuertas con el mismo panel. En el esquema est conectada la compuerta 1 de las 4 disponibles en el integrado 74LS08, los extremos A y B son las entradas que se debern conectar a un 1 lgico (tira de terminales con la raya roja (+5V) 0 lgico tira de terminales con la raya negra (GND), el resultado en la salida de la compuerta se ver reflejado en el LED, LED encendido (1 lgico) y LED apagado (0 lgico). Por supuesto en este caso se deben conectar el terminal de alimentacin de la pata 14 a la tira roja y el pin 7 a la tira negra de masa. Cuando los cables verde y rojo se conectan a la tira roja se enciende el led. El mercado nos ofrece diferentes tipos de compuerta (funcin y familia). Todas las que se pueden probar con el panel indicado son las compuerta de dos entradas. Entre ellas se destacan: Para la familia CMOS

Cudruple Compuerta AND con Buffer de Salida: 4081B, CD4081 ,CD4081B, MC14081B

Cudruple Compuerta OR con Buffer de Salida: 4071B, CD4071 ,CD4071B, MC14071B

Cudruple Compuerta OR-EX: 4070B, CD4070, CD4070B, MC14070, 4030B, CD4030, MC14030

Cudruple Compuerta NAND comunes: 4011, CD4011, MC14011 Cudruple Compuerta NAND con Buffer de Salida: 4011B, CD4011BC, CD4011BM, MC14011B

Cudruple Compuerta NAND con disparadores Schmitt: 4093B, CD4093B, MC14093B

SISTEMAS DIGITALES

49

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Cudruple Compuerta NOR comunes CD4001, MC14001 Cudruple Compuerta NOR con Buffer de Salida: 4001B, CD4001BC, CD4001BM, MC14001B

Cudruple Compuerta NOR-EX: CD4077, MC14077

Para la familia TTL:

Cudruple Compuerta AND: SN7408, SN74L08, SN74LS08, SN7409, SN74L09, SN74LS09

Cudruple Compuera OR: SN7432, SN74LS32, SN74S32 Cudrupe Compuerta OR-Exclusiva con salida a colector abierto 7486, 74S86, 74LS86, 74HC86, DL086

Cudruple Compuerta NAND: SN7400, SN74H00, SN74L00, SN74LS00 y SN74S00

Cudruple

Compuerta

NOR

sin

salida

Buffer: SN7402,

SN74L02,

SN74LS02, SN74S02

Cudruple Compuerta NOR con salida Buffer: SN7428, SN74LS28, SN7433, SN74LS33

Cudruple 74AHCT266

Compuerta

NOR-Exclusiva: 74266,

74HC266,

74LS266,

SISTEMAS DIGITALES

50

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

III. Diseo lgico combinacional


Objetivo
El alumno disear circuitos combinacionales para interpretar el comportamiento de la comunicacin de datos.

3.1 Representacin de funciones lgicas


En lgebra booleana, se conoce como trmino cannico de una funcin lgica a todo producto o suma en la cual aparecen todas las variables en su forma directa o inversa. Una Funcin lgica que est compuesta por operador lgico puede ser expresada en forma cannica usando los conceptos de minitermino y maxitermino. Todas las funciones lgicas son expresables en forma cannica, tanto como una "suma de miniterminos" como "producto de maxiterminos". Esto permite un mejor anlisis para la simplificacin de dichas funciones, lo que es de gran importancia para la minimizacin de circuitos digitales. Una funcin booleana expresada como una disyuncin lgica (OR) de miniterminos es usualmente conocida la "suma de productos", y su Dual de Morgan es el "producto de sumas", la cual es una funcin expresada como una conjuncin lgica (AND) de maxiterminos. Para una funcin booleana de que cada una de las variables , un producto booleano en el

variables aparece una sola vez (negada o sin negar) es

llamado minitrmino. Es decir, un minitrmino es una expresin lgica de n variables consistente nicamente en el operador conjuncin lgica (AND) y el operador complemento o negacin (NOT).

SISTEMAS DIGITALES

51

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Por ejemplo,

son ejemplos de miniterminos para una funcin y .

booleana con las tres variables , 3.1.1 Indexando minitrminos

En general, uno asigna a cada minitermino (escribiendo las variables que lo componen en el mismo orden), un ndice basado en el valor binario del minitermino. Un trmino negado, como es considerado como el nmero binario 0 y el trmino

no negado es considerado como un 1. Por ejemplo, se asociara el nmero 6 con el nombre ser . . Entonces , y nombraramos la expresin con y debera ser al

de tres variables es

Se puede observar que cada minitermino solo devuelve verdadero, (1), con una sola entrada de las posibles. Por ejemplo, el minitrmino 5, es verdadero solo cuando a y c son ciertos y b

es falso - la entrada a = 1, b = 0, c = 1 da resultado 1.


Tabla 7.- Miniterminos

SISTEMAS DIGITALES

52

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

3.1.2 Funcin equivalente Si tenemos una tabla de verdad de una funcin lgica: f(a,b), es posible escribir la funcin como "suma de productos". Por ejemplo, dada la tabla de verdad (tabla 8).
Tabla 8.- Ejemplo de Tabla de verdad

Observamos que las filas con resultado 1 son la primera y la cuarta, entonces podremos escribir f como la suma de los minitrminos: Si queremos verificar esto: .

Tendremos que la tabla de verdad de la funcin, calculndola directamente, ser la misma. 3.1. 3 Maxitrminos Un maxitrmino es una expresin lgica de n variables que consiste nicamente en la disyuncin lgica y el operador complemento o negacin. Los maxiterminos sn una expresin dual de los minitrminos. En vez de usar operaciones AND utilizamos operaciones OR y procedemos de forma similar. Por ejemplo, los siguientes trminos cannicos son maxitrminos:

SISTEMAS DIGITALES

53

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

3.1.4 Dualizacin El complemento de un minitermino es su respectivo maxitrmino. Esto puede ser fcilmente verificado usando la Ley de De Morgan. Por ejemplo:
Tabla 9.- Dualizacion de maxiterminos y miniterminos

3.1.5 Indexando maxitrminos Para indexar maxitrminos lo haremos justo de la forma contraria a la que seguimos con los miniterminos. Se asigna a cada maxitermino un ndice basado en el complemento del nmero binario que representa (otra vez asegurndonos que las variables se escriben en el mismo orden, usualmente alfabtico). Por ejemplo, para una funcin de tres variables f(a,b,c) podemos asignar (Maxitrmino 6) al maxitrmino: variables debera ser y es . De forma similar . de tres

Se puede ver fcilmente que un maxitrmino slo da como resultado un cero para una nica entrada de la funcin lgica. Por ejemplo, el maxitrmino 5, ,

es falso solo cuando a y c son ciertos y b es falso - la entrada a = 1, b = 0, c = 1 da como resultado un cero.

SISTEMAS DIGITALES

54

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

3.1.6 Funcin equivalente Si tenemos una tabla de verdad de una funcin lgica, f(a,b), es posible escribir la funcin como "producto de sumas". Por ejemplo, dada la tabla de verdad.
Tabla 10.- Ejemplo de Tabla de verdad

Observamos que las filas que tiene como salida un 0 son la segunda y la tercera, entonces podemos escribir f como un producto de maxitrminos Si queremos verificar esto: .

Tendremos que la tabla de verdad de la funcin, calculndola directamente, ser la misma.

3.2

lgebra booleana

La herramienta fundamental para el anlisis y diseo de circuitos digitales es el lgebra Booleana. Esta lgebra es un conjunto de reglas matemticas (similares en algunos aspectos al lgebra convencional), pero que tienen la virtud de corresponder al comportamiento de circuitos basados en dispositivos de conmutacin (interruptores, relevadores, transistores, etc).

SISTEMAS DIGITALES

55

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

3.2.1 Postulados del lgebra booleana El lgebra de Boole, fue presentada originalmente por el ingls George Boole, en el ao de 1854 en su artculo "An Investigation of the Laws of Thoght ... ", sin embargo, las primeras aplicaciones a circuitos de conmutacin fueron

desarrolladas por Claude Shannon en su tesis doctoral "Anlisis simblico de los circuitos de conmutacin y rels" hasta 1938. A continuacin se presentan los postulados fundamentales del lgebra de Boole.

3.2.2 Teoremas del algebra booleana A continuacin se presenta un conjunto de resultados fundamentales; pero basados en los postulados del 1 al 6 y que por lo tanto son vlidos para cualquier

SISTEMAS DIGITALES

56

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

lgebra de Boole. Estos resultados son presentados a manera de Teoremas y junto con los seis postulados representan las reglas del juego para cualquiera que desee trabajar con el lgebra booleana.

La manera de demostrar los teoremas siguientes se puede basar en ideas intuitivas producto de la familiaridad con algn lgebra booleana en particular, con la nica condicin de que se respete al pie de la letra los 6 postulados fundamentales. En estas notas slo se usan razonamientos basados en los seis postulados.

Antes de presentar los teoremas es conveniente mencionar el siguiente principio que se deriva directamente de la manera en que fueron presentados los seis postulados fundamentales, es decir, del hecho de que cada postulado tiene dos incisos los cuales son duales uno del otro.

Principio de Dualidad. Si una expresin booleana es verdadera, su expresin dual tambin lo es.

Expresiones duales. Dos expresiones se dicen duales una de la otra, si una se puede obtener de la otra cambiando las operaciones ( + ) por (.) y viceversa y cambiando los O's por 1 's y viceversa.

Ejemplo. La expresin A + B = 1 es dual de la expresin A.B = O, Todas las expresiones de los incisos (a) de los postulados del lgebra booleana son duales de las expresiones de los incisos (b) correspondientes.

SISTEMAS DIGITALES

57

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Teorema 1. Multiplicacin por cero a) A.0 = 0 b) A+1 = 1 Demostracin del inciso (a) Explicacin: A.0 = A.0 + 0 = A.0 + A. = A.(0 + ) = A.( ) =0 Teorema 2. Absorcin a) A + AB = A b) A(A + B) = A Demostrando el inciso (a) Explicacin: A + AB = A.1 + AB = A(1 + B) = A(1) =A 1 es el neutro del producto distributividad Teorema 1 es el neutro del producto 0 es el neutro de la suma el producto de una variable por su complemento da 0 distributividad una variable ms el neutro no se altera una variable por su complemento da 0

Este teorema se puede usar en diversos casos de simplificacin, basta con usar identificar en una suma, una expresin que se repite primero en forma aislada y luego multiplicando a otra expresin.

Ejemplos. La expresin XY + XYZ por absorcin es igual a XY. La expresin A+ AB por absorcin es igual con A etc.

SISTEMAS DIGITALES

58

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Teorema 3. Cancelacin a) A + B = A + B b) A( + B) = A B Demostracin del inciso (a) Explicacin: A + B = (A+ )(A+B) = 1.(A+B) = A+B distributividad la suma de una variable con su complemento es 1 1 es el neutro del Producto

Este teorema se puede usar en la simplificacin de expresiones cuando encontramos una expresin sumada Con su complemento multiplicado por otra expresin (o el dual).

Ejemplos: La expresin A + BC por cancelacin es igual a A + BC La expresin + AB por cancelacin es igual a + B La expresin XY + XY Z por cancelacin es igual a XY + Z

Teorema 4. Cancelacin a) AB + B = B b) (A+B)( +B)=B Demostracin del inciso (a) Explicacin: AB + B = (A+ )B = 1.B =B distributividad la suma de una variable con su complemento es 1 1 es el neutro del producto

Para usar este resultado hay que identificar dos trminos que tienen un factor comn y el trmino que no es comn en una de ellas es el complemento del de la otra.

SISTEMAS DIGITALES

59

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Ejemplos: La expresin BC+ABC, por cancelacin es igual a BC La expresin XYZ+XY Z, por cancelacin es igual a Z

Teorema 5. Idempotencia a) A.A = A b\ A+A= A La demostracin del inciso (b) de este teorema es inmediata del teorema de absorcin, ya que A + A = A+ A.1. Este teorema implica que cuando existen trminos semejantes en una expresin, basta con escribir uno de ellos, o bien, que un trmino puede "desdoblarse" tantas veces como se quiera. Obsrvese que tambin esto implica que An = A para cualquier nmero n entero positivo.

Ejemplos: La expresin (X+Y)(X+Y) por idempotencia es igual a X+Y La expresin XYZXYX por idempotencia es igual a XYZ La expresin XY+Z+ XY por idempotencia es igual a XY+Z

Teorema 6. Consenso a) AB + AC + BC = AB + AC b) (A+B)(A+C)(B+C) = (A+B)( A+C) Demostracin del inciso (a) Explicacin: AB +AC + BC = AB +AC + BC(A +A) A+A = AB +AC +ABC +ABC = (AB +ABC) + AC +ABC) = AB + AC es el neutro de la multiplicacin distributividad conmutatividad y asociatividad absorcin

SISTEMAS DIGITALES

60

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

La clave para usar este teorema es encontrar dos trminos que contengan una expresin en uno afirmada y en otro negada, anotar los trminos con los que estn multiplicando uno y otro y buscar otro elemento que sea la multiplicacin de estos ltimos dos, ste ltimo elemento es el que se puede eliminar.

Ejemplos: La expresin AB + AC + BC por consenso es igual a AB + AC La expresin XYZ + XY W + ZW por consenso es igual a XYZ + XY W

Teorema 7. Teorema De Morgan a) AB = A+B b) A+B = AB

Demostracin del inciso (a): Para demostrar este teorema hay que recordar las dos propiedades que cumple el complemento X de una expresin X, es decir: i) X+ X = 1 (sumados nos da uno) ii) X X = 0 (multiplicados nos da cero) As, para demostrar el inciso (a) se demostrar que A+B es el complemento de A.B, para ello se har en dos partes:

i) sumando: Explicacin: AB + (A+ B) = AB + B + A =A+B+A =1+B =1 por conmutatividad por cancelacin propiedad del complemento por Teorema 1

SISTEMAS DIGITALES

61

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

ii) multiplicando Explicacin: A B (A + B ) = ABA + ABB =0+0 =0 Por distributividad propiedad del complemento idempotencia

El teorema de De Morgan se puede generalizar al caso de ms de dos variables booleanas, por ejemplo, para 3 variables, tenemos que A+B+C = (A+B )C = ABC, en forma similar, A.B.C = (A.B )+C = A+B+C , y as sucesivamente para ms de tres variables.

Otros teoremas: A continuacin se presentan dos teoremas ms sin demostracin, es un buen ejercicio el intentar dicha demostracin.

Teorema 8. Involucin a) A=A

Teorema 9. Complementos de los neutros a) 0 = 1 b) 1 = 0

3.3 Mapas de Karnaugh


Un mapa de Karnaugh (tambin conocido como tabla de Karnaugh o diagrama de Veitch, abreviado como Mapa-K o Mapa-KV) es un diagrama utilizado para la simplificacin de funciones algebraicas Booleanas. El mapa de Karnaugh fue inventado en 1950 por Maurice Karnaugh, un fsico y matemtico de los laboratorios Bell. Los mapas de Karnaugh reducen la necesidad de hacer clculos extensos para la simplificacin de expresiones booleanas, aprovechando la

SISTEMAS DIGITALES

62

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

capacidad del cerebro humano para el reconocimiento de patrones y otras formas de expresin analtica, permitiendo as identificar y eliminar condiciones muy inmensas. El mapa de Karnaugh consiste en una representacin bidimensional de la tabla de verdad de la funcin a simplificar. Puesto que la tabla de verdad de una funcin de N variables posee 2N filas, el mapa K correspondiente debe poseer tambin 2N cuadrados. Las variables de la expresin son ordenadas en funcin de su peso y siguiendo el cdigo Gray, de manera que slo una de las variables vara entre celdas adyacentes. La transferencia de los trminos de la tabla de verdad al mapa de Karnaugh se realiza de forma directa, albergando un 0 un 1, dependiendo del valor que toma la funcin en cada fila. Las tablas de Karnaugh se pueden utilizar para funciones de hasta 6 variables. El aspecto de los mapas de Karnaugh es el de la siguiente figura:

Figura 27.- Mapas de Karnaugh

SISTEMAS DIGITALES

63

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

De izquierda a derecha y de arriba a abajo aparecen los mapas para dos, tres, cuatro y cinco variables. Note que en cada mapa existe una lnea diagonal en la esquina superior izquierda. Por encima y por debajo de dicha lnea aparecen los nombres de las variables implicadas (en este caso a, b, c, d y/o e, segn el mapa, aunque pudieran ser otros diferentes), de tal forma que para el mapa de cuatro variables, por ejemplo, las combinaciones de ceros y unos de la parte superior del mapa son las combinaciones posibles de las variables a y b, en este orden, y las combinaciones de dgitos binarios del lateral izquierdo son la posibles combinaciones de las variables c y d, tambin en ese orden. 3.3.1 La adyacencia grfica y la adyacencia algebraica Dos casillas son adyacentes grficamente si estn una junto a otra en el mapa de Karnaugh, teniendo en cuenta que nunca deben considerarse las diagonales. Por otro lado, dos casillas de un mapa de Karnaugh son adyacentes algebraicamente si en el conjunto formado por los bits de sus coordenadas x e y slo hay un dgito diferente, no importando la posicin en la que se encuentre dicho dgito. Pues bien, siempre se verifica que dos casillas que sean adyacentes grficamente tambin lo son algebraicamente (recuerde que no vale en diagonal). El recproco no es cierto en general, de tal forma que hay casillas que son adyacentes algebraicas y no lo son grficamente. La adyacencia algebraica es la que realmente hay que tener en cuenta en el proceso de simplificacin grfica. Podemos decir que la adyacencia algebraica es "ms fuerte" que la grfica. Sin embargo, a efectos de poder realizar la simplificacin de forma fcil convendra que los dos tipos de adyacencias coincidiesen para tener una imagen grfica de las adyacencias algebraicas. Lamentablemente esto no es as, pero con objeto de conseguir una imagen mental y grfica de las adyacencias algebraicas podemos ayudarnos de las siguientes figuras:

SISTEMAS DIGITALES

64

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

- Para tres variables:

- Para cuatro variables:

SISTEMAS DIGITALES

65

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

- Para cinco variables:

3.3.2 Simplificacin de funciones lgicas con mapas La tabla que se usara para explicar la simplificacin grfica de Karnaugh es la siguiente:
Tabla 11.- Ejemplo de Tabla de verdad para simplificar por mapas

a 0 0 0

b 0 0 0

c 0 0 1

d 0 1 0

F 1 1 0

G 0 0 0

H 0 0 1

SISTEMAS DIGITALES

66

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

0 0 0 0 0 1 1 1 1 1 1 1 1

0 1 1 1 1 0 0 0 0 1 1 1 1

1 0 0 1 1 0 0 1 1 0 0 1 1

1 0 1 0 1 0 1 0 1 0 1 0 1

1 1 0 1 1 1 0 1 1 1 0 0 1

0 0 1 0 1 0 0 0 1 0 0 0 0

1 0 0 1 1 1 0 1 0 0 0 1 1

En esta tabla se han diferenciado las funciones de salida de las variables de entrada gracias al empleo de maysculas (para las funciones) y minsculas (para las variables). Tenemos pues cuatro variables de entrada y tres funciones de salida. Cada una de estas funciones corresponder a una salida de nuestro circuito combinacional (es por eso que reciben ese nombre, funciones de salida). Por contra, cada una de las variables de entrada corresponder a una entrada del circuito. Entonces, la tabla de la verdad indica cmo se comportar el circuito,

SISTEMAS DIGITALES

67

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

desde el punto de vista de sus salidas, ante cualquier combinacin lgica en sus entradas (vea que en la tabla aparecen todas las combinaciones lgicas posibles de entrada). De esta tabla se podran sacar las formas cannicas (de minitrminos o de maxitrminos) de las funciones F, G y H. Sin embargo, esta forma de proceder no es la ms adecuada por motivos de economa de medios, ya que las formas cannicas no son las expresiones ms simples de una determinada funcin, y mientras ms simple sea una funcin ms simple ser el circuito que la implemente. As pues, se hace necesario simplificar las formas cannicas para obtener otras expresiones ms simples. Es aqu donde entran en juego los mapas de Karnaugh. Como ya se desprende de lo comentado ms arriba, la simplificacin se puede llevar a cabo de la forma cannica de minitrminos o de la forma cannica de maxitrminos. A nosotros nos toca decidir. Cmo?. Pues el criterio que considero ms lgico (salvo demostracin en contra) es el de simplificar la forma cannica que ya de por s sea ms simple, o sea, la que tenga menos trminos. En el caso de la funcin F de la tabla estaramos hablando de forma cannica de maxitrminos. Bien, pues simplifiquemos primeramente F en su forma cannica de maxitrminos. Para ello eligiremos un mapa de Karnaugh de igual nmero de variables que las que tenga la funcin a simplificar, en este caso ser de cuatro variables. A continuacin, colocaremos ceros en las casillas del mapa cuyas coordenadas correspondan con los valores de las variables que producen los ceros de F:

SISTEMAS DIGITALES

68

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

A continuacin hay que intentar realizar agrupamientos de los ceros colocados en el mapa. Slo se permiten agrupamientos de un nmero de ceros que sea una potencia de dos (2, 4, 8, 16 , etc.) y nunca en diagonal. Adems, los agrupamientos que se hagan hay que tratar que sean lo mayor posible. Los agrupamientos que pueden realizarse en el mapa de ms arriba son los siguientes:

La simplificacin de la funcin se producir en los agrupamientos. As, ninguno de los dos ceros de la lnea inferior no se han podido agrupar. Eso har que cada uno de ellos de lugar a un maxitrmino de la siguiente forma:

SISTEMAS DIGITALES

69

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

O sea, la variable que tenga valor cero aparece en el maxitrmino de forma directa y la que tenga el valor uno aparece de forma negada. Esto respecto a los trminos que no se simplifican. Respecto a los que s se simplifican lo hacen de la siguiente forma:

Como puede verse, se sigue la misma regla que en los trminos no simplificados en cuanto a la negacin o no de una variable, pero adems, cada agrupamiento (y no cada casilla) da lugar a un trmino en el que la variable que cambia de valor en

SISTEMAS DIGITALES

70

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

las casillas del agrupamiento desaparece del trmino directamente, o sea, no se incluye en l. La funcin F simplificada tendr el siguiente aspecto:

Sera posible simplificar an ms la funcin F? S, pero ya aplicando mtodos de simplificacin algebraica. Por ejemplo, se podra sacar factor comn c + d', con lo que quedara:

Pasemos a simplificar otra funcin de las de la tabla. Acometamos la simplificacin de la funcin G. Esta funcin tiene menor nmero de unos que de ceros. Por tanto, simplificaremos por minitrminos. Adems, como G tiene cuatro variables deberemos usar un mapa de Karnaugh de ese nmero de variables. Ahora se irn rellenando las casillas igual que en el caso anterior pero con unos en lugar de con ceros (es un convenio que permite que se sepa con un simple vistazo si se est trabajando con base en minitrminos o en maxitrminos):

SISTEMAS DIGITALES

71

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Agrupando segn la regla que ya se ha visto tendremos:

En

el

agrupamiento

cambia

(y

por

tanto

desaparece

de

su

trmino

correspondiente) la variable c y en el uno no agrupado no se puede hacer simplificacin alguna (y por tanto su trmino contendr todas las variables). As pues:

Como puede verse, el criterio que se ha seguido para negar o no una variable es el contrario que en el caso de los maxitrminos, es decir, en minitrminos una variable se niega si su valor es cero y se deja sin negar si su valor es uno. Bien, pasemos ya a simplificar la funcin restante, o sea, la funcin H. Esta funcin tiene igual nmero de ceros que de unos, as que es indiferente que nos basemos en minitrminos o en maxitrminos. Yo personalmente tengo preferencia por los minitrminos. Basmonos en minitrminos pues. El mapa de Karnaugh con los agrupamientos ya hechos ser el siguiente:

SISTEMAS DIGITALES

72

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

La funcin H simplificada segn Karnaugh ser

Se podra simplificar H de forma algebraica hasta conseguir lo siguiente:

Por tanto, como resumen de las funciones simplificadas tendremos que

Ya slo quedara el diseo del circuito lgico que las implemente.

SISTEMAS DIGITALES

73

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

3.3.3 Reglas de simplificacin A. Las agrupaciones son exclusivamente de unos. Esto implica que ningn grupo puede contener ningn cero.

B. Las agrupaciones nicamente pueden hacerse en horizontal y vertical. Esto implica que las diagonales estn prohibidas.

C. Los grupos han de contener 2n elementos. Es decir que cada grupo tendr 1,2,4,8... nmero de unos.

SISTEMAS DIGITALES

74

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

D. Cada grupo ha de ser tan grande como sea posible. Tal y como lo ilustramos en el ejemplo.

E. Todos los unos tienen que pertenecer como mnimo a un grupo. Aunque pueden pertenecer a ms de uno.

SISTEMAS DIGITALES

75

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

F. Pueden existir solapamiento de grupos.

G. La formacin de grupos tambin se puede producir con las celdas extremas de la tabla. De tal forma que la parte inferior se podra agrupar con la superior y la izquierda con la derecha tal y como se explica en el ejemplo.

SISTEMAS DIGITALES

76

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

H. Tiene que resultar el menor nmero de grupos posibles siempre y cuando no contradiga ninguna de las reglas anteriores. Esto es el nmero de grupos ha de ser minimal.

3.3

Proceso de diseo de un circuito combinacional

El procedimiento de anlisis de un circuito es: Se obtiene la funcin lgica realizada por las puertas cuyas entradas corresponden a las entradas primarias del circuito. Se obtiene la funcin lgica realizada en puertas con entradas conocidas (entradas primarias o salidas de puertas ya calculadas. Se repite el paso anterior hasta obtener la funcin de salida.

SISTEMAS DIGITALES

77

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Se simplifica la expresin obtenida y/o se traduce a un mapa o tabla

Una vez obtenida la funcin simplificada se procede al armado del circuito. El diseo (o sntesis) de un circuito es el proceso inverso al anlisis: partiendo de una descripcin inicial de la tarea que realiza el circuito, habr de obtener: la tabla de verdad, el K-mapa, la ecuacin booleana, el circuito.

Existen distintos criterios para determinar la calidad de un diseo: Coste del circuito. Depende de: el nmero de componentes (puertas y conexiones). el nmero de componentes (circuitos integrados) tecnologa de los mismos (bipolar, MOS). tiempo de diseo. Velocidad del circuito. Depende de: la tecnologa de las puertas. estructura del circuito (nmero de niveles). Fiabilidad y testabilidad. Depende de: la redundancia introducida. el uso de componentes fiables. Tamao. Hay que reducir el tamao tanto en el diseo con CI (para tener placas ms pequeas) como en el diseo de CI (para que los chips tengan menor superficie).

SISTEMAS DIGITALES

78

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Consumo de potencia. Depende del nmero de puertas, de la tecnologa empleada, etc.

Pasos del proceso de diseo 1. Especificacin textual: En un diseo se parte de una descripcin en lenguaje natural de la tarea que se desea que realice el circuito a disear, esto suele ser el enunciado del problema. 2. Tabla de verdad. 3. K-mapa: A partir del K-mapa se reducir al mnimo la expresin algebraica. 4. Expresin algebraica mnima. 5. Implementacin del circuito mediante compuertas logicas.

3.3.1 Implementacin con puertas AND,OR,NOT La implementacin con puertas AND, OR y NOT se realiza en el ltimo paso del apartado anterior, sustituyendo las operaciones bsicas que aparecen en la expresin lgica del circuito digital, de suma lgica, producto lgico y complementacin. Estas operaciones se sustituyen por su representacin simblica obteniendo la realizacin del circuito mediante puertas lgicas.

Un ejemplo: Una vez realizado mi diseo he obtenido la siguiente funcin , siendo F la salida del circuito, y A,B,C las entradas.

SISTEMAS DIGITALES

79

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 28.- Implementacin con puertas AND, OR Y NOT

Ahora solamente queda la interconexin de los trminos anteriores con la entrada B, mediante sumas (OR).Luego el circuito completo queda :

Figura 29.- Implementacin total del circuito con puertas AND, OR Y NOT

SISTEMAS DIGITALES

80

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

3.3.2. Implementacin con puertas NAND y NOR Para implementar circuitos digitales solamente con puertas NAND o NOR se debe conocer cmo implementar las operaciones bsicas AND, OR y NOT, mediante el uso exclusivo de NAND o NOR.

Figura 30.- Sntesis de NOT

La puerta NOT se puede obtener o es equivalente mediante NAND o NOR ( se justifica con las tablas de verdad ), conectando ambas entradas : Sntesis con NAND:

Figura 31.- Sntesis con NAND

SISTEMAS DIGITALES

81

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Sntesis con NOR:

Figura 32.- Sntesis con NAND

SISTEMAS DIGITALES

82

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

IV. Circuitos combinacionales prcticos.

Objetivo
El alumno implementar circuitos combinacionales para la solucin de problemas lgicos.

4.1

Entradas de habilitacin y de tercer estado en dispositivos

electrnicos.

Una salida con tres estados posibles es una salida Tri-Estado o Tri-State. Los tres estados son conocidos como Alto (1), Bajo (0) y de Alta Impedancia o Hi-Z. Los dispositivos que utilizan estas salidas constan de una entrada adicional llamada habilitacin o Enable para establecer las salidas del dispositivo en el estado de alta impedancia.

En el estado de Alta Impedancia, la salida se comporta como si an no estuviera conectada al circuito, excepto por una pequea corriente de fuga que puede fluir hacia adentro o hacia afuera de la Terminal de salida.

Los dispositivos con salidas de tres estados se disean normalmente de modo que el retardo de la habilitacin de salida, de Hi-Z a bajo o alto, sea un poco ms largo que el retardo de deshabilitacin de salida, bajo o alto hacia Hi-Z.

El concepto entero del tercer estado (Hi-Z) es quitar con eficacia la influencia del dispositivo del resto del circuito. Si ms de un dispositivo est conectado elctricamente, poner una salida en Hi-Z el estado es de uso frecuente prevenir

SISTEMAS DIGITALES

83

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

cortocircuitos (cuando un dispositivo conduce arriba (1 lgico) contra otro dispositivo que conduce el punto bajo (0 lgico).

La salida triestado combina las ventajas de los circuitos ttem-pole y de colector abierto.

Cuando se selecciona el funcionamiento lgico normal, mediante la entrada de habilitacin, el circuito triestado funciona de la misma forma que una puerta normal. Cuando el modo de funcionamiento es de alta impedancia, la salida se desconecta del resto del circuito.

4.2

Decodificadores y Codificadores

4.2.1 Conceptos Codificador


Los codificadores nos permiten compactar la informacin, generando un cdigo de salida a partir de la informacin de entrada. Y como siempre, lo mejor es verlo con un ejemplo. Imaginemos que estamos diseando un circuito digital que se encuentra en el interior de una cadena de msica. Este circuito controlar la cadena, haciendo que funcione correctamente. Una de las cosas que har este circuito de control ser activar la radio, el CD, la cinta o el Disco segn el botn que haya pulsado el usuario. Imaginemos que tenemos 4 botones en la cadena, de manera que cuando no estn pulsados, generan un 0 y cuando se pulsan un 1 (Botones digitales). Los podramos conectar directamente a nuestro circuito de control la cadena de msica, como se muestra en la Figura 33.

SISTEMAS DIGITALES

84

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Sin embargo, a la hora de disear el circuito de control, nos resultara ms sencillo que cada botn tuviese asociado un nmero. Como en total hay 4 botones, necesitaramos 2 bits para identificarlos. Para conseguir esta asociacin utilizamos un codificador, que a partir del botn que se haya pulsado nos devolver su nmero asociado:

Figura 33.- Circuito de control de una cadena de msica, y 4 botones de seleccin de lo que se quiere escuchar

Figura 34.- Circuito representado con codificador

SISTEMAS DIGITALES

85

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Fijmonos en las entradas del codificador, que estn conectadas a los botones. En cada momento, slo habr un botn apretado, puesto que slo podemos escuchar una de las cuatro cosas. Bien estaremos escuchando el CD, bien la cinta, bien la radio o bien un disco, pero no puede haber ms de un botn pulsado1. Tal y como hemos hecho las conexiones al codificador, el CD tiene asociado el nmero 0, la cinta el 1, la radio el 2 y el disco el 3 (Este nmero depende de la entrada del codificador a la que lo hayamos conectado). A la salida del codificador obtendremos el nmero del botn apretado. La tabla de verdad ser as:
Tabla 12.- Tabla de verdad de codificador 4 a 2 de ejemplo

El circuito de control de la cadena ahora slo tendr 2 bits de entrada para determinar el botn que se ha pulsado. Antes necesitbamos 4 entradas. El codificador que hemos usado tiene 4 entradas y 2 salidas, por lo que se llama codificador de 4 a 2. Existen codificadores de mayor nmero de entradas, como el que vamos a ver en el siguiente ejemplo. Imaginemos que ahora queremos hacer un circuito para monitorizar la situacin de un tren en una va. En una zona determinada, la va est dividida en 8 tramos. En cada uno de ellos existe un sensor que indica si el tren se

SISTEMAS DIGITALES

86

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

encuentra en ese tramo (el sensor devuelve 1) o fuera de l (valor 0). Se ve claramente que cuando uno de los sensores est activado, porque que el tren se encuentre en ese tramo, el resto de sensores devolvern un 0 (No detectan al tren). Si conectamos todas las entradas de los sensores a un codificador de 8 a 3, lo que tendremos es que a la salida del codificador saldr un nmero que indica el tramo en el que se encuentra el tren. El circuito de control que conectemos a las salidas de este codificador slo necesita 3 bits de entrada para conocer el tramo en el que est el tren, y no es necesario 8 bits. Su diseo ser ms simple!!. La tabla de verdad es:
Tabla 13.- Tabla de verdad de codificador 8 a 3 de ejemplo

4.2.2 Conceptos Decodificador


Un decodificador es un circuito integrado por el que se introduce un nmero y se activa una y slo una de las salidas, permaneciendo el resto desactivadas. Y como siempre, lo mejor es verlo con un ejemplo sencillo. Imaginemos que

SISTEMAS DIGITALES

87

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

queremos realizar un circuito de control para un semforo. El semforo puede estar verde, amarillo, rojo o averiado. En el caso de estar averiado, se activar una luz interna azul, para que el tcnico sepa que lo tiene que reparar. A cada una de estas luces les vamos a asociar un nmero. As el rojo ser el 0, el amarillo el 1, el verde el 2 y el azul (averiado) el 3 (Ver figura 35). Para controlar este semforo podemos hacer un circuito que tenga 4 salidas, una para una de las luces. Cuando una de estas salidas est a 1, la luz correspondiente estar encendida. Sin embargo, ocurre que NO PUEDE HABER DOS O MAS LUCES ENCENDIDAS A LA VEZ. Por ejemplo, no puede estar la luz roja y la verde encendidas a la vez!!!!.

Figura 35.- El semforo que se quiere controlar

Figura 36.- Circuito de control del semforo, usando un decodificador de 2 a 4

SISTEMAS DIGITALES

88

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Si utilizamos un decodificador de 2 a 4, conseguiremos controlar el semforo asegurndonos que slo estar activa una luz en cada momento. Adems, el circuito de control que diseemos slo tienen que tener 2 salidas. El nuevo esquema se muestra en la figura 36. El funcionamiento es muy sencillo. Si el circuito de control enva el nmero 2 (E1 = 1, E0 = 0), se encender la luz verde (que tiene asociado el nmero 2) y slo la luz verde!!!. Un decodificador activa slo una de las salidas, la salida que tiene un nmero igual al que se ha introducido por la entrada. En el ejemplo del semforo, si el circuito de control enva el nmero 3, se activa la salida O3 y se encender la luz azul (y slo esa!!). A la hora de disear el circuito de control, slo hay que tener en cuenta que cada luz del semforo est conectada a una salida del decodificador y que por tanto tiene asociado un nmero diferente.

4.3

Multiplexores y demultiplexores

4.3.1 Conceptos Multiplexores Un Multiplexor es un circuito combinacional al que entran varios canales de datos, y slo uno de ellos, el que hallamos seleccionado, es el que aparece por la salida. Es decir, que es un circuito que nos permite SELECCIONAR que datos pasan a travs de dicho componente. Vamos a ver un ejemplo NO electrnico. Imaginemos que hay dos tuberas (canales de datos) por el que circulan distintos fluidos (datos). Una transporta agua para regar y la otra agua potable. Estas tuberas llegan a una granja, en la cual hay una nica

SISTEMAS DIGITALES

89

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

manguera por la que va a salir el agua (bien potable o bien para regar), segn lo que seleccione el granjero posicionando la llave de paso en una u otra posicin. En la Figura 37 se muestra un esquema. Las posiciones son la 0 para el agua potable y 1 para el agua de regar. Moviendo la llave de paso, el granjero puede seleccionar si lo que quiere que salga por la manguera es agua potable, para dar de beber al ganado, o agua para regar los cultivos. Segn cmo se posicione esta llave de paso, en la posicin 0 en la 1, seleccionamos una tubera u otra. Pero por qu slo dos tuberas?. Porque es un ejemplo. A la granja podran llegar 4 tuberas. En este caso el granjero tendra una llave de paso con 4 posiciones, como se muestra en la Figura 38. Esta llave se podra poner en 4 posiciones distintas para dar paso a la tubera 0, 1, 2 3. Obsrvese que slo pasa una de las tuberas en cada momento, y slo una!. Hasta que el granjero no vuelva a cambiar la llave de paso no se seleccionar otra tubera.

Figura 37.- Sistema de agua de 4 tuberas

SISTEMAS DIGITALES

90

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Con este ejemplo es muy fcil entender la idea de multiplexor. Es como una llave de paso, que slo conecta uno de los canales de datos de entrada con el canal de datos de salida.

Figura 38.- Un multiplexor que selecciona entre 4 canales de datos

Ahora en vez de en tuberas, podemos pensar en canales de datos, y tener un esquema como el que se muestra en la Figura 39, en la que hay 4 canales de datos, y slo uno de ellos es seleccionado por el multiplexor para llegar a la salida. En general, en un multiplexor tenemos dos tipos de entradas:

Figura 39.- Dos multiplexores de 4 canales de entrada

SISTEMAS DIGITALES

91

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Entradas de datos: (Las tuberas en el ejemplo). Entrada de seleccin: Indica cul de las entradas se ha seleccionado (posicin de la llave de paso).

4.3.2 Multiplexores y bits Hemos visto cmo a un multiplexor le llegan nmeros por distintas entradas y segn el nmero que le llegue por la entrada de seleccin, lo manda por la salida o no. Nmeros!!

Recordemos que los circuitos digitales slo trabajan con nmeros. Pero estos nmeros, vimos que siempre vendrn expresados en binario y por tanto se podrn expresar mediante bits. Cuantos bits? Depende de lo grande que sean los nmeros con los que se quiere trabajar.

En el interior de los microprocesadores es muy normal encontrar multiplexores de 8 bits, que tienen varias entradas de datos de 8 bits. Pero se puede trabajar con multiplexores que tengan 4 bits por cada entrada, o incluso 2, o incluso 1bit. En la figura 5.4 se muestran dos multiplexores que tienen 4 entradas de datos. Por ello la entrada de seleccin tiene dos bits (para poder seleccionar entre los cuatro canales posibles). Sin embargo, en uno las entradas de datos son de 2 bits y en el otro de 1 bit. Mirando el nmero de salidas, podemos conocer el tamao de los canales de entrada.

As en los dos multiplexores de la figura 5.4, vemos que el de la izquierda tiene 2 bits de salida, por tanto sus canales de entrada son de 2 bits. El de la derecha tiene 1 bit de salida, por tanto los canales de 1 bit.

SISTEMAS DIGITALES

92

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Los multiplexores en lo que principalmente nos centraremos son los que tienen canales de 1 bit. A partir de ellos podremos construir multiplexores mayores, bien con un mayor nmero de canales de entrada o bien con un mayor nmero de bits por cada canal. 4.3.3 Conceptos Demultiplexores El concepto de demultiplexor es similar al de multiplexor, viendo las entradas de datos como salidas y la salida como entradas. En un multiplexor hay varias entradas de datos, y slo una de ellas se saca por el canal de salida. En los demultiplexores hay un nico canal de entrada que se saca por una de las mltiples salidas (y slo por una!!!). Si utilizamos el smil de la granja y las tuberas, podemos imaginar el siguiente escenario. Supongamos que ahora a la granja le llega una nica tubera con agua, pero en el interior de la granja hay varias mangueras, cada una para limpiar una zona del establo o dar de beber a los animales de esa zona. Cmo slo hay un granjero, slo podr usar una de las mangueras cada vez (el granjero no podr usar a la vez dos mangueras, porque estn en sitios diferentes!!). Para seleccionar qu manguera quiere usar en cada momento, hay una llave de paso, de manera que si la sita en una posicin, el agua que viene por la entrada saldr por la manguera 0, mientras que si la sita en la otra posicin, el agua saldr por la manguera 1 (ver Figura 40) De la misma manera que en los multiplexores puede haber varias entradas, en los demultiplexores puede haber varias salidas. Por ejemplo en la Figura 41 se muestra el mismo sistema de tuberas de la granja, pero ahora hay 4 mangueras, para llegar a 4 zonas distintas de la granja. Ahora el granjero tendr que posicionar la llave de paso en una de las 4 posiciones posibles, para que el agua salga por la manguera seleccionada.

SISTEMAS DIGITALES

93

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Ya comprendemos cmo funcionan los demultiplexores. Si lo aplicamos al mundo de la electrnica, en vez de tuberas tendremos canales de datos. Habr un nico canal de entrada, por el que llegarn nmeros, que saldrn slo por uno de los canales de salida, el que tengamos seleccionado, como se muestra en la Figura 42. En general en un demultiplexor tendremos:

Una entrada de datos

Figura 40.- Sistema de agua de 4 mangueras

Figura 41.- Un demultiplexor que selecciona entre 4 canales de datos

SISTEMAS DIGITALES

94

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 42.- Una alternativa para comunicar sistemas

Una entrada de seleccin: que indica a cul de las salidas se manda la entrada

Varios canales de datos de salida. Slo estar activo el que se haya seleccionado.

4.4

Comparadores de magnitud

Los comparadores pueden ser de identidad o de magnitud. El comparador de identidad es un sistema combinacional de una salida que se activa si los dos datos aplicados en sus entradas son idnticos bit a bit. El comparador de magnitud dispone de la misma salida de igualdad y de dos salidas ms que sealan si los nmeros binarios P y Q aplicados a las entradas cumplen la condicin P>Q o P<Q en caso de desigualdad. El siguiente ejemplo muestra el bloque funcional y la tabla de verdad de un comparador de magnitud de 1 bit.

SISTEMAS DIGITALES

95

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 43.- Bloque funcional y tabla de verdad de un Comparador de magnitud

Los comparadores de magnitud tienen tres entradas adicionales (<, =, >) utilizadas para la extensin. El siguiente ejemplo muestra el bloque funcional y dos tablas de verdad alternativas de un comparador de magnitud de 4 bits. La tabla superior considera que las entradas de extensin proporcionan el resultado de la comparacin de bits de menor peso, mientras que la tabla inferior considera que las entradas de extensin proporcionan el resultado de la comparacin de bits de mayor peso.

Figura 44.- Bloque funcional y tabla de verdad de un Comparador de magnitud de 4 bits

SISTEMAS DIGITALES

96

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Para la extensin de comparadores de magnitud se conectan las salidas de uno a las entradas de extensin del siguiente. El comparador de los bits de mayor peso ser el de la izquierda o el de la derecha, dependiendo de la tabla de verdad que tenga asociada el comparador. La figura siguiente muestra un comparador de magnitud de 8 bits obtenido mediante la extensin de dos comparadores de magnitud de 4 bits.

Figura 45.- Comparador de magnitud de 8 bits obtenido mediante la extensin de dos comparadores de magnitud de 4 bits.

4.5

Circuitos aritmticos

El diseo de sistemas digitales involucra el manejo de operaciones aritmticas. A continuacin se implementarn los circuitos de suma y resta de nmeros binarios. La suma o adicin binaria es anloga a la de los nmeros decimales. La diferencia radica en que en los nmeros binarios se produce un acarreo (carry) cuando la suma excede de uno mientras en decimal se produce un acarreo cuando la suma excede de nueve(9).

SISTEMAS DIGITALES

97

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

4.5.1 Semisumador La operacin de un Semisumador conforme a las reglas de la suma binaria se puede sintetizar mediante las siguientes 2 operaciones booleanas: =A(xor)B (suma) Co=AB (acarreo)

Para realizar una suma binaria donde se tenga presente un carry de entrada se debe implementar un circuito que tenga presente esta nueva variante; como es el caso del sumador completo. El bit de suma es 1, slo si las variables A y B son distintas. El bit de acarreo Co es 0 a no ser que ambas entradas sean 1. Por consiguiente, la salida S puede expresarse en trminos de la operacin EXOR: = AB + AB = A B

Figura 46.- Smbolo y Circuito Lgico Semisumador

4.5.2 Sumador Completo El sumador completo acepta dos bits y un acarreo de entrada y genera una suma de salida junto con el acarreo de salida. El sumador completo tiene 3 entradas que se suman y son: A, B, y Cin (entrada de arrastre), y las salidas habituales y Co (suma y salida de arrastre).

SISTEMAS DIGITALES

98

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

La Tabla 14 muestra la tabla de verdad del sumador completo. Las entradas A, B y Cin denotan al primer sumando, el segundo sumando y el acarreo de entrada. Las salidas S y Cout representan a la suma y el acarreo de salida.
Tabla 14.- Tabla de Verdad del Sumador Completo.

= ABCin + ABCin + ABCin + ABCin = Cin(AB + AB) + Cin (AB + AB) = Cin(AB + AB) + Cin (AA + AB + AB + BB) = Cin(AB + AB) + Cin ((A + B)(A + B)) = Cin(AB + AB) + Cin ((AB)(AB)) = Cin(AB + AB) + Cin (AB + AB) = (A B) Cin

El mapa de karnaugh de la salida Cout se muestra en la Figura 47.

SISTEMAS DIGITALES

99

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 47.- Mapa para la salida Cout de un Sumador Completo.

La salida Cout est dada por:

Figura 48.- Smbolo y Circuito Lgico Sumador Completo

4.5.3 Semirrestador La operacin de un Semirrestador como el mostrado en la figura 49 se puede resumir mediante las ecuaciones booleanas:

Di=AB(neg)+A(neg)B= A(xor)B (diferencia) Bi=A(neg).B (borrow)

SISTEMAS DIGITALES

100

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

El circuito tiene dos entradas binarias y dos salidas. La figura 49 muestra el smbolo lgico y el circuito las entradas son A(minuendo) y B(sustraendo) y la salida Di corresponde a la diferencia y Bo al prstamo de salida.

Figura 49.- Smbolo y Circuito Lgico Semirrestador

Si A B, existen tres posibilidades 0-0=0, 1-0=0 y 11=1. El resultado es el bit de diferencia Di. Si A<B se tiene 0-1 y es necesario prestar un 1 de la siguiente posicin significativa de la izquierda. El prstamo agrega 2 al bit del minuendo de manera similar cuando en el sistema decimal se agrega 10 al dgito del minuendo. La tabla de verdad 15. Est dada por las reglas de la resta binaria.
Tabla 15.- Tabla de verdad del Restador medio.

La salida Di coincide con la operacin EXOR y se puede expresar de la siguiente forma: Di = AB + AB

SISTEMAS DIGITALES

101

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

La salida Bo est dada por la suma de productos de los trminos presentes en el rengln 2 de la tabla de verdad: Bo = AB

4.5.4 Restador Completo El Restador completo realiza la resta entre dos bits, considerando que se ha prestado un 1 de un estado menos significativo. En la tabla 16 las entradas A, B y C denotan el minuendo, el sustraendo y el bit prestado. Las salidas Di y Bo representan a la diferencia y el prstamo.
Tabla 16.- Tabla de verdad del Restador Completo.

En las combinaciones del mapa donde C=0, se tienen las mismas condiciones para el semisumador. La funcin de la salida Di de un restador es la misma que la salida de un sumador completo: D = ABC + ABC + ABC + ABC = (A B) Cin

El mapa de karnaugh de la salida Bo se muestra en la figura 50.

SISTEMAS DIGITALES

102

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 50.- Mapa para la salida Bo de un restador completo

La salida Bo est dada por: P = AB + AC + BC

El smbolo y circuito lgico se muestra en la figura 51.

Figura 51.- Smbolo y Circuito Lgico Restador Completo

SISTEMAS DIGITALES

103

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

4.5.5 Sumador y Restador de Cuatro Bits Las operaciones aritmticas se pueden implementar mediante circuitos lgicos. El nivel de sencillez obtenido en los circuitos est dado por la tcnica de diseo utilizada. La implementacin de una unidad aritmtica que realice las operaciones de suma y resta en un slo circuito, es ms simple comparndola con una de dos circuitos para las mismas funciones.

La suma de dos nmeros binarios de cuatro bits se realiza de derecha a izquierda, teniendo en cuenta las correspondientes posiciones significativas y el bit de arrastre (acarreo Cinx). El bit de arrastre generado en cada posicin se utiliza en la siguiente posicin significativa. La figura 52 muestra la suma de dos nmeros de cuatro bits.

Figura 52.- Suma binaria de cuatro bits

En un sumador completo, la suma de un par de bits genera un bit de acarreo. Un sumador de 2 nmeros de n bits se puede implementar de la forma descrita a continuacin. Los bits de la posicin menos significativa se suman con un acarreo inicial de 0, generando el bit de suma y el de acarreo. El bit de acarreo generado es usado por el par de dgitos en la siguiente posicin significativa. La suma se propaga de derecha a izquierda segn los acarreos generados en cada sumador y los sumandos presentes. Por consiguiente, la suma de dos 2 nmeros binarios de n bits se puede implementar mediante la utilizacin de n sumadores completos. As, para nmeros binarios de dos bits se necesitan dos sumadores completos;

SISTEMAS DIGITALES

104

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

para nmeros de cuatro bits cuatro sumadores. En la figura 53 se muestra un sumador de cuatro bits.

Figura 53.- Smbolo lgico del sumador en paralelo de cuatro bits

El smbolo lgico del sumador de cuatro bits se muestra en la figura 54.

Figura 54.- Circuito lgico del sumador en paralelo de cuatro bits

Un sumador se puede modificar en forma de sustractor invirtiendo cada bit del sustraendo y sumando 1 al establecer un acarreo de entrada Cin1. Observese el complementador de la figura 55. Si la entrada de control es igual a S=0, la entrada de datos I pasa sin ningn cambio a la salida. Si S=1, la entrada de datos se complementa.

SISTEMAS DIGITALES

105

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 55.- Diagrama de bloque de un complementador

El funcionamiento de este elemento se describe en la tabla de verdad 17.


Tabla 17.-Tabla de verdad de un complementador

De la tabla de verdad se observa que Y = S I. La figura 56 muestra la funcin OR - Exclusiva como complementador.

Figura 56.- Funcin OR - Exclusiva como complementador

Una sola entrada de control S con n lneas de entrada de datos Ii sirve para complementar o no complementar la entrada, segn la operacin de resta o suma binaria. La figura 57 ilustra un complementador de 4 bits.

SISTEMAS DIGITALES

106

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 57.- Complementador de 4 bits

El circuito completo de un sumador/restador de 4 bits se representa en la figura 58.

Figura 58.- Sumador/restador de 4 bits

SISTEMAS DIGITALES

107

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

4.5.6 Sumador en BCD La suma en cdigo BCD utiliza las mismas reglas de la suma binaria. Si una suma de dos nmeros es menor o igual que 9, el nmero BCD resultante es vlido. Si la suma es mayor que 9, o si se genera un acarreo el resultado no es vlido. En este caso, se suma el nmero binario 0110 para pasar de nuevo al cdigo BCD. Si se genera acarreo al sumar 0110, ste se suma al siguiente grupo de 4 bits. En los siguientes ejemplos se vern los casos que se pueden presentar. Ejemplo Sumar los nmeros 01000101 (45)10 y 00010010(12)10. La suma de la figura 59 no genera acarreos.

Figura 59.- Suma BCD sin acarreo.

Ejemplo Sumar los nmeros 00111001(39)10 y 01010110(56)10. La suma de los cuatro bits menos significativos de la figura 60 genera acarreo.

Figura 60.- Suma BCD con acarreo en el dgito BCD menos significativo

SISTEMAS DIGITALES

108

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Ejemplo Sumar los nmeros 01111001(79)10 y 00110101(35)10. La suma de dgito BCD menos significativo de la figura 61 genera acarreo, al igual que el segundo dgito BCD.

Figura 61.- Suma BCD con acarreo en dos dgitos

Un sumador BCD es un circuito que suma dos dgitos en BCD. En una suma BCD, la suma 9+9+1=19 es el valor mximo resultante, siendo el 1 en la suma el acarreo de entrada. Los dgitos BCD con un acarreo de entrada, se agregan en un sumador binario de cuatro bits para producir la suma binaria. Los nmeros decimales se listan en la tabla 18. C1 es el acarreo de la suma de los nmeros A y B de entrada (ver figura 62) y los dgitos S1 a S4 son el resultado de la suma binaria, donde cada dgito tiene los pesos 8, 4, 2, 1 del cdigo BCD. Cuando la suma binaria es menor o igual a 1001, no se agrega nada a la suma. Cuando el nmero binario es mayor que 1001 se obtiene una representacin en cdigo BCD no vlida. La suma del nmero binario 0110 a la suma binaria convierte la representacin a un cdigo BCD vlido. En la figura la suma del nmero 0110 se realiza por medio de un segundo sumador inferior. Este cdigo BCD vlido se observa en la tabla 18 en la columna de suma BCD. Las salidas S5 a S8 representan la suma BCD. C2 es el acarreo de salida de la suma BCD.

SISTEMAS DIGITALES

109

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Tabla 18.- Tabla de verdad del Sumador BCD.

El circuito necesario para detectar la condicin de acarreo o suma binaria mayor a 1001 se obtiene de la tabla de verdad. Cuando C1 es 1 se necesita sumar 0110 o una correccin. Lo mismo entre las combinaciones 1010 y 1111, se tiene una correccin cuando S2=S4=1 S3=S4=1. La expresin lgica de la correccin es: C2 = C1 + S3S4 + S4S2

SISTEMAS DIGITALES

110

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

El circuito lgico necesario para implementar el sumador BCD se muestra en la figura 62.

Figura 62.- Diagrama de bloques de un sumador BCD

4.5.7 Unidad Aritmtica y Lgica (ALU) Una unidad aritmtica lgica puede realizar un conjunto de operaciones aritmticas bsicas y un conjunto de operaciones lgicas, a travs de lneas de seleccin. En ingls ALU significa Arithmetic Logic Unit (Unidad Aritmtica Lgica). La figura 63 muestra el diagrama de bloques de una ALU.

SISTEMAS DIGITALES

111

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 63.- Diagrama de bloques de una ALU

Las cuatro entradas de A se combinan con las de B generando una operacin de salida de cuatro bits en F. La entrada de seleccin de modo S2 distingue entre las operaciones aritmticas y lgicas. Las entradas de seleccin S0 y S1 determinan la operacin aritmtica o lgica. Con las entradas S0 y S1 se pueden elegir cuatro operaciones aritmticas (con S2 en un estado) y cuatro lgicas (con S2 en otro estado). Los acarreos de entrada y salida tienen sentido nicamente en las operaciones aritmticas. El diseo de una ALU implica el diseo de la seccin aritmtica, la seccin lgica y la modificacin de la seccin aritmtica para realizar las operaciones aritmticas y lgicas. 4.5.7.1 Seccin Lgica Los datos de entrada en una operacin lgica son manipulados en forma separada y los bits son tratados como variables binarias. En la tabla 19 se listan cuatro operaciones lgicas OR, OR - Exclusiva, AND y NOT. En el circuito, las dos lneas de seleccin S0) permiten seleccionar una de las compuertas de entrada, correspondientes a la funcin Fi .

SISTEMAS DIGITALES

112

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Tabla 19.- Tabla de Funcin Lgica.

S1 0 0 1 1

S0 0 1 0 1

Salida F=Ai+Bi F=AiBi F=AiBi F=A'i

Funcin Fi OR XOR AND NOT

El circuito lgico de la figura 64 es una etapa de un circuito lgico de n bits.

Figura 64.- Diagrama lgico de un circuito lgico de una ALU

4.5.7.2 Seccin Aritmtica El componente bsico de la seccin aritmtica es un sumador en paralelo. Las operaciones aritmticas configuradas en el circuito aritmtico se presentan en la tabla 20. En una ALU, la suma aritmtica se puede implementar con un nmero binario en A, otro nmero en la entrada B y el acarreo de entrada Cin en un valor lgico 0. El resto de las funciones se enuncian en la columna descripcin.

SISTEMAS DIGITALES

113

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Tabla 20.- Tabla de la Funcin F en un Circuito Aritmtico

La implementacin de las funciones anteriores por medio de un circuito lgico sencillo se describe a continuacin. El circuito se disea bajo el precepto de intervenir cada entrada Bi para obtener las siguientes funciones:
Tabla 21.- Tabla del circuito para la entrada Bi

La figura 65 muestra el circuito.

SISTEMAS DIGITALES

114

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 65.- Circuito para la tabla 21.

Por medio de estas funciones se pueden lograr las funciones de la tabla 20 al agregar el nmero Ni (tabla 21) a la entrada A a travs de un sumador en paralelo para cada etapa, teniendo en cuenta el valor de la entrada C in. El circuito combinacional aritmtico se muestra en la figura 66. En la figura, la entrada A se denomina Mi en el sumador completo.

Figura 66.- Circuito aritmtico

SISTEMAS DIGITALES

115

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

4.5.8 Diseo de una Unidad Aritmtica Lgica En el diseo de una ALU se deben seguir los siguientes pasos: 1. Disear la seccin aritmtica independientemente de la seccin lgica. 2. Determinar las operaciones lgicas del circuito aritmtico, asumiendo que los acarreos de salida de todas las etapas son 0. 3. Modificar el circuito aritmtico para obtener las operaciones lgicas requeridas. El diseo simple de una ALU se hace utilizando el sumador completo para generar las operaciones lgicas de la unidad. Por lo tanto es necesario introducir una variable de control adicional (S2), con el fin de seleccionar entre las operaciones lgicas y aritmticas. En este diseo, un valor S2 = 1 hace que el circuito efecte operaciones lgicas. Recordando la salida de un sumador completo: F = (Ai Bi) Cin A partir de esta ecuacin, es posible obtener la funcin lgica requerida, utilizando la debida manipulacin lgica. La funcin requerida se expone en la tabla 22.
Tabla 22.- Tabla de obtencin de las funciones lgicas con un sumador completo

S2 S1 S0 Ai Bi Cin

Operacin Sumador Completo Ai Ai AiBi

Funcin requerida Fi OR XOR AND

Manipulacin

Salida

1 1 1

0 0 1

0 1 0

Ai 0

Ai Bi 0 Ai Bi' 0

Ai 1

A'i

NOT

Aplicar una funcin OR Ai + Bi Ninguna Aplicar una funcin OR Ai + Bi' Ninguna

Ai+Bi Ai AiBi

A'i

SISTEMAS DIGITALES

116

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Partiendo de la tabla 22, las entradas Mi, Ni y Cini en un sumador completo, son equivalentes a las siguientes expresiones: Mi = Ai + S2S1'S0'Bi + S2S1S0'Bi' Ni = S0Bi + S1Bi' Cini = S2'Ci La figura 67 muestra el diagrama de la unidad aritmtica lgica de dos etapas.

Figura 67.- Diagrama lgico de una ALU

SISTEMAS DIGITALES

117

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Las doce operaciones generadas en el ALU se resumen en la tabla 23, la funcin en particular se selecciona a travs de S2, S1, S0 y Cin. Las operaciones aritmticas son las mismas del circuito aritmtico.
Tabla 23.- Tabla de verdad de una ALU

Seleccin

Salida F hDescripcin

hS2 hS1 hS0 Cin F 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 X X X X A A+1 A+B A+B+1 A-B-1 A-B A-1 A A+B AB AB A Trasferir A Incrementar A Suma Suma con accarreo Resta con prstamo Sustraccin Decrementar A Transferir A OR OR-Exclusiva AND Complementar A

SISTEMAS DIGITALES

118

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

V. Circuitos secuenciales
Objetivo
El alumno implementar circuitos secuenciales para la solucin de problemas lgicos.

5.1 Diferencias entre circuitos combinacionales y secuenciales


En la lgica secuencial a diferencia de la lgica combinatoria se hace uso de un elemento bsico llamado flip-flop. El FLIP-FLOP es un elemento de memoria que almacena un bit de informacin. Algunos textos usan este nombre para referirse a los flip-flop, pero en la mayora de las publicaciones se hace la diferencia entre FLIPFLOP y latch. Este ltimo trmino es el que traducimos como flip-flop.

Los circuitos lgicos secuenciales tienen la capacidad de memorizar informacin, en consecuencia, los valores de las salidas, en un determinado momento, no dependen exclusivamente de los valores de las entradas en ese instante, sino que dependen tambin de los que estuvieron presentes con anterioridad.

Los circuitos lgicos secuenciales se dividen bsicamente en dos grupos: Los circuitos asincrnicos y los circuitos sincrnicos. Los primeros pueden cambiar los estados de sus salidas como resultado del cambio de los estados de las entradas, mientras que los circuitos sincrnicos pueden cambiar el estado de sus salidas en instantes de tiempo discretos bajo el control de una seal de reloj.

Existen tres circuitos clasificados segn la forma en que retienen o memorizan el estado que adoptan sus salidas, estos son:

Circuitos Biestables o FLIP-FLOP (FF): Son aquellos que cambian de estado cada vez que reciben una seal de entrada (ya sea nivel bajo o alto), es decir

SISTEMAS DIGITALES

119

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

retienen el dato de salida aunque desaparezca el de entrada. Conclusin: Poseen dos estados estables.

Figura 68.- Circuito Biestable

Circuitos Monoestables: Estos circuitos cambian de estado slo si se mantiene la seal de entrada (nivel alto o bajo), si sta se quita, la salida regresa a su estado anterior. Conclusin: Poseen un slo estado estable y otro metaestables.

Circuitos Astables o Aestables: Son circuitos gobernados por una red de tiempo RC (Resistencia-Capacitor) y un circuito de realimentacin, a diferencia de los anteriores se puede decir que no poseen un estado estable sino dos metaestables.

Figura 69.- Circuito monoestable y Astable.

5.2 Flip-flop
Todos los circuitos digitales utilizan datos binarios para funcionar correctamente, los circuitos estn diseados para contar, sumar, separar, etc. los datos segn nuestras necesidades, pero por el tipo de funcionamiento de las compuertas digitales, los datos presentes en las salidas de las mismas, cambian de acuerdo

SISTEMAS DIGITALES

120

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

con sus entradas, y no hay manera debitarlo, si las entradas cambian, las salidas lo harn tambin, entonces Cmo podemos hacer para mantener un dato o serie de datos en un lugar hasta que los necesitemos? La respuesta son las memorias, bsicamente son sistemas que pueden almacenar uno o ms datos evitando que se pierdan, hasta que nosotros lo consideremos necesario, es decir, pueden variar su contenido a nuestra voluntad.

El corazn de una memoria son los Flip Flops, este circuito es una combinacin de compuertas lgicas, A diferencia de las caractersticas de las compuertas solas, si se unen de cierta manera, estas pueden almacenar datos que podemos manipular con reglas preestablecidas por el circuito mismo. Esta es la representacin general par un Flip Flop (comnmente llamado "FF")

Figura 70.- Representacin general de un Flip- Flop

Los FF pueden tener varias entradas, dependiendo del tipo de las funciones internas que realice, y tiene dos salidas:

SISTEMAS DIGITALES

121

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Las salidas de los FF slo pueden tener dos estados (binario) y siempre tienen valores contrarios, como podemos ver en la siguiente tabla:
Tabla 24.- Estados de un Flip-Flop

Las entradas de un FF obligan a las salidas a conmutar hacia uno u otro estado o hacer "flip flop" (Trmino anglosajn), ms adelante explicaremos cmo interactan las entradas con las salidas para lograr los efectos caractersticos de cada FF. El FF tambin es conocido como:

"Registro Bsico" trmino utilizado para la forma ms sencilla de un FF. "Multivibrador Biestable" trmino pocas veces utilizado para describir a un FF.

5.2.1 Registro Bsico construido con compuertas NAND Este es el circuito ms sencillo y bsico de un FF, Puede ser construido a partir de dos compuertas NAND o dos compuertas NOR con dos entradas, a continuacin se ilustra con compuertas NAND, y es denominado "Registro Bsico NAND".

La forma de conectarlas es la siguiente: Se deja libre una de las entradas de cada compuerta, las sobrantes son conectadas independientemente de manera cruzada hacia la salida de la compuerta contraria.

SISTEMAS DIGITALES

122

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Quedando la conexin de la siguiente manera:

Figura 71.- Registro bsico con compuertas NAND

La siguiente tabla muestra el estado inicial del Registro Bsico NAND, cuando sus entradas se encuentran en ALTO (Estado de reposo del FF). Para comenzar la accin de "Flip Flop" ser necesario enviar a BAJO alguna de las entradas, con su correspondiente cambio de estado a la salida.
Tabla 25.- Estado inicial de Registro bsico NAND

La siguiente tabla nos muestra los diferentes cambios de las salidas, segn cada seleccin de entradas (La "X" significa que no importa el estado en el que se encuentren en ese momento):

SISTEMAS DIGITALES

123

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Tabla 26.- Cambios de estado Registro bsico NAND

Siguiendo los datos de la tabla podemos resumir que:

Si SET y RESET estn en ALTO, el FF mantiene sus salidas en el estado actual.

Si RESET recibe un pulso BAJO, las salidas son forzadas a Q = 0 y /Q = 1 Si SET recibe un pulso BAJO, las salidas son forzadas a Q = 1 y /Q = 0 Si las dos entradas reciben pulsos BAJOS, las salidas son forzadas a Q = 1 y /Q = 1

Este ltimo cambio normalmente se considera como no deseado, ya que el principio bsico es que las salidas siempre estn invertidas (Aunque en ciertos casos especiales, nosotros podramos utilizar este efecto). Entonces, la tabla de verdad del Registro Bsico NAND es la siguiente:

SISTEMAS DIGITALES

124

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Tabla 27.- tabla de verdad del Registro Bsico NAND

5.2.2 Registro Bsico con compuertas NOR La conexin del Registro Bsico NOR es exactamente igual al del Registro NAND, pero los cambios en sus salidas son completamente diferentes, A continuacin se ilustran las dos tablas de verdad para hacer el comparativo entre una y otra.
Tabla 28.- Tabla de verdad del Registro Bsico NOR

SISTEMAS DIGITALES

125

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Tabla 29.- Tabla de verdad del Registro Bsico NAND

Agregando pulsadores u otras compuertas en las entradas, los usos ms comunes para el Registro Bsico NAND o NOR son:

Eliminadores de ruido para pulsadores mecnicos. Sistemas de Encendido (ON)/Apagado (OFF) con dos pulsadores para diversos circuitos digitales y/o anlogos.

Sensores de movimiento mecnico, (Fin o Inicio de carrera de una puerta por ejemplo).

Control Digital de otros circuitos. Y otras 373929273736 Aplicaciones dependiendo de tu IMAGINACIN.

5.2.3 Seales de Reloj (CLOCK) y FF controlados por Reloj Hasta ahora hemos visto que un Registro Bsico tiene dos variables de entrada y responde de manera predecible a ellas, pero Qu podamos hacer si necesitramos otra variable de control? Cmo podramos hacer que el registro acte cuando sea conveniente para nosotros, y no al momento de cambiar sus entradas?

Todos los sistemas digitales tienen bsicamente dos formas de operacin:

SISTEMAS DIGITALES

126

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Operacin en modo ASNCRONO. En este modo, las salidas cambian de manera automtica siguiendo las rdenes de las entradas.

Operacin en modo SNCRONO. En este modo, las salidas cambian siguiendo las rdenes de las entradas, pero slo cuando una seal de control, llamada RELOJ (CLOCK, CLK, CP) es aplicada al registro.

Los circuitos digitales ASNCRONOS son muy complicados en lo que a diseo y reparacin se refiere, ya que, al encontrarnos con una falla en un circuito de 10 registros interconectados, el rastreo de los cambios en todas las compuertas nos provocara un severo dolor de cabeza. Los circuitos digitales SNCRONOS son ms fciles de disear y reparar, debido a que los cambios de las salidas son eventos "esperados" (ya que fcilmente podemos saber el estado de cada una de las entradas o salidas sin que estas cambien repentinamente), y los cambios dependen del control de una sola seal aplicada a todos los registros, la seal de RELOJ. La seal de reloj es una onda cuadrada o rectangular, los registros que funcionan con esta seal, slo pueden cambiar cuando la seal de reloj hace una transicin, Tambin llamados "flancos", por lo tanto, la seal de reloj slo puede hacer 2 transiciones (o Flancos):

La Transicin con pendiente positiva (TPP) o Flanco positivo (FP). Es cuando la seal de reloj cambia del estado BAJO al estado ALTO.

La Transicin con pendiente negativa (TPN) o Flanco Negativo (FN). Es cuando la seal de reloj cambia del estado ALTO al estado BAJO.

Principales caractersticas de los FF sincronizados por Reloj.

Todos los FF cuentan con una entrada con el rtulo (RELOJ, CLOCK, CLK, CP) y un distintivo crculo para saber cmo debe ser la seal activa. Los

SISTEMAS DIGITALES

127

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

que no tienen crculo, son sincronizados por una TPP, los que cuentan con un crculo son sincronizados por una TPN.

Todos los FF cuentan con entradas de control, que determinan el cambio que van a tener las salidas, al igual que en los Registros bsicos, pero estas entradas no pueden modificar las salidas arbitrariamente, slo podrn hacerlo cuando el FF reciba su transicin activa.

Resumiendo, Las entradas de control del FF nos permiten saber cmo van a cambiar las salidas, pero slo la seal de Reloj podr hacer efectivo este cambio.

5.2.4 Constantes de tiempo de Establecimiento y de Retencin La siguiente figura nos indica cmo estn compuestos los dos detectores de Transiciones.

Detector de Transiciones Positivas (TPP)

Figura 73.- Detector de Transiciones Positivas

SISTEMAS DIGITALES

128

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Circuito Detector de Transiciones Negativas

Figura 74.- Detector de Transiciones Negativas

Las figuras nos muestran del lado izquierdo de la lnea verde el pin de entrada de Reloj del FF, el lado derecho nos muestra el circuito interno del FF. La diferencia entre CK y CK se debe al retraso en la propagacin que cualquier compuerta tiene, desde que se aplica una seal en la entrada, hasta que esta se refleja en la salida. Esta diferencia en tiempo, nos permite obtener un pulso de salida solamente cuando ocurre la transicin para la que estn diseados, y por lo tanto accionar el FF.

5.2.5 Registro Bsico NAND tipo Sncrono Dejaremos de lado la conexin interna de los FF, ya que para nuestra comodidad, todos podemos encontrarlos en forma de circuitos integrados, as que nos ocuparemos solamente de su funcionamiento.

SISTEMAS DIGITALES

129

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

La siguiente figura nos muestra un Registro Bsico Sincronizado por una seal de reloj. Es la forma ms bsica de un FF controlado por la seal de reloj. (La falta del crculo en la entrada de CLK significa que slo ser activa en los TPP) (Slo se muestra la salida Normal (Q), ya que como dijimos, la salida negada siempre es inversa)

Figura 75.- Tabla de verdad y smbolo de Registro Bsico NAND tipo Sncrono

5.2.6 Flip Flop tipo "J-K" Este FF es uno de los ms usados en los circuitos digitales, y de hecho es parte fundamental de muchos circuitos avanzados como contadores y registros de corrimiento, que ya vienen integrados en un chip. Este FF cuenta con dos entradas de datos J y K, su funcin es en principio la misma que el Registro bsico NAND o NOR, pero con la diferencia que la condicin en las entradas J = 1, K = 1, a diferencia del Registro NAND, que generara una salida errnea o no deseada, en un FF J-K, obliga a las salidas a conmutar su estado al opuesto (Toggle) a cada pulso del reloj. Esto lo convierte en un tipo de FF muy verstil.

SISTEMAS DIGITALES

130

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 76.- Tabla de verdad y smbolo de un FF tipo J-K sncrono.

Observando la tabla de verdad observamos los cambios que provoca en sus salidas este FF:

Si J y K = 0, no hay cambios en las salidas. Si J = 1, y K = 0, se forzan las salidas a Q = 1, /Q = 0 Si J = 0, y K = 1, se forzan las salidas a Q = 0, /Q = 1 Si J = 1, y K = 1, las salidas conmutan su estado hacia el siguiente a cada pulso del reloj (Toggle)

5.2.7 Flip Flop tipo "D" (Datos, Data) A diferencia de los FF tipo J-K, el FF tipo "D" (Datos, Data) slo cuenta con una entrada para hacer el cambio de las salidas. A cada pulso del reloj (dependiendo si el FF utiliza una TPP o una TPN) el estado presente en la entrada "D" ser transferido a la salida Q y /Q.

Figura 77.- Tabla de verdad y smbolo de un FF tipo "D"

SISTEMAS DIGITALES

131

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Una de las aplicaciones de mayor uso para este tipo de FF es al de la transferencia de datos de forma paralela, conectando varios FF tipo "D" a X nmero de bits, podemos hacer que la informacin de todos los bits pase inmediatamente a la salida de cada FF con slo un pulso de reloj. 5.2.8 Entradas asncronas en los FF Como ya hemos visto, cada FF tiene entradas que pueden cambiar el estado de las salidas de manera sincronizada con el pulso de reloj, pero Dnde quedaron nuestras entradas asncronas? Es posible seguir usando estas entradas en FF sncronos?

La respuesta est en los FF sncronos de cualquier tipo que poseen entradas asncronas, esto aade dos pines ms de control a nuestros FF, los conocidos SET y RESET (Los cules pueden ser activos en el estado ALTO o BAJO). Entonces tenemos FF sncronos (Tipo "J - K", o tipo "D") con un par de entradas que no dependen en ningn momento del pulso de Reloj. Haciendo una combinacin perfecta de entradas que controlan las salidas de manera automtica (Asncronas) o controladas por un pulso de reloj (Sncronas). La siguiente figura nos muestra los smbolos de los FF Tipo "J - K" y "D" con sus entradas asncronas.

Figura 78.- Smbolos FF tipo "J - K" y "D" con entradas asncronas

SISTEMAS DIGITALES

132

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.2.9 Tabla de verdad del FF Tipo "J - K" con entradas Asncronas (Las "X" significan que no importa el estado actual de esa entrada). (El FF tiene una entrada de Reloj que funciona con TPP)

(Las entradas asncronas con activas ALTAS)


Tabla 30.- Tabla de verdad del FF Tipo "J - K" con entradas Asncronas

El funcionamiento bsico sigue siendo el mismo, pero las salidas sern forzadas a ALTO o BAJO, si se activan las entradas Asncronas correspondientes (SET, RESET) sin importar el estado de las entradas "J - K" o CLK.

5.2.10 Tabla de verdad del FF Tipo "D" con entradas Asncronas (Las "X" significan que no importa el estado actual de esa entrada). (El FF tiene una entrada de Reloj que funciona con TPP)

(Las entradas asncronas con activas ALTAS)


Tabla 31.- Tabla de verdad del FF Tipo "D" con entradas Asncronas

SISTEMAS DIGITALES

133

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

El funcionamiento bsico sigue siendo el mismo, pero las salidas sern forzadas a ALTO o BAJO, si se activan las entradas Asncronas correspondientes (SET, RESET) sin importar el estado de la entrada "D" o CLK.

5.2.11 Flip-Flop T (Toggle) Este flip-flop recibe su nombre por la funcin que realiza (Toggle) cambiando el estado de la salida por su complemento. Es una modificacin del flip-flop J-K limitndolo a cumplir exclusivamente esta funcin, la cual se logra uniendo las terminales J y K como se muestra en la figura 79.

Figura 79.- Flip-flop T

La tabla de verdad de este flip-flop se limita a las lneas 1 y 4 del flip-flop J-K.
Tabla 32.- Estados del flip-flop T

T CLK Qi+1 0 1 Qi Qi'

SISTEMAS DIGITALES

134

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.3

Comportamiento

monoestable,

biestable,

estable

metaestable.

5.3.1 Monoestable El monoestable es un circuito multivibrador que realiza una funcin secuencial consistente en que al recibir una excitacin exterior, cambia de estado y se mantiene en l durante un periodo que viene determinado por una constante de tiempo. Transcurrido dicho periodo de tiempo, la salida del monoestable vuelve a su estado original. Por tanto, tiene un estado estable (de aqu su nombre) y un estado casi estable. Se encuentran monoestables integrados en varias familias lgicas, tanto TTL (9601, 74121 y otros) como CMOS (4047, 4528, etc). Son circuitos que comprenden parte analgica, que es la generacin del pulso, y parte digital, que proporciona varias funciones lgicas entre las entradas y las salidas digitales. La precisin de la temporizacin depende de la parte analgica, que suele consistir en un generador de corriente que carga un condensador C (externo) y un comparador de tensin. Muchas veces el generador de corriente slo es una resistencia R (externa o interna) conectada a Vcc. La duracin del pulso es funcin de RC, aunque la dependencia exacta depende del modelo. Entonces, las tolerancias de R y C aparecen directamente como errores en la duracin del pulso, as como sus variaciones con la temperatura. Adems es la parte ms sensible al ruido.

SISTEMAS DIGITALES

135

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

La parte digital les aade distintas prestaciones, produciendo diversos tipos de monoestables:

Restaurable o resetable: Una entrada de reset permite interrumpir el pulso en cualquier momento, dejando el dispositivo preparado para un nuevo disparo.

Redisparable (retriggerable): Permite reiniciar el pulso con un nuevo disparo antes de completar la temporizacin. Digamos que se tiene un temporizador de 4 ms, pero a los 2 ms de iniciado el pulso se realiza un nuevo disparo; la duracin que se obtiene es de 2 + 4 = 6 ms. Los monoestables no redisparables slo permiten el disparo cuando no existe ninguna temporizacin en curso. Es decir, en el ejemplo anterior ignorara el segundo disparo y se obtendra un pulso de 4 ms solamente.

Monoestable-Multivibrador: Son monoestables dobles (Dos, normalmente independientes) en la misma cpsula que permiten su conexin de forma que el fin del pulso generado por uno de ellos dispara al otro. Permiten el control preciso e independiente de los tiempos alto y bajo de la seal de salida.

Para temporizaciones largas, se aaden contadores a un multivibrador que prolongan la duracin del pulso. Por ejemplo, el ICM7242.

5.3.2 Biestable

La memoria en los computadores que corresponde a la mnima cantidad de informacin (1 bit) habitualmente tiene lugar en unas clulas elementales llamadas biestables. Un conjunto de biestables podrn almacenar la informacin correspondiente a una cierta cantidad de bits, es decir, con biestables se pueden almacenar palabras de varios bits.

SISTEMAS DIGITALES

136

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Este almacenamiento de la informacin es indefinido mientras exista alimentacin para mantenerse. Los biestables son, adems de la clula elemental de almacenamiento, los circuitos secuenciales ms sencillos.

Los biestables pueden ser: Asncronos. Los cambios se producen en cualquier momento en que cambien las entradas. (RS y JK). Sncronos. Los cambios se producen en el momento en que lo ordene un reloj.

A su vez los biestables sncronos pueden ser:

1. Disparados por nivel. Los cambios son efectivos cuando la entrada de disparo del biestable est a un nivel activo, durante todo el tiempo que dura el dicho nivel. (RS, JK y D). 2. Disparados por flanco. Los cambios se producen slo en los momentos de cambios del reloj (flancos, transiciones). (RS, JK, D y T). 3.Maestro / Esclavo (Master / Slave). (RS, JK, D y T).
Tabla 33.- Tipos de biestables y su utilizacin

SISTEMAS DIGITALES

137

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.3.3 Metaestable

Se define como estado metaestable aquel que ocurre cuando se presentan condiciones invlidas en los cerrojos, por ejemplo el flip flop S-R tiene un estado metaestable cuando las entradas son: S=1 y R=1. En esta condicin las salidas intentan generar un mismo estado lgico (0), lo cual constituye una indeterminacin, porque no se cumple que Q y Q' sean estados complementarios. Cuando se pone a 0 cualquiera de las entradas (S o R), el flip flop vuelve a una condicin vlida, sin embargo si las entradas se ponen a 0 de forma simultanea el flip flop entra en un estado indeterminado, en el cual se presentan oscilaciones a la salida. Cuando el flip flop entra en este estado se dice que el flip flop se encuentra en estado metaestable.

Figura 80.- Estado Metaestable para el cerrojo S-R

5.4 Contadores
Son circuitos digitales lgicos secuenciales de salida binaria o cuenta binaria, caracterstica de temporizacin y de memoria, por lo cual estn constituidos a base de flip-flops.

SISTEMAS DIGITALES

138

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Caractersticas Importantes: 1. Un nmero mximo de cuentas (mdulo del contador) 2. Cuenta ascendente o descendente 3. Operacin sncrona o asncrona 4. Autnomos o de autodetencin.

Se utilizan para contar eventos. Ejemplos: 1. Nmero de pulsos de reloj 2. Medir frecuencias 3. Se utilizan como divisores de frecuencia y para almacenar datos (en un reloj digital) 4. Se utilizan para direccionamiento secuencial y algunos circuitos aritmticos.

5.4.1 Contadores de Propagacin Los contadores digitales o binarios en esencia son un grupo de flip-flops dispuestos de tal manera que sus salidas proporcionan una secuencia determinada como respuesta a los acontecimientos que ocurren a la entrada del reloj. Estos acontecimientos pueden ser por lo general pulsos de reloj (sincrnicos) o acontecimientos aleatorios (asincrnicos) alimentados como entradas por la terminal de reloj de los flip-flops. Los contadores de propagacin se basan en este ltimo principio para generar secuencias binarias que cambian como respuesta a eventos. Para conformar un contador de n bits solo basta tener n flip-flops, uno para cada bit de informacin. A continuacin se dar una descripcin sobre la estructura y funcionamiento de los contadores de propagacin ms comunes en lgica secuencial.

SISTEMAS DIGITALES

139

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.4.1.1 Contador de propagacin ascendente El flip-flop T, tiene especial aplicacin en los contadores, debido a la habilidad que tienen para cambiar a su estado complementario, despus de un evento de reloj. La configuracin del circuito de la figura 81 corresponde a un contador ascendente de 4 bits disparado por flanco negativo. Note que todas las entradas de los flipflops T estn en 1, con lo cual el estado de los flip-flops se complementa despus de cada cambio de 1 a 0 lgico a la entrada de reloj de cada uno de ellos. La Tabla 34 muestra los estados de salida de este circuito.

Figura 81.- Contador de propagacin ascendente Tabla 34.- Estados contador ascendente

SISTEMAS DIGITALES

140

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Observe la forma en que opera este circuito. Los pulsos de reloj se aplican nicamente al flip-flop A, as que la salida de este flip-flop se complementar cada vez que haya una transicin negativa en la entrada de reloj. La salida del flip-flop A se aplica directamente a la entrada de reloj del flip-flop B, de tal forma que la salida de este flip-flop se complementa cada vez que su entrada de reloj pasa de 1 a 0 lgico. De forma similar se comportan los flip-flops C y D cambiando su estado cada vez que reciben una transicin negativa en sus respectivas entradas de reloj. Las salidas de los flip-flops D,C,B y A representan un numero binario de 4 bits, siendo D el bit mas significativo y A el menos significativo. Este contador cuenta en forma ascendente desde 0000 hasta 1111, es decir que tiene 16 estados diferentes (24=16). En electrnica digital, existe una notacin que define el nmero de estados de un contador, designada por la sigla MOD mas el nmero de estados, por esta razn se dice que es un contador MOD16. Este tipo de contadores actan como divisores de frecuencia. Si se hace un anlisis sobre la frecuencia de las seales de salida de los flip-flops se puede observar que la seal Q3 tiene una frecuencia dada por la siguiente expresin:

donde fCLK corresponde a la frecuencia de la seal del reloj. De igual forma las frecuencias de las salidas de los dems flip-flops estaran dadas por las siguientes expresiones:

SISTEMAS DIGITALES

141

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Se plantea como ejercicio dibujar la seal de reloj y las seales de salida de los flip-flops para confirmar estos resultados. Este contador se puede modificar para que opere a cualquier nmero MOD entre 1 y 16. De forma general un contador de n bits se puede modificar para cualquier nmero MOD2n, y para lograrlo es necesario utilizar la entrada asincrnica de borrado CLR de los flip-flops, como veremos a continuacin. 5.4.1.2 Contadores con nmeros MOD < 2n Los contadores bsicos pueden ser modificados para producir nmeros MOD < 2n, permitiendo que el contador omita estados que normalmente hacen parte de la secuencia de conteo. La forma ms usual para lograr esto se puede ver en la Figura 82, la cual corresponde a un contador de 4 bits MOD10. Este contador es conocido tambin como contador decadal.

Figura 82.- Contador decadal (MOD10)

Asumiendo que la compuerta NAND no estuviera presente, el contador sera MOD16, sin embargo la presencia de esta compuerta altera el funcionamiento normal cuando las salidas Q3 y Q1 que van a la compuerta son 1. Esta condicin ocurrir cuando el contador pase del estado 1001 (9) al 1010 (10), haciendo que las entradas asncronas CLR de los flip-flops sean 0 y por tanto el contador pase al estado 0000. En la Tabla 35, se resumen los estados de este contador. En el

SISTEMAS DIGITALES

142

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

momento que el contador llega al estado 1001 y ocurre una nueva transicin en la entrada de reloj (CLK), se presenta el estado 1010 (10) de forma temporal, y su duracin depende del tiempo de propagacin de la compuerta NAND. En la Figura 83 se observa el estado temporal entre los estados 1001 y 0000.
Tabla 35.- Estados del contador decadal

Figura 83.- Estados de transicin del contador de propagacin MOD10

SISTEMAS DIGITALES

143

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.4.1.3 Contador de propagacin descendente Los contadores descendentes cuentan en forma inversa, por ejemplo de 1111 hasta 0000. En la Figura 84 se observa un contador descendente de 4 bits. Note que este contador es similar al ascendente excepto que las salidas ahora son su complemento.

Figura 84.- Contador descendente

En la tabla 36 se muestran los estados de las salidas de los flip-flops, donde se observa que despus de cada pulso se decrementa la secuencia binaria representada por las salidas Q3 a Q0.
Tabla 36. -Estados del contador descendente

SISTEMAS DIGITALES

144

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.4.2 Contadores Sincrnicos El inconveniente que se presenta con los contadores de propagacin de la leccin anterior, consiste bsicamente en que no todos los flip-flops cambian simultneamente con la seal del reloj. Los contadores asincrnicos deben esperar que la seal se propague desde el primer flip-flop que representa el bit menos significativo hasta el flip-flop del bit ms significativo. En los contadores sincrnicos a diferencia de los contadores de propagacin o asincrnicos, la seal de reloj se aplica simultneamente a todos los flip-flops. Estos contadores por lo general tienen ms circuitera que los contadores de propagacin y estn conformados por flip-flops J-K. Para entender el funcionamiento de este tipo de contadores es necesario observar con atencin la secuencia para determinar los componentes que se deben agregar (generalmente flip-flops y compuertas). Analicemos el funcionamiento del contador de 3 bits que se muestra en la figura 85, y cuyos estados se resumen en la tabla 37. Asumamos que inicialmente el contador se encuentra en el estado 000. Note que el estado de la salida Q0 debe cambiar despus de cada transicin positiva del reloj (CLK), as que el flip-flop F0 debe tener sus entradas J y K en 1 lgico para que cumpla esta funcin, tal como se muestra en la figura 85.

Figura 85.- Contador ascendente sincrnico de 3 bits

SISTEMAS DIGITALES

145

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Tabla 37.- Estados del contador sincrnico ascendente de 3 bits

Ahora note que la salida Q1 cambia a su estado complementario cada vez que Q0=1 (ver tabla 37), as que las entradas J y K del flip-flop F1 deben estar contadas a la salida Q0. De esta forma cada vez que Q0=1 y ocurra una transicin positiva del reloj el flip-flop cambiara de estado tal como se observa en la secuencia. Finalmente nos resta analizar el estado de la salida Q2, para lo cual se debe obsevar nuevamente la tabla 37. Note que Q2 cambia a su estado complementario cada vez que Q1 y Q0 son 1, as que la forma de implementarlo en el contador es conectado Q1 y Q0 como entradas a una compuerta AND y cuya salida debe ir a las entradas J y K del flip-flop F2. Obseve que este flip-flop queda en estado complemento (toggle), cada vez que se presente esta condicin y ocurra una transicin positiva en el reloj (CLK).

SISTEMAS DIGITALES

146

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

En la figura 86 se ilustra el funcionamiento de un contador de 4 bits ascendente sincrnico, el opera de forma similar la contador de tres bits. Observe como los flip-flop cambian de estado cada vez que sus entradas J y K son 1 y ocurre una transicin positiva en la seal de reloj.

Figura 86.- Contador ascendente sincrnico de 4 bits Tabla 38.- Estados del contador sincrnico ascendente

SISTEMAS DIGITALES

147

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Se puede hacer un anlisis similar al anterior para entender el funcionamiento de este contador, sin embargo, observe que la secuencia de 3 bits es parte de la secuencia para 4 bits, as que solo basta agregar un flip-flop JK y una compuerta AND que ponga el flip-flop F3 en modo complemento cada vez que Q2, Q1 y Q0 son 1, para lograr que el contador genere finalmente la secuencia de la tabla 38. Al igual que el contador de propagacin de la leccin anterior, el contador sincrnico se puede modificar para cambiar su nmero MOD, mediante el uso de compuertas NAND y las entradas asincrnicas CLR de los flip-flops. En la Figura 87 se observa cmo se puede convertir este contador MOD16 a MOD10, agregando simplemente una compuerta NAND de dos entradas.

Figura 87.- Componente adicional para convertir un contador MOD16 a MOD10

5.4.3 Ejemplos de Contadores en Circuito Integrado En el comercio existen varios contadores en circuito integrado que aparte de realizar la funcin de generar secuencias binarias, tiene otras funciones adicionales que generalmente tienen que ver con la configuracin y modo de funcionamiento. Entre las funciones que se pueden encontrar en estos circuitos integrados se encuentran opciones de seleccin de secuencia ascendente o descendente, borrado as como inicializacin entre otras. A continuacin se presenta una lista de algunos contadores en circuito integrado de uso difundido en Electrnica Digital, con una descripcin detallada de sus pines.

SISTEMAS DIGITALES

148

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Tabla 39.- Contadores en Circuito Integrado

SISTEMAS DIGITALES

149

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

SISTEMAS DIGITALES

150

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.4.4 Circuitos Integrados para Relojes Como hemos notado en los dos captulos anteriores los flip-flops, los contadores y los registros necesitan recibir una seal de reloj para poder cumplir su funcin en los circuitos secuenciales. Los circuitos ms conocidos para desempear la funcin de reloj son los llamados osciladores o generadores de pulso. Los osciladores son circuitos que cambian el estado de sus salidas permanentemente entre dos estados lgicos (1 lgico y 0 lgico). Por esta razn estos circuitos son tiles para generar seales de reloj para los Circuitos Secuenciales Sincrnicos. Existen varios osciladores que se pueden construir para generar seales de reloj, mediante el uso resistencias, condensadores, inversores y compuertas. En la Figura 88 se observan algunas configuraciones que se pueden implementar haciendo uso de estos elementos. El esquema de la figura 88 (a), es un circuito generador de pulsos sin rebote y opera de forma manual. En la posicin (1) la salida ser 0 lgico y cuando el interruptor se ubica en la posicin (2) la salida es 1 lgico. En las figuras (b) y (c) se muestran dos circuitos generadores de pulso que se pueden implementar usando inversores y compuertas. La frecuencia de oscilacin ed setoas circuitos depende bsicamente de los valores de la resistencia y el condensador. Para este tipo de circuitos se recomienda el uso de circuitos CMOS de la serie B, ya que estos tienen tiempos de conmutacin menores.

SISTEMAS DIGITALES

151

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 88.- Circuitos generadores de clock

5.4.4.1 Oscilador con Disparador de Schmitt En la Figura 89 se observa la configuracin de este oscilador. La salida V o es una onda aproximadamente cuadrada y su forma depende de los valores de R y C. En la Tabla 40 se observa la relacin entre los valores de R y C con la frecuencia para tres tipos de integrados con inversores tipo Schmitt, junto con los rangos de valores que debe tener R para que el circuito oscile.

Figura 89.- Oscilador con disparador de Schmitt

SISTEMAS DIGITALES

152

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Tabla 40.- Frecuencia de oscilacin segn R y C

5.4.4.2 Multivibrador astable con CI-555 El CI-555 es un dispositivo de tecnologa TTL que funciona de varios modos. En la Figura 90 observamos la manera de conectar los componentes al Circuito Integrado de forma que opere como un Multivibrador Astable. La frecuencia de oscilacin de la seal de salida depende de los valores de las resistencias RA, RB y C.

Figura 90.- Multivibrador Astable con CI-555

La seal de salida de este circuito es una onda cuadrada de las caractersticas que se indican en la Figura 91, donde los tiempos t1 y t2 estn dados por las siguientes expresiones:

SISTEMAS DIGITALES

153

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 91.- Seal de salida del CI-555

El periodo y la frecuencia de esta seal estn dados por:

Para que el circuito oscile es necesario que se cumplan ciertos rangos para los valores de las resistencias y el condensador, los cuales se relacionan a continuacin.

5.5 Registros de corrimiento


En el procesamiento digital de datos se necesita con frecuencia retener los datos en ciertas ubicaciones intermedias del almacenamiento temporal, con el objeto de realizar algunas manipulaciones especficas, despus de las cuales los datos modificados se pueden enviar a otra localizacin similar.

SISTEMAS DIGITALES

154

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Los dispositivos digitales donde se tiene este almacenamiento temporal se conocen como registros de corrimiento o registros de desplazamiento. Dado que la memoria y el desplazamiento de informacin son sus caractersticas bsicas, los registros son circuitos secuenciales constituidos por flip-flops, donde cada uno de ellos maneja un bit de la palabra binaria. Por lo general se da el calificativo de registro a un conjunto de 8 o ms flip-flops. Muchos registros usan flip-flops tipo D aunque tambin es comn el uso de flipflops JK. Ambos tipos pueden obtenerse sin dificultad como unidades comerciales. Son muy populares los de 8 bits, ya que en los computadores con frecuencia manipulan bytes de informacin. 5.5.1 Registro de Corrimiento Bsico Un registro de corrimiento bsico es un conjunto de flip-flops conectados de tal forma que los nmeros binarios almacenados en l son desplazados de un flip-flop al siguiente con cada pulso de reloj aplicado. En la figura 92 se observa la forma de conectar 4 flip-flops tipo D para construir un registro. Note como la salida de un flip-flop se conecta a la entrada de otro adyacente. Haga click sobre "1" o "0" para ingresar los datos al registro.

Figura 92.- Registro de corrimiento bsico

SISTEMAS DIGITALES

155

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Con cada flanco ascendente del reloj la informacin se va desplazando hacia la derecha una posicin. En la Figura 93 se observan las formas de onda de las salidas de cada flip-flop, donde se observa el desplazamiento de los datos de izquierda a derecha.

Figura 93.- Formas de onda de un registro de 4 bits

5.5.2 Tipos de Entradas y Salidas en los Registros de Corrimiento Existen diversas formas de cargar o extraer informacin en un registro de corrimiento. En la figura 94 se muestran las distintas formas de mover la informacin en un registro de corrimiento.

SISTEMAS DIGITALES

156

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 94.- Tipos de Entradas y Salidas en los registros de corrimiento

Las combinaciones de Entrada/Salida ms comunes en los registros de corrimiento son: Entrada Serie/Salida Paralelo y Entrada Paralelo/Salida Serie. A continuacin se dar una descripcin sobre estos dos modos de funcionamiento.

5.5.3 Entrada Serie - Salida Paralelo Es la forma ms usual del tipo de entrada y salida de datos en los registros de corrimiento. En la Figura 95 se observa el esquema de un registro de esta clase. La entrada asincrnica CLR que se observa, es usada para poner todos los bits del registro en 0. Existen circuitos integrados como el 74HC164 que funcionan de esta forma.

SISTEMAS DIGITALES

157

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 95.- Registro de corrimiento Entrada serie - Salida paralelo

5.5.4 Entrada paralelo Salida serie En la Figura 96 se observa el esquema de un registro de este tipo. LOAD: Las entradas en paralelo se almacenan en los flip-flops internos (entrada asincrnica), SHIFT: Corrimiento del puerto hacia la derecha (entrada sincrnica), entrada serie por el primer flip-flop y salida serial por el ltimo. Existen circuitos integrados como el 74HC165 que funcionan con base en este esquema.

Figura 96.- Registro de corrimiento Entrada paralelo - Salida serie

SISTEMAS DIGITALES

158

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.5.5 Registros de corrimiento bidireccionales Este tipo de registro tiene la opcin de elegir la direccin en que se transmiten los datos. Estos registros tienen una seal de control que permite seleccionar el sentido de desplazamiento de los datos. En la Figura 97 se observa el circuito lgico de un registro bidireccional de 4 bits.

Figura 97.- Registro de corrimiento bidireccional de 4 bits

SISTEMAS DIGITALES

159

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Para propsitos de entender el funcionamiento de este registro se ha dispuesto de forma vertical, para mostrar cmo se desplazan los datos. Cuando la entrada ABA/ARR' se encuentra en 1 lgico, los datos se desplazan hacia abajo y cuando esta es 0 lgico los datos se desplazan hacia arriba. Cuando la seal de control ABA/ARR' es 1, las compuertas marcadas con A se activan, permitiendo que el dato de cualquier flip-flop pase al flip-flop inmediatamente inferior despus de que ocurra una transicin positiva en la seal del reloj, de esta forma la informacin de desplaza por las lneas marcadas en azul que se observan en la figura 97. Cuando la seal de control ABA/ARR' es 0, las compuertas marcadas con B se activan y el dato de cualquier flip-flop se pasa al flip-flop inmediatamente superior. Las lneas marcadas en rojo en la figura 97 indican el canal de transmisin de los datos de un flip-flop a otro para esta condicin. Note que las compuertas marcadas como A y B se activan de forma complementaria, es decir, mientras se activan aquellas marcadas como A las marcadas como B se encuentran inactivas y viceversa.

5.5.6 Registros en Circuito Integrado En el mercado exiten actualmente varios circuitos integrados que desempean su funcin como registros, en esta seccin mencionaremos algunos de estos registros disponibles en lgica TTL y CMOS.

SISTEMAS DIGITALES

160

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.5.6.1 Circuito Integrado 74HC373 Este integrado contiene 8 Cerrojos tipo D con salidas triestado. En la figura 98 se observa el esquema de conexiones interno y la descripcin de sus entradas y salidas es la siguiente:

D0...D7: Entrada paralelo Q0...Q7: Salida paralelo LE: Latch Enable OE: Output Enable

Figura 98.- Diagrama Lgico del CI74HC373

5.5.6.2 Circuito Integrado 74HC374 Este circuito integrado contiene 8 Flip-Flops tipo D con salidas triestado sensibles al flanco de subida de la seal del Reloj. En la figura 99 se muestra la estructura interna de este registro y su diferencia con el anterior Circuito Integrado es que este contiene flip-flops.

SISTEMAS DIGITALES

161

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 99.- Diagrama lgico del CI74HC374

5.5.6.3 Circuito Integrado 74HC273 Este integrado contiene 8 flip-flops tipo D con salidas triestado sensibles al flanco de subida de la seal del reloj, adicionalmente tiene una entrada para borrar activa en bajo (CLR'). En la figura 100 se observa el diagrama de pines de este integrado y el tabla 6.4.1 los estados lgicos.

Figura 100.- Esquema del CI-74HC273

SISTEMAS DIGITALES

162

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Tabla 41.- Descripcin de las entradas del CI-74HC273

Aplicaciones de los Registros de Corrimiento Los registros de corrimiento tienen varias aplicaciones en la Electrnica Digital, entre las cuales se pueden mencionar las siguientes:

Transmisin de datos. Conversin de protocolo serie en paralelo y viceversa. Puertos de salida de los microcomputadores. Secuenciadores (luces y anuncios publicitarios). Multiplicaciones y divisiones por 2, 4, 8, 16 bits. Operaciones que se hacen en forma secuencial.

5.6 Memorias
La mayora de los procesos lgicos en electrnica digital se encuentran constituidos por sistemas que manipulan la informacin binaria para dar como resultado una o varias salidas. En el proceso de manipular la informacin, los sistemas requieren del almacenamiento temporal o permanente de los estados lgicos. Un ejemplo de este tipo de sistemas son los microcomputadores, los cuales necesitan del

SISTEMAS DIGITALES

163

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

almacenamiento tanto de datos como de los programas que manipulan la informacin. 5.6.1 Aspectos Generales sobre Memorias Las unidades de memoria son mdulos conformados por un conjunto de cerrojos o condensadores agrupados de tal forma que almacenan varias palabras binarias de n bits. Cada una de ellas tiene la capacidad de almacenar un bit de informacin ( 1 o 0), y se conocen con el nombre de celdas de memoria. Las celdas o bits de memoria se ubican mediante la fila y la columna en la que se encuentra. En la figura 101 se observa como ubicar un bit y una palabra dentro de una memoria.

Figura 101.- Ubicacin de la informacin en una memoria

Las palabras binarias se identifican con una direccin la cual define la ubicacin dentro del arreglo y generalmente se designa con un nmero binario, octal o hexadecimal. En la mayora de las aplicaciones se asocian en grupos de ocho unidades para formar bytes y el tamao de las palabras en las memorias actuales est entre 4 y 64 bits. El parmetro bsico de una memoria es su capacidad, la cual corresponde al total de unidades que puede almacenar. Como ejemplo, la memoria de la figura 101 tiene una capacidad de 8n bits, que en otras palabras representa n bytes.

SISTEMAS DIGITALES

164

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Regularmente estas memorias en la actualidad se consiguen en tamaos del orden megabytes. El tiempo de acceso es otro parmetro importante en las memorias. Este corresponde al tiempo que tarda la memoria en acceder a la informacin almacenada en una direccin. Generalmente este tiempo se designan como t acc en las fichas tcnicas de estos dispositivos. En tabla 42 se indican los tiempos de acceso de las memorias en Circuito Integrado comparados con los tiempos de otros tipos de memoria.
Tabla 42.- Comparacin de tiempos de acceso de diversos tipos de memorias

5.6.2 Operaciones bsicas de una Memoria La funcin bsica de las memorias es almacenar informacin. Sin embargo las memorias tienen la funcin especfica de escribir y leer los datos en su interior. En la figura 102 se observa la estructura bsica de una memoria de 1K de 4 bits, en la cual se indican sus partes bsicas.

SISTEMAS DIGITALES

165

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 102.- Esquema descriptivo de una Memoria

En la figura 102 la entrada de direcciones (A0 a A9), como su nombre lo indica, define la posicin a escribir o leer dentro de la memoria, las entradas y salidas de datos definen los datos a escribir y leer respectivamente, la entrada WE controla el tipo de operacin que la memoria debe hacer y la entrada OE corresponde a la seal de habilitacin de la memoria, la cual habilita o deshabilita la memoria para responder a las dems entradas. En los computadores modernos las memorias actan directamente con la CPU a travs de canales de comunicacin llamados buses. En la figura 103 se observa un esquema general, el cual muestra cmo interacta la CPU de un microcomputador con las memorias a travs de estos canales.

SISTEMAS DIGITALES

166

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 103.- Esquema Simplificado de un Microcomputador.

Las operaciones bsicas de una memoria consisten en leer y almacenar informacin mediante el uso del bus de datos y direcciones. Estas operaciones ocurren en un orden lgico, el cual se indica a continuacin:

Apuntar a la direccin de memoria que se desea leer o escribir mediante el uso del bus de direcciones

Seleccin del tipo de operacin: Lectura o escritura. Cargar los datos a almacenar (en el caso de una operacin de escritura) Retener los datos de la memoria (en el caso de una operacin de lectura) Habilitar o deshabilitar la memoria para una nueva operacin.

5.6.3 Memorias de Acceso Aleatorio Las memorias de Acceso Aleatorio son conocidas como memorias RAM de la sigla en ingls Random Access Memory. Se caracterizan por ser memorias de lectura/escritura y contienen un conjunto de variables de direccin que permiten seleccionar cualquier direccin de memoria de forma directa e independiente de la posicin en la que se encuentre.

SISTEMAS DIGITALES

167

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Estas memorias son voltiles, es decir, que se pierde la informacin cuando no hay energa y se clasifican en dos categoras bsicas: la RAM esttica y la RAM dinmica, las cuales se describen en las siguientes dos secciones. 5.6.3.1 Memoria RAM esttica Este tipo de memoria conocida como SRAM (Static Random Access Memory) se compone de celdas conformadas por flip-flops construidos generalmente con transistores MOSFET, aunque tambin existen algunas memorias pequeas construidas con transistores bipolares. En la figura 10.2.1 se observa la estructura tpica de una celda de memoria de una SRAM.

Figura 104.- Estructura de una celda de memoria SRAM

Como se observa en la figura la celda se activa mediante un nivel activo a la entrada superior y los datos se cargan o se leen a travs de las lneas laterales. Las celdas de memoria se agrupan en filas y columnas para conformar el arreglo bsico de la memoria. En la figura 105 se muestra la disposicin de las celdas de

SISTEMAS DIGITALES

168

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

memoria en una SRAM, donde se observa que cada una de las filas se habilita de forma simultnea para recibir o cargar los datos del bus de entrada/salida.

Figura 105.- Arreglo bsico de una SRAM

Existen otras modalidades de funcionamiento de las memorias estticas, entre ellas se pueden nombrar las siguientes:

5.6.3.2 SRAM Sincrnica Al igual que en los sistemas sincrnicos, este tipo de memoria tiene una entrada de reloj, la cual le permite operar en sincrona con otros dispositivos. Esta caracterstica no aporta mejores beneficios, sin embargo simplifica enormemente el diseo de sistemas de alta prestaciones, ya que una nica seal controla todos los dispositivos involucrados. La ventaja de estas memorias viene proporcionada por lo que se podra llamar su funcionamiento automtico, guiado por la seal de reloj, por lo que no es necesario ocuparse de generar las seales de control.

SISTEMAS DIGITALES

169

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.6.3.3 SRAM de Rfaga Las memorias de rfagas (burst) son sincrnicas y se caracterizan por incluir un contador que permite que la memoria genere internamente la direccin a la que debe acceder, consiguiendo de esta forma accesos hasta cuatro posiciones de memoria con una sola direccin de referencia. Esto permite acceder de forma ms rpida a la informacin en memoria. Vemos cmo funciona este tipo de memoria. La CPU genera una direccin de memoria, la cual se propaga a travs del bus de direcciones hasta la memoria, decodificndose y accediendo a la posicin correspondiente. Si se ha configurado la memoria en modo rfaga, una vez obtenido el primer dato, incrementa la direccin y vuelve a acceder. De esta forma se evita el tiempo de propagacin de las seales por el bus y el tiempo de decodificacin de la direccin. El nmero de palabras ledas o escritas en una rfaga, viene limitado por el tamao del contador interno de la memoria.

5.6.3.4 SRAM Pipeline Con los dos tipos de memorias anteriores se consigue el acceso a posiciones consecutivas de forma rpida. Para mantener esta velocidad cuando se cambia de secuencia, las memorias pipeline incluyen un buffer para almacenar la direccin y los datos actuales proporcionados por la memoria. De esta forma, se puede enviar la nueva direccin antes de terminar la lectura, consiguiendo as que la CPU no espere la finalizacin del acceso a una posicin de memoria para generar la nueva direccin.

SISTEMAS DIGITALES

170

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.6.3.5 Memoria RAM dinmica Este tipo de memoria conocida como DRAM (Dinamic Random Access Memory), a diferencia de la memoria esttica se compone de celdas de memoria construidas con condensadores. Las celdas de memoria son de fabricacin ms sencillas en comparacin a las celdas a base de transistores, lo cual permite construir memorias de gran capacidad. La figura 106 se observa la composicin interna de una de estas celdas.

Figura 106.- Celda de memoria de una DRAM

La operacin de la celda es similar a la de un interruptor, cuando el estado en la fila se encuentra en alto, el transistor entra en saturacin y el dato presente en el bus interno de la memoria (columna) se almacena en el condensador, durante una operacin de escritura y se extrae en una operacin de lectura. El inconveniente que tiene este tipo de memorias consiste en que hay que recargar la informacin almacenada en las celdas, por lo cual estas celdas requieren de circuitera adicional para cumplir esta funcin. En la figura 107 se observa la celda completa

SISTEMAS DIGITALES

171

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

con sus aditamentos donde se puede identificar la forma en que se desarrollan las operaciones de escritura, lectura y recarga.

Figura 107.- Sistema lectura, escritura y recarga de una celda DRAM

Como se ha notado, existen diferencias entre la memoria Esttica y Dinmica. En La tabla 43 se indican las ventajas y desventajas de los dos sistemas de memoria, lo cual permite seleccionar el tipo de memoria dependiendo de la aplicacin.
Tabla 43.- Ventajas y desventajas de los dos sistemas de memoria

SISTEMAS DIGITALES

172

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.6.4 Memorias de Solo Lectura Las memorias de solo lectura son conocidas como memorias ROM de la sigla en ingls Read Only Memory. Se caracterizan por ser memorias de lectura y contienen celdas de memoria no voltiles, es decir que la informacin almacenada se conserva sin necesidad de energa. Este tipo de memoria se emplea para almacenar informacin de forma permanente o informacin que no cambie con mucha frecuencia. Actualmente se dispone de varios tipos de memorias ROM, a continuacin se explicar cada una de ellas con sus caractersticas bsicas.

5.6.4.1 Memoria ROM de Mscara Esta memoria se conoce simplemente como ROM y se caracteriza porque la informacin contenida en su interior se almacena durante su construccin y no se puede alterar. Son memorias ideales para almacenar microprogramas, sistemas operativos, tablas de conversin y caracteres. Generalmente estas memorias utilizan transistores MOS para representar los dos estados lgicos (1 0). La programacin se desarrolla mediante el diseo de un negativo fotogrfico llamado mscara donde se especifican las conexiones internas de la memoria. En la figura 108 se muestra la celda de memoria de una ROM de este tipo, en tecnologas TTL y MOS.

SISTEMAS DIGITALES

173

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 108.- Celdas de memoria para una ROM

Las celdas de memoria se organizan en grupos para formar registros del mismo tamao y estos se ubican fsicamente formando un arreglo, como el indicado en la figura 109.

Figura 109.- Organizacin interna de una Memoria ROM

5.6.5 Memoria PROM

SISTEMAS DIGITALES

174

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Esta memoria es conocida como ROM programable de la sigla en ingls Programmable Read Only Memory. Este tipo de memoria a diferencia de la ROM no se programa durante el proceso de fabricacin, en vez de ello la programacin la efecta el usuario y se puede realizar una sola vez, despus de la cual no se puede borrar o volver a almacenar otra informacin. El proceso de programacin es destructivo, es decir, que una vez grabada, es como si fuese una ROM normal. Para almacenar la informacin se emplean dos tcnicas: por destruccin de fusible o por destruccin de unin. Comnmente la informacin se programa o quema en las diferentes celdas de memoria aplicando la direccin en el bus de direcciones, los datos en los buffers de entrada de datos y un pulso de 10 a 30V, en una terminal dedicada para fundir los fusibles correspondientes. Cuando se aplica este pulso a un fusible de la celda, se almacena un 0 lgico, de lo contrario se almacena un 1 lgico (estado por defecto), quedando de esta forma la informacin almacenada de forma permanente. En la figura 110 se observa la disposicin interna de una celda de memoria y los fusibles correspondientes.

Figura 110.- Celda de Memoria de una PROM

El proceso de programacin de una PROM generalmente se realiza con un equipo especial llamado quemador. Este equipo emplea un mecanismo de interruptores

SISTEMAS DIGITALES

175

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

electrnicos controlados por software que permiten cargar las direcciones, los datos y genera los pulsos para fundir los fusibles del arreglo interno de la memoria. En la figura 111 se indica de forma esquemtica la funcin del programador.

Figura 111.- Programacin de un PROM

5.6.6 Memoria EPROM Este tipo de memoria es similar a la PROM con la diferencia que la informacin se puede borrar y volver a grabar varias veces. Su nombre proviene de la sigla en ingls Erasable Read Only Memory. La programacin se efecta aplicando en un pin especial de la memoria una tensin entre 10 y 25 Voltios durante aproximadamente 50 ms, segn el dispositivo, al mismo tiempo se direcciona la posicin de memoria y se pone la informacin a las entradas de datos. Este proceso puede tardar varios minutos dependiendo de la capacidad de memoria.

SISTEMAS DIGITALES

176

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

La memoria EPROM, tal como las memorias vistas anteriormente se compone de un arreglo de transistores MOSFET de Canal N de compuerta aislada. En la figura 112 se observa el transistor funcionando como celda de memoria en una EPROM.

Figura 112.- Celda de memoria de una EPROM

Cada transistor tiene una compuerta flotante de SiO2 (sin conexin elctrica) que en estado normal se encuentra apagado y almacena un 1 lgico. Durante la programacin, al aplicar una tensin (10 a 25V) la regin de la compuerta queda cargada elctricamente, haciendo que el transistor se encienda, almacenando de esta forma un 0 lgico. Este dato queda almacenado de forma permanente, sin necesidad de mantener la tensin en la compuerta ya que la carga elctrica en la compuerta puede permanecer por un perodo aproximado de 10 aos. Por otra parte el borrado de la memoria se realiza mediante la exposicin del dispositivo a rayos ultravioleta durante un tiempo aproximado de 10 a 30 minutos. Este tiempo depende del tipo de fabricante y para realizar el borrado, el circuito integrado dispone de una ventana de cuarzo transparente, la cual permite a los rayos ultravioleta llegar hasta el material fotoconductivo presente en las compuertas aisladas y de esta forma lograr que la carga se disipe a travs de este material apagando el transistor, en cuyo caso todas las celdas de memoria quedan en 1 lgico. Generalmente esta ventana de cuarzo se ubica sobre la superficie del

SISTEMAS DIGITALES

177

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

encapsulado y se cubre con un adhesivo para evitar la entrada de luz ambiente que pueda borrar la informacin, debido a su componente UV. En la figura 113 se observa la fotografa de una memoria de este tipo.

Figura 113.- Apariencia Fsica de una EPROM

5.6.7 Memoria EEPROM La memoria EEPROM es programable y borrable elctricamente y su nombre proviene de la sigla en ingls Electrical Erasable Programmable Read Only Memory. Actualmente estas memorias se construyen con transistores de tecnologa MOS (Metal Oxide Silice) y MNOS (Metal Nitride-Oxide Silicon). Las celdas de memoria en las EEPROM son similares a las celdas EPROM y la diferencia bsica se encuentra en la capa aislante alrededor de cada compuesta flotante, la cual es ms delgada y no es fotosensible. La programacin de estas memorias es similar a la programacin de la EPROM, la cual se realiza por aplicacin de una tensin de 21 Voltios a la compuerta aislada MOSFET de cada transistor, dejando de esta forma una carga elctrica, que es suficiente para encender los transistores y almacenar la informacin. Por otro lado, el borrado de la memoria se efecta aplicando tensiones negativas sobre las compuertas para liberar la carga elctrica almacenada en ellas.

SISTEMAS DIGITALES

178

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Esta memoria tiene algunas ventajas con respecto a la Memoria EPROM, de las cuales se pueden enumerar las siguientes:

Las palabras almacenadas en memoria se pueden borrar de forma individual.

Para borra la informacin no se requiere luz ultravioleta. Las memorias EEPROM no requieren programador. Para reescribir no se necesita se necesita hacer un borrado previo. Se pueden reescribir aproximadamente unas 1000 veces sin que se observen problemas para almacenar la informacin.

El tiempo de almacenamiento de la informacin es similar al de las EPROM, es decir aproximadamente 10 aos. 5.6.8 Memoria FLASH La memoria FLASH es similar a la EEPROM, es decir que se puede programar y borrar elctricamente. Sin embargo esta rene algunas de las propiedades de las memorias anteriormente vistas, y se caracteriza por tener alta capacidad para almacenar informacin y es de fabricacin sencilla, lo que permite fabricar modelos de capacidad equivalente a las EPROM a menor costo que las EEPROM. Las celdas de memoria se encuentran constituidas por un transistor MOS de puerta apilada, el cual se forma con una puerta de control y una puerta aislada, tal como se indica en la figura 114 La compuerta aislada almacena carga elctrica cuando se aplica una tensin lo suficientemente alta en la puerta de control. De la misma manera que la memoria EPROM, cuando hay carga elctrica en la compuerta aislada, se almacena un 0, de lo contrario se almacena un 1.

SISTEMAS DIGITALES

179

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 114.- Celda de memoria de una FLASH

Las operaciones bsicas de una memoria Flash son la programacin, la lectura y borrado. Como ya se mencion, la programacin se efecta con la aplicacin de una tensin (generalmente de 12V o 12.75 V) a cada una de las compuertas de control, correspondiente a las celdas en las que se desean almacenar 0s. Para almacenar 1s no es necesario aplicar tensin a las compuertas debido a que el estado por defecto de las celdas de memoria es 1. La lectura se efecta aplicando una tensin positiva a la compuerta de control de la celda de memoria, en cuyo caso el estado lgico almacenado se deduce con base en el cambio de estado del transistor:

Si hay un 1 almacenado, la tensin aplicada ser lo suficiente para encender el transistor y hacer circular corriente del drenador hacia la fuente.

Si hay un 0 almacenado, la tensin aplicada no encender el transistor debido a que la carga elctrica almacenada en la compuerta aislada.

SISTEMAS DIGITALES

180

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Para determinar si el dato almacenado en la celda es un 1 un 0, se detecta la corriente circulando por el transistor en el momento que se aplica la tensin en la compuerta de control. El borrado consiste en la liberacin de las cargas elctricas almacenadas en las compuertas aisladas de los transistores. Este proceso consiste en la aplicacin de una tensin lo suficientemente negativa que desplaza las cargas como se indica en la figura 115.

Figura 115. Proceso de descarga de una celda de memoria FLASH

5.6.9 Aplicaciones de las Memorias En la actualidad muchos de los sistemas electrnicos necesitan dispositivos para almacenar y/o leer informacin. Como ejemplo de este tipo de sistemas podemos mencionar los telfonos electrnicos, televisores, equipos de sonido y los computadores entre otros. Esta leccin se centrar en mencionar algunas aplicaciones particulares de las memorias que pueden ser de inters para desarrollar proyectos.

SISTEMAS DIGITALES

181

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.6.9.1 Memoria RAM La memoria RAM es uno de los componentes ms importantes en un computador. Cuando se requiere emplear un archivo de datos o programa, los datos o instrucciones son ledos desde el disco duro o disquete y colocados en una memoria RAM, para que sean ledos por el microprocesador, permitindole manipularlos, ingresar nuevos datos, modificar los existentes, hacer clculos, bsquedas, resmenes, etc. El uso ms difundido de estos dispositivos indiscutiblemente se encuentra en los computadores:

Se utilizan en sistemas microprocesados, y en los microcontroladores, en sistemas pequeos es de lectura/escritura.

En los computadores se utiliza como memoria de Cache y memoria de vdeo.

Las memorias para computadores generalmente no se consiguen en chips, sino en mdulos de memoria empaquetados en dos formatos bsicos: SIMM y DIMM que contienen 8, 16, 32, 64 o 128 MB cada uno. Estos mdulos se introducen en ranuras o slots en la tarjeta madre de los computadores y en la figura 116 se muestra su presentacin de 32 y 72 pines.

Figura 116.- Mdulos de Memoria RAM

SISTEMAS DIGITALES

182

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.6.9.2 Memoria ROM 5.6.9.2.1 Programas y Datos La aplicacin ms difundida en este tipo de memoria ha sido el almacenamiento de cdigos de programas para el momento del arranque de dispositivos que utilizan microprocesadores, como es el caso de los computadores. Los Computadores vienen con una memoria ROM, donde se encuentran alojados los programas del BIOS (Basic Input Output System), el cual contiene las instrucciones y datos necesarios para activar y hacer funcionar el computador y sus perifricos. Debido a que en esta memoria la informacin est disponible en cualquier momento, los programas en una ROM son a menudo los cimientos sobre los que se construye el resto de los programas (incluyendo el DOS). La ventaja de tener los programas fundamentales del computador almacenados en una ROM, es que estn all disponibles y no hay necesidad de cargarlos en la memoria desde el disco de la misma forma que se carga el DOS. Comnmente estos programas son llamados Firmware, lo que indica que se encuentran firmemente almacenados en el Hardware y que no cambian. 5.6.9.2.2 Funciones matemticas y Generadores de Seales Otra aplicacin de estas memorias consiste en el almacenamiento de tablas de datos que permiten generar funciones matemticas. Por ejemplo existen memorias que almacenan funciones trigonomtricas y hallan el resultado con base en el valor binario introducido en el bus de direcciones. En la figura 117, se observa cmo se puede implementar un generador de una seal seno, a partir de la informacin almacenada en una memoria ROM.

SISTEMAS DIGITALES

183

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Figura 117.-. Generador de seales con una memoria ROM

5.6.10 Ejemplos de Memorias Comerciales Las memorias son circuitos integrados cuyos pines se hayan en ambos lados de la cpsula, formando dos lneas o hileras de pines (DIP) y generalmente se fabrican con capacidades de orden de Kilobytes o Megabytes mltiplos de 8, por ejemplo 8k, 16k, 32k, 64k, 128k, o 8M, 16M, 32M, etc.

Figura 118.- Distribucin de pines de un chip de memoria

SISTEMAS DIGITALES

184

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

En la figura 118 se observa un esquema descriptivo de los pines que generalmente se encuentran en una memoria. A continuacin se da una explicacin de cada uno de estos pines:

A0...An (Bus de direcciones): Estos pines son las entradas para seleccionar la posicin de memoria a escribir o leer y su cantidad define la capacidad de palabras que puede almacenar, dada por la expresin 2n, donde n es el nmero de pines.

D0...Di (Bus de Datos): Corresponde a los pines de entrada y salida de datos. En el mercado se consiguen generalmente buses de 1, 4, 8 y 16 bits y lo ms usual es encontrar chips tengan 8 entradas de datos.

CS (Chip Select): Este pin se utiliza para seleccionar el chip de memoria que se desea acceder. Esto en el caso del usar dos o ms memorias similares.

OE (Output Enable): Utilizado para habilitar la salida de datos. Cuando se encuentra en estado activo las salidas tiene alta impedancia o actan como entradas.

R/W (Read/Write): Entrada utilizada en las memorias RAM para seleccionar la operacin de lectura o escritura

VCC y GND (Alimentacin): Corresponden a los pines de alimentacin del circuito integrado. Algunas tienen disponible tres pines para este propsito, pero por lo general son dos y el valor de la tensin de alimentacin depende de la tecnologa de fabricacin del circuito.

En las siguientes secciones se indicaran algunos ejemplos de circuitos integrados de uso general disponibles en el mercado, dando un ejemplo de cada uno de los tipos de memorias vistas.

SISTEMAS DIGITALES

185

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.6.10.1 MEMORIA SRAM - MCM6264C Esta memoria fabricada por Motorola y desarrollada con tecnologa CMOS tiene una capacidad de 8K x 8. Los tiempos de lectura y escritura del integrado son de aproximadamente 12 ns y tiene un consumo de potencia aproximado de 100 mW. En la Figura 10.5.2 se observa la disposicin de los pines del circuito integrado de esta memoria y sus las caractersticas tcnicas.

Figura 119.- SRAM MCM6264C

5.6.10.2 MEMORIA DRAM 4116 El CI 4116 es una memoria DRAM de 16K x 1. La estructura interna de este integrado se encuentra constituida por un arreglo de 128 filas y 128 columnas

SISTEMAS DIGITALES

186

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

donde cada uno de los bits se ubican con una direccin de 14 bits. En la figura 10.5.3 se muestra la disposicin de los pines del circuito integrado. Observe que la entrada de direcciones es de 7 bits (A0...A6). La razn de poseer 7 pines y no 14, se debe a que estos tienen funcin doble, por ejemplo la entrada A0 se utiliza para establecer los valores de los bits A0/A7 de la direccin de memoria que se quiere acceder.

Figura 120.- DRAM 4116

Para ingresar una direccin de memoria en este integrado se utilizan las seales de entrada RAS y CAS, las cuales deben estar inicialmente en "1" para recibir los 7 bits menos significativos de la direccin (A6...A0). Despus de ello la entrada RAS debe cambiar a "0" con lo cual los 7 bits se cargan en el registro de

SISTEMAS DIGITALES

187

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

direcciones de memoria y el dispositivo queda disponible para recibir los 7 bits ms significativos (A7...A14) de la direccin. Una vez se aplican estos bits, la entrada CAS debe cambiar a "0", cargndolos de esta forma en el registro de direcciones en su respectiva posicin y permitiendo finalmente acceder a la posicin de memoria para efectuar la operacin de lectura o escritura.

5.6.10.3 MEMORIAS PROM - 74S473 Esta memoria tiene una capacidad de 512 palabras de 8 bits y la descripcin de sus pines se muestra en la figura 121.

Figura 121.- PROM 74S473

SISTEMAS DIGITALES

188

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

5.6.10.4 MEMORIA EPROM - 27C16B Esta memoria de 24 pines tiene una capacidad de 2048 palabras de 8 bits, es decir 2KB. Las salidas de esta memoria son triestado, lo que permite escribir o leer los datos con el mismo bus de datos.

Figura 122.- EPROM 27C16B

Esta memoria tiene dos pines no indicados inicialmente:


VPP: Es utilizado durante la programacin. CE/P (Chip Enable/Program): Utilizado para seleccionar el chip (en caso de emplearse en forma conjunta con otros) y para programar la posicin de memoria seleccionada en el bus de direcciones.

SISTEMAS DIGITALES

189

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

Durante la programacin de la memoria, la entrada OE se debe encontrar en 1. En la entrada debe estar presente una tensin de 5V, as como en los datos y la direccin de memoria. Despus de ello, se aplica pulso de tensin durante 30 ms aproximadamente, para almacenar los datos. Como se vio anteriormente, el borrado de este tipo de memoria se efecta mediante la exposicin del integrado a luz ultravioleta. Una lmpara UV de 12mW, puede ser utilizada para efectuar este proceso, el cual tarda entre 20 y 25 minutos. 5.6.10.5 MEMORIA EEPROM - 28C64A Esta memoria tiene una capacidad de 8K X 8 y tiene caractersticas diferentes a las dems. La informacin almacenada puede perdurar aproximadamente 100 aos y puede soportar hasta 100.000 ciclos de grabado y borrado.

Figura 123.- EEPROM 28C64A

SISTEMAS DIGITALES

190

TECNOLOGIAS DE LA INFORMACION Y COMUNICACIN

En la figura 123 se indica la disposicin de los pines de esta memoria la cual se encuentra disponible en dos tipos de encapsulados (DIL y PLCC).

5.6.10.6 MEMORIA FLASH - 27F256 La capacidad de esta memoria es de 32K X 8 y como memoria Flash tiene la caracterstica particular de ser borrada en un tiempo muy corto (1 seg.). El tiempo de programacin por byte es de 100 ms y el tiempo de retencin de la informacin es de aproximadamente 10 aos.

Figura 124.- Memoria Flash 27F256

En la figura 124 se indica la disposicin de los pines de esta memoria con sus caractersticas tcnicas bsicas.

SISTEMAS DIGITALES

191

También podría gustarte