Está en la página 1de 9

Adverse Effect of Semiconductor Industry on Environment and its Remedies

#1

Md. Mustafa Kamal, #2Md. Manzar Nezami


#1,#2 #1,#2

M.E. Student, NITTTR Chandigarh

Department of Electrical Engineering

#1

mustafakamalece@gmail.com, #2manzar.nezami@gmail.com

Abstract

Today with the development of the technology the world of electronics is overtaking all the bars. Electronic devices are the life blood for the computers, communication system etc thus without it the life of the modern man will come to a halt. Electronic appliances are the semiconductor based. Silicon and Germanium are the most commonly used substrate for production of electronic appliances. Semiconductor manufacturing process released compound which are called as Perfluorocompounds (PFCs). PFCs are used for the etching process of semiconductor wafer these gases are also used for cleaning of chemical vapor deposition tool chamber. These flue gases absorb infrared radiations which lead to global warming. Global warming is responsible for the rise in the earths temperature and also for the melting of the glaciers. International community regulates these gases because they have more potential to produce global warming effect as compared to carbon dioxide (CO2). In this paper a study has been carried out in which the effect of the flue gases emitted during the production of the semiconductor substrate has been discussed. These flue gases have nearly 5000 times global warming potential as compared to the CO2 .Also a comparative study is carried out in which the different methods and technologies used to reduce these gases in the environment has been put forth to protect our mother earth. KEYWORDS- semiconductor industries production.cvd .PFC, Global warming

I.

INTRODUCTION use. Mobile companies mainly due to chip pc server, calculators have existence because due to semiconductor products. Today we even cannot imagine the world without semiconductor

Semiconductor industries affect the every aspect of life .We use semiconductor product in our day to day activities as a basic need. From Industries, Military application to Research purpose. The use of semiconductor in microwave ovens, cars, dishwasher and constantly expending day to day

products .In the absence of semiconductor product world surely become slower. Gordon

Moore co-founder of Intel industries, in 1960 formulated what is known as Moore law state that the number of transistor placed in the processor double in every 18 to 24 months. This law remains valid today and explain the tremendous growth of semiconductor industries. Semiconductor Industry Association The (SIA),

different process are carried to convert raw silica to silica of wafer. During the wafer

manufacturing

semiconductor

different PFC gases are used in different part of process. Here we in list the process in which different PFC gases are used. 1. PFC gases are used as etching gases for plasma etching. The gases etched submicron pattern on silicon and dielectric layer of advance integrated circuits. In addition SF6 decomposed by the plasma allows the etching chamber clean. 2. The fluorinated compounds are also used to accurately perform a rapid chemical deposition (CVD) tool chamber. when the silicon and silicon based electric layers are being applied, a deposit remain the CVD chamber .to ensure the wafer are not contaminated by the deposits, the chamber are cleaned at the defined interval, thereby avoiding the frequent wet cleaning. 3. In the wafer testing stage SF6 used as an insulator for power devices testing. Power devices are used for the automotive application to simulate the real device working conditions which are essential to prove device reliability .the SF6 reuse concept can allow SF6to be used in an environmentally friendly manner and to be kept in a closed cycle [1].

representing US semiconductor manufacturing and design, shows 2011 worldwide sales hit $299.5 billion, a 0.4% year-on-year (YOY) increase and new record. This comes despite natural disasters in major semiconductor hubs of Japan, and Thailand, and an overall weak global economy. Semico Research's forecast for 2012 shows a 10.11% growth in semiconductors revenues over 2011. Believes that the bottom of the cycle is occurring now, in Q1 2012, with pent-up PC demand and other factors [2] .

Figure1.1 shows that the increasing trend of semiconductor in different financial year.[6] Semiconductor industries mainly used silicon as the substrate of manufacturing of different semiconductor products. During the A Semiconductor industry s major problem is emission of global warming gases(GWG).such as CH4 ,CF4, C2H6,C3H8,which are generally used in etching process and in chemical and chemical vapor phase Decomposition process (CVD).

manufacturing of semiconductor products

PFC gases which are mostly used in chamber cleaning process .because their long lifetime and long presence in the air the strongly absorbed the infrared radiation making Table for atmospheric life terms and Global warming potential Compound Atmospheric Lifetime (years) Global warming potential (100-years) CO2 C2F6 CF4 SF6 NF3 CHF3 C3F8 C-C4F8 CFC-11 CFC-12 variable 10,000 50,000 3,200 740 270 2,600 3,200 55 116 1 12,200 7,390 22,800 17,200 11,700 8,830 10,300 3400 7100

atmosphere hot. These gases have more global warming potential as compared to co2 gases .study shows that PFC gases have 5000 times more global warming potential than co2.gases.PFC gases absorbed the infrared light of sun light and keep heat in the atmosphere, hence atmosphere become hot and increase in temperature responsible for melting of glacier.

Because due to long presence of gases in the atmosphere and higher global warming

potential these gases create major problem for mother other imbalance ecosystem [1]. In 1998, the Kyoto protocol set quantative target reduction of global warming gases in the developed countries .the world semiconductor Figure1.2 the absorbance spectra of different PFC gases.[6] manufacture countries in Europe, Japan, Korea, Taiwan and USA has agreed to reduction in green houses gases by 10 percent PFC gases which are emitting by the semiconductor industries in the different level of manufacturing process have different life time. For example SF4 has estimated lifetime 50.000 years in the atmosphere and global warming potential of 6,500.similiarly SF6 has estimated life term of 3,200yers and global warming potential of 23,900. to a base line year by year 2010. The world semiconductor concil which is formed in 1999 implemented pfc emission method. The target for emissions reduction varies from region to region, but approximately 80% of the

worldwide semiconductor manufacturers have agreed to binding targets. For Europe, USA and Japan, PFC emissions must be reduced 10% below the 1995 emission baseline, by

2010. For Korea, the base line year is 1997 and for Taiwan it is 1998. The base line is the carbon equivalent (CE) emissions from the semiconductor industry in a given region in 1995, 97 or 98. This aggressive emissions Of gases, because of the industrial growth in between 1995-98.

reduction target means that absolute PFC emissions must be reduced by 90% in some in its life time and global warming potential of PFC gases [4].

Based on these approaches the gases can be reduced. 1.process optimization-CVD chamber is the one of the largest source of PFC emission hence main concentration for optimization is CVD chamber .gases which are occurred in the absence of wafer can be negatively optimized without affecting the product yield.be1999 older manufacturing processusedCF4,C2H6,

II.

REMIDIES

There are various method are reduction of PFC gases. As for example reduction of gases by circulation cleaning of plasma composition vapor diffusion (CVD) method in this method unused gases contained in the chamber cleaning was returned to the chamber again for use .hence reuse of gas reduce the emission of gases in the environment. Using this method 50%of PFC gases reduction can be achieved. Industry expert has common effort to reduce the emission of PFC gases in the environment. C2H6 used as primary cleaning gases and responsible more pollution. now in place of C2H6 ,NF3 continue in use .in process optimization end point detection of extractive metrology used to monitor emission and produce clean point times that are minimized by adjusting process parameters, such as chamber pressure temperature ,plasma power ,cleaning gas flow rate and gas ratio in case of mixture. Cleans and optimized to minimize gas consumption, there by resulting in lower cost ownership due to decrease gas usage process. Optimization can yield emission reduction 10 to 50% compared to non-optimized process. More over it is low cost emission option so

C3H8,C4F8O(octafluorotetrahydrofuran)and NF3 that are lower ,C2H6 replacement chemistries. The last two compounds are more common in recent years based on 2010 emission record data.

2. substution-here

PFC emission can be

reduced by using alternative gases in place of PFC gases. In the recent year new research are carried out to replace the conventional technology, to minimize the emitting of gases from the different manufacturing process in the semiconductor industries. Now the

industries use NF3 remote plasma clean technologies to replace CF4 and C2F6 chamber cleans. In the remote cleaning process NF3dissociate into fluorine ions or atoms in remote plasma. Dissociated atom or ion now used to replace the silicon based residue from cleaning chamber by using remote cleaning technology the conversion efficiency of

plasma chamber increase by 95%to 99%.hence

by using NF3 in cleaning process better efficiency can be achieved. adopted Now plasma

research has been done on alternative etchants such as iodofluorocarbons, hydro

semiconductor

industries

fluorocarbons, and unsaturated fluorocarbons, many of these chemicals are not viable alternative etchants in a manufacturing

based advance technology for and 200mm and 300mm CVD equipment now companies are trying to develop the other PFC gases based chamber cleaning process to optimized the maximum efficiency and limit the emission of PFC gases in the environment .to retrofitted remote cleans result in more than 95% PFC emissions save our mother earth and minimize the possibility of global warming effect. By using Reduction and improved tool utilization throughout, clean times can be improved, reduced wet clean frequency can be improved, cost of chamber part can be reduced through the minimization of internal defects. Despite the NF3has global warming potential of 100 years, is used in vapor chamber cleaning process because due to the better efficiency PFC, NF3 remote plasma clean technologies have been developed to replace some other process gases and have a superior utilization efficiency (which means most of the gas utilized is not emitted to the environment). The use of NF3 in processes is continuously being optimized and made more efficient While replacement of high GWP gases with lower or non-GWP gases is generally

environment due to excess polymerization, lack of etch selectivity, difficulties in

delivering gases to the process chamber, and potential increased employee exposure risks. An exception is hexafluoro-1,3-butadiene (C4F6) for oxide and low-k etching where high selectivity for silicon is required in the presence of nitride or other films with high aspect ratios, thinner resists and less etch resistant resists. In these cases, C4F6 replaces CF4, CHF3, and C4F8. By using C4F6 characterized by an atmospheric lifetime of less than 1 year and a utilization efficiency of more than 95%, PFC emission reductions of more than 90% compared to conventional gases can be achieved.7 200 or 300mm refers to the size of the silicon wafer used to produce semiconductor . 3. Capture and recycleSeveral

semiconductor manufacturers and suppliers conducted capture/recovery technology in

this process gases which are exhausted used alpha and beta evaluations of PFC

capture/recovery systems which could be installed as a central, building-wide means for handling PFC emissions. No evaluation

preferable, it has not proven to be feasible in most plasma etch applications. Processing requirements for high aspect ratio plasma etching continue to become more stringent, requiring both fluorine to etch and the right carbon to fluorine ratio to ensure anisotropic etching. While a significant amount of

resulted in successful re-use of PFC; all were deemed to be too costly to implement. As NF3 based cleans proliferate, large building-wide capture/recovery systems become less cost effective due to the reduced volume of PFCs

available

for

recovery. facility

To has

date,

no

upon the on the process and hardware optimization, alternative chemistry, recycling and abatement have been devised.

semiconductor

implemented

centralized again in the manufacturing process.

4. Abatement- Significant developments have occurred in the area of PFC abatements, with the development of new technologies and the commercialization of many new abatement systems. The industry has favored point-of-use abatement over centralized end-of-pipe (EOP) abatement for PFCs, believing that it is more effective to abate close to the source and, thus, prior to dilution. Most abatement technologies can be applied to PFC emissions from both etches and CVD processes, although several companies have developed plasma abatement systems specifically for etch chamber

III.

Conclusion

Semiconductor industries which use different gases PFC gases in their manufacturing process can be very dangerous for climate this will cause global warming effect .different PFC gases have different level of global warming capability. Hence by using better technology and abetment process this can be reduced at the optimum level. Although the semiconductor industrys use of PFCs is minimal compared to the amounts released by the aluminum smelting industry industry, is the

semiconductor

proactively

emissions. These are typically installed prior to the vacuum pump (i.e., the fore line)to avoid dilution of the stream with pump-purge N2.hen the PFC abated after combustion the plasma catalysis at the chamber or tool used. After the many years of research now industries come to that point to reduce the PFC gases at the optimum level. Solution based

attempting to find ways to reduce their emissions. The overall environmental impact of chemical substitution, control methods, and process optimization will have to be carefully evaluated to ensure that these efforts result in a net benefit to the environment and the semiconductor industry.

REFERENCE [1]ESIA annual report,2011 [2] R. Reif, R. Chatterjee, S. Karecki, L. Pruette plasma etching process for reduction for global warming,IEEE 2003 [3] Alternatives to Reduce Per fluorinated Com-pound (PFC) Emissions From Semiconductor
Dielectric Etch Processes: Meeting Environmental Commitments While Minimizing costs, IEEE
2003

[4] Sbastien Raoux, Strategies for Cost Effective Implementation of PFC Emissions Reduction Solutions in the Semiconductor Industry, IEEE2006 [5] Global Warming: A White Paper on the Science, Policies and Control Technologies that Impact the U.S. Semiconductor Industry,1994 [6]www.epa.gov/semiconductor-pfc/

También podría gustarte