Está en la página 1de 5

Teena Sakla et. al. /International Journal of Engineering Science and Technology Vol.

2(9), 2010, 4827-4831

IMPLEMENTATION OF DIGITAL QPSK MODULATOR BY USING VHDL / MATLAB


Teena Sakla*
Technocrats Institute of Technology Bhopal-462021 House No 685 Kumhar Mohalla Bairagarh Bhopal- 462030 (MP) India

Divya Jain
Technocrats Institute of Technology Bhopal-462021 (MP) India

Sandhya Gautam
Technocrats Institute of Technology Bhopal-462021 (MP) India ABSTRACT The modulators are the basic requirement of the communication systems they are designed to reduce the channel distortion & to use in RF communication hence many type of carrier modulation techniques has been already proposed according to channel properties & data rate of the system. Here we are proposing the FPGA based QPSK modulator with analog filters, the proposed system has many advantages over traditional QPSK modulators such as reduced cost, better stability, less complexity. The simulation of the system proves all the features mention above. We have designed the system using VHDL codes in Xilinx & analog filter simulation in Matlab. Three types of filters are investigated. The filters simulation results are compared and presented in this paper.Keywords: QPSK, VHDL, Wavelet Transform, Filters. 1.Introduction Modulation is very important block in communication system to transmit the data through channel without loss of data & to reduce size of antenna incase of wireless communication it is also important aspect for FDM. Because of these requirements many models are proposed to design a stable & low power modulator because the requirement of the sine wave as carrier most of the previously proposed models uses the analog circuitry for modulators but the stability of the analog system very much depends upon physical condition of the device as temperature, humidity etc. hence to make design robust & immune to physical conditions we are here proposing the FPGA based technique which not only improves the stability but also the power requirement of the system it also works on higher bit rate than the previously proposed analog systems. The proposed model involves a FPGA based 4X1 multiplexer, one clock & four delay blocks to produce four phases then the output is filtered by the analog filter to produce smooth sinusoidal wave at the output. 2.PreviousWork As the demand for system-on-chip (SoC) implementations increases, the need to accurately model mixed signal designs becomes more important. Digital designs have been highly automated, and the prevalence of top down design is very strong in this area. In contrast, traditional analog RF designs are normally bottom-up, starting at the transistor level. Mixed-signal designers must then take a combination of hierarchical design approaches, and effort is being made to automate this design flow in a similar manner as seen for current digital systems. The overall goal is to provide designers tools to allow the combination of digital and RF models at the net list level, creating a physical SoC model from which masks can be made for quick prototyping and fabrication. The ability to model and co-simulate digital and RF components together was made possible by the creation of hardware description languages (HDLs) such as VHDL-AMS [2] and Verilog-A. That requires the development of high-level behavioral models for mixed-signal systems blocks. Later, the abstraction levels of these models can be reduced to more accurately model physical circuit implementations. Many of the recently documented system-level behavioral models in VHDL-AMS [3], have been basic functionality tests that use highly ideal behavioral descriptions and do

ISSN : 0975-5462

4827

Teena Sakla et. al. /International Journal of Engineering Science and Technology Vol. 2(9), 2010, 4827-4831 not include simulation of the high frequency RF blocks. The next step in these simulations is to provide a benchmark for the transceiver system performance by implementing a realistic RF transmission channel. 3. Proposed Model All analogue or hybrid analogue/digital QPSK modulators work with phase shift carrier angle, as a key of modulation [8]. The phase signal is most important part in the modulator to acquire two discrete signals (Sine and Cosine) [17]. However, the NRZ format is essential for mapping I and Q. The analogue QPSK signal can be represented mathematically as in Equation (1) and I/Q are defined in Equations (2, 3): QPSK (t) = I (t) cos (2 f c t) Q (t) sin (2 f c t) (1.1) I =2E/T cos [(2i-1) /4] Q=2E/T sin [(2i-1) /4] (1.2) (1.3)

These types of technique are not suitable for medical applications, which essential work with the input data in NRZ signal form at conventional modulators. The proposed QPSK VHDL modulator is programmed generate a carrier phase which acquires four discrete states (0, 90,180,270). Two separate streams in-phase I, and quadrature phase Q for mapping the data for controlling the four phase different carriers interfaced to multiplexer. The output is selected by multiplexer to provide a digital QPSK signal, which passes via a passive filter before a transmission (TX) to eliminate the high frequencies [9]. Fig.1 demonstrates the proposed VHDL modulator comparing to analogue modulator. The QPSK modulator consists of carrier source to produce a periodic pulse signal (carrier signal ), fed to a carrier phase shifter; which shift the input carrier into four different phase signals (0, 90, 180, 270) interfaced to multiplexer While the data_in is fed to data mapping to generate I and Q signals to influence the four phase different carries. The output is selected by multiplexer which provides digital QPSK signal, this signal filtered with analog filter before transmitted to pass fundamental frequency and eliminates the higher frequencies associated with the square signal. The architecture block diagram of QPSK modulator is shown in Figure.
Carri er Module 4X1 Multiplexer Module Analog Filter

Data_ Map Module


Figure 1. The block diagram for the proposed QPSK Modulator

4. Simulation Results A. VHDL programming code simulation The proposed modulator programmed with the VHDL language for modeling, design and analysis of the proposed QPSK modulator. The simulated result of this modulator is presented in Fig.6. This demonstrates the output signals waveforms indicating the transitions (180, 270) of the carrier signal influence by input data signal. The carrier frequency 5 MHz was generated from the local clock signal The data signal was reduced to 5KHz by a frequency divider then fed into a random PN sequence generator. The modulator is implemented and the generated VHDL Behavioral RTL of the QPSK modulator is illustrated in Fig. 4

ISSN : 0975-5462

4828

Teena Sakla et. al. /International Journal of Engineering Science and Technology Vol. 2(9), 2010, 4827-4831

Figure 2. Top level RTL schematic for QPSK

Figure 3. RTL schematic for QPSK Modulator

B.VHDL Simulation results and discussion In this part of paper, we provide the simulation results for testing the VHDL code modulator. Figure 6 and figure 7 shows results at rising and falling edge of Input signal.clk_2 is carreir signal ,clk_3 is clock pulse to control the data rate of input signal,data_in is input signal and data_out is output signal.

Figure 4. Output at rising edge

Figure 5. Output at falling edge

Pulse duration for clk_2 and clk_3 is 200ns and the pulse duration for data_out is 400ns.Following are the different possibilities of phase variation: 1. When data_in is 00 the phase of modulated signal is 0.2. When data_in is 01 the phase of modulated signal is 90.3. When data_in is 10 the phase of modulated signal is 180.4. When data_in is 11 the phase of modulated signal is 270.The signal passes as digital QPSK through the passive LPF for harmonics separation. C. Filter Implementation and MATLAB simulation Results In wireless transmission we cannot transmit the digital signal directly without harmonics separation. The output of the multiplier is producing a QPSK digital signal square signal form. It is essential to use a filter to complete the process for the modulator off-chip. We designed an analog passive filter for this purpose as it has zero power consumption. Two types of filters were investigated Low pass Filter (Wavelet LPF) and Band Pass Filter (second order Butterworth BPF) to eliminates the harmonics from the QPSK digital signal. (I).Butterworth BPF simulation Our prototype analog filter selected is a Butterworth 2nd order, to filter the input QPSK digital signal. The simulation results for the output of Second order band pass butterworth filtere is shown in figure 2. It is the power spectral density of filtered modulated signal, as it is evident from the figure that the dc component and high frequency components are eliminated from the filtered signal.There are two lobes on either side of origin due to abrupt changes in the carrier phase.

ISSN : 0975-5462

4829

Teena Sakla et. al. /International Journal of Engineering Science and Technology Vol. 2(9), 2010, 4827-4831

Figure 5. Butterworth Filter simulation

Figure 6. The Wavelet Filter simulation with MATLAB

(II).Wavelet LPF simulation Figure 3 shows the wavelet Energy spectrum for the result of the Haar transform or the frequency plot using wavelet packet frequency analysis. The peaks are formed by the filtered signal at the resolution of the level basis. By further reducing the time regions, all the frequency bands become compressed into a smaller time region. Multiple frequency bands become associated with a given time region. 5. Conclusion ========* Final Report *======== Final Results RTL Top Level Output File Name: qpsk.ngr Top Level Output File Name: qpsk Output Format: NGC Optimization Goal: Speed Keep Hierarchy: YES Target Technology: Automotive 9500XL Macro Preserve: YES XOR Preserve: YES Clock Enable: YES wysiwyg : NO Design Statistics # IOs: 4 Cell Usage: # BELS: 45 # AND2: 17 # AND3: 1 # GND: 1 # INV: 17 # OR2: 8 # XOR2: 1 # Flip-flops/Latches: 7 # FD: 5 # FDCE: 2 # IO Buffers: 4 # IBUF: 3 # OBUF: 1

===============================================================
We implemented a new simple direct QPSK digital modulator model in MATLAB simulation software. It has been successfully designed with VHDL programming code. The modulator generates QPSK signal directly from binary digital data. For test purpose it was generated with VHDL code inside the CPLD/FPGA , mapped for I / Q to control the carrier signal using VHDL multiplexer code. The output producing modulated digital signal, filtered to transmit through designed analog filters. The carrier frequency is 5MHz and input frequency is 500KHz.The filter is main key in the design, eventually we designed and simulated for optimum passive filter. The simulation results in table 1 are presented for second order Butterworth low pass filter second order ,Butterworth band pass filter and

ISSN : 0975-5462

4830

Teena Sakla et. al. /International Journal of Engineering Science and Technology Vol. 2(9), 2010, 4827-4831 wavelet (low pass) filter. It is clear from the table that wavelet filter gives the better results as compared to the others. In this thesis implementation of digital QPSK Modulator is done on XILINX 11.1i.The results are verified by test bench generated by the FPGA. Then the Analog filter is simulated by using MATLAB 7.5It can be concluded that the designed RTL model for QPSK Modulator is accurate and can work for real time application
TABLE1.Comparison of Simulation Results

Type of filter Butterworth BPF Wavelet Butterworth LPF REFERENCES


[1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18]

Data rate 500 Kbps 500 Kbps 500 Kbps

Carrier frequency 5 MHz 5 MHz 5 MHz

Output bandwidth 2 MHz 3 MHz 1 MHz

M.Kovac, J.kolouch BPSK, QPSK MODULATOR SIMULATION MODUEL2004 E.Normark, Lei.Yang, C.Wakayama, P.Nikitin, R, Shi VHDL AMS Behavioral Modeling and simulation of a pi/4DQPSK transceiver system T.J.Kazmierski, F.A.HamidArchitectural and parametric optimization of low-pass RF anlog Filter in VHDL AMS based high level synthsisBMAS2004, San Jose,20-22 oct2004-2. Gihad Elamary ,Graeme. Chester, Jeffery Neasham An Analysis of Wireless Inductive Coupling for High Data Rate Biomedical Telemetry Using a New VHDL n-PSK Modulator K.Wise, D.Anderson, J.Hetke, R.Kipke, K.Najafi Wireless implantable Microsystems High density Electronics interfaces to the nervous systemIEEE , Proceeding ,Vol.92,pp. 76-97, 2004. Ghazi Ben Hmida, Mhommed Dhieb, Hamadi Gharinai, Mounir Samet Transcutaneous Power and High Data Rata Transmission For Biomedical Implants 2006 IEEE. S.Atluri, M.Ghovanloo Digital of wideband power efficiency inductive wireless link for implantable biomedical using multiple carriers Internal conference EMBS on neural engineering. IEEE, 2005 A. M. El-Gabaly, B. R. Jackson and C. E. Saavedra, "An L-Band Direct-Digital QPSK Modulator in CMOS," IEEE International Symposium on Signals, Systems and Electronics, Montreal, Quebec, Canada, April 2007. B.Williams and Fred.J.Taylor, Electronic Filter Design Handbook, by the McGraw-Hill companies, vol. III, G. T. Rado and H. Suhl, Eds.USA: 2006, pp. 89137,pp.165-239. G.C.Cardarilli, R. A.Del Re.RE, L.Simone Nicol, Otimized QPSK Modulaator for DVB-S Applications, ISCAS 2006 IEEE. Douglas.L.Perry VHDL Programming by ExampleMc.Grawh. USA: Academic 2002, pp. 842- 868 www.altera.com/literature/univ/upds.pdf.(UP2 edicational board datasheet). Roger.Lipsett,Carl.Schaefer, Cary.Ussery VHDL Hardware Description and design pp842-868 H.Bochnick,W.Anheier FIR filter design using Verilog and VHDL.Italy April.16-26.1993 http://ieeexplore.ieee.org/iel5/7180/19335/00893288.pdf (IEEE standred VHDL Language Reference Manual http://www.mathworks.co.uk/support/. (Matlab / Simulink sources) http://www.home.agilent.com/agilent/ product. (Digital modulator / Demodulator Agilent E8408A VXI)

ISSN : 0975-5462

4831

También podría gustarte