Está en la página 1de 6

Circuitos Lógicos

CIRCUITOS LÓGICOS

Alumnos:
HUMBERTO RAMIREZ GASCA

DAVID CAMARENA MARTÍNEZ

Práctica No.1

“Compuertas”

Profesor:
Dr. Eduardo Cabal Yépez

Salamanca, Gto., 14 de Agosto de 2009.

Página 1
Circuitos Lógicos

Práctica No.1

“Compuertas”

Objetivo:

Implementar las compuertas lógicas básicas, así como derivadas en la


tarjeta Spartan 3

Introducción:

La condición por la que el álgebra de Boole tiene importancia capital


en nuestros días se debe al hecho de que los operadores lógicos
pueden ser realizados tecnológicamente con dispositivos
semiconductores (transistores) y por lo tanto, una función u operador
del álgebra de Boole tiene un equivalente tecnológico denominado
compuerta lógica.

Las compuertas son bloques del hardware que producen señales en


binario 1 ó 0 cuando se satisfacen los requisitos de entrada lógica.
Las diversas compuertas lógicas se encuentran comúnmente en
sistemas de computadoras digitales. Cada compuerta tiene un
símbolo gráfico diferente y su operación puede describirse por medio
de una función algebraica. Las relaciones entrada - salida de las
variables binarias para cada compuerta pueden representarse en
forma tabular en una tabla de verdad. Las compuertas básicas son:
AND, OR, NOT (ver Figura 1).

Ciertas operaciones entre los operadores lógicos básicos producen


operadores derivados. La combinación de los operadores OR y NOT
genera la operación NOR. El operador AND y el operador NOT forman
el operador NAND. Otro operador derivado es la OR exclusiva o XOR
(ver Figura 2).

Figura 1. Compuertas Básicas

Figura 2. Compuertas derivadas

Página 2
Circuitos Lógicos

Desarrollo:

1. Implementamos la compuerta AND en la tarjeta Spartan 3E,


el código de VHDL se muestra a continuación. La Figura 3
muestra una gráfica de la simulación hecha en el programa
Active-HDL

Figura 3. Simulación de la compuerta AND

Página 3
Circuitos Lógicos

Figura 4. Asignación de pines para la compuerta AND

Figura 5. Compuerta AND implementada

2. Implementación de varias compuertas en la tarjeta Spartan


3E, dicho código de VHDL se muestra a continuación:

Página 4
Circuitos Lógicos

Figura 5. Simulación de varias compuertas

Figura 6. Asignación de pines para varias compuertas

Página 5
Circuitos Lógicos

a) b)

c) d)

Figura 7. Implementación de varias compuertas a) Entradas 00, b) Entradas


01, c) Entradas 10 y d) Entradas 11

Página 6

También podría gustarte