Está en la página 1de 396

Y SISTEMAS DIGITALES

Carmen Baena Manuel Jess Bellido Alberto Jess Molina


Mara del Pilar Parra Manuel Valencia

ID

1
4
IC6
7448

A B C D

UA

o1 Q~

Q Q

9
a$ 43 n4 n4

IC

' .

v
z~

n,

cb4 oa

R2
10K

I1

B .R,
0 ' 9 9
(

A B

1 1

UNIVERSIDADE DE VIGO BIBLIOTECA


_ .. ,

1111111111 III III I II II

74

3V00072350
1 F

vcc

C-

q7

PROBLEMAS
DE CIRCUITOS
Y SISTEMAS DIGITALES
Carmen Baena Oliva
Manuel Jess Bellido Daz
Alberto Jess Molina Cantero
Mara del Pilar Parra Fernndez
Manuel Valencia Barrero
Departamento de Tecnologa Electrnica
Universidad de Sevilla

McGraw-Hill
MADRID BUENOS AIRES CARACAS GUATEMALA LISBOA MXICO
NUEVA YORK PANAM SAN JUAN SANTAF DE BOGOT SANTIAGO SO PAULO
AUCKLAND HAMBURGO LONDRES MILN MONTREAL NUEVA DELHI PARS
SAN FRANCISCO SIDNEY SINGAPUR ST . LOUIS TOKIO TORONTO

TABLA DE CONTENIDOS

PRLOGO

vi

1.

REPRESENTACIN Y CODIFICACIN BINARIA 1

2.

LGEBRA Y FUNCIONES DE CONMUTACIN 19

3.

ANLISIS DE CIRCUITOS COMBINACIONALES 35

4.

DISEO DE CIRCUITOS COMBINACIONALES 51

5.

SUBSISTEMAS COMBINACIONALES

6.

CIRCUITOS ARITMTICOS

7.

ANLISIS DE CIRCUITOS SECUENCIALES 169

8.

DISEO DE CIRCUITOS SECUENCIALES 197

9.

SUBSISTEMAS SECUENCIALES

229

10 .

MEMORIAS SEMICONDUCTORAS

263

11 .

INTRODUCCIN A LOS SISTEMAS DIGITALES 291

12 .

DISEO DE UNIDADES DE CONTROL

325

13

MISCELNEA

359

BIBLIOGRAFA

391

89
141

PRLOGO

Este ejemplar es un libro de problemas resueltos en el campo del Diseo Lgico . Como tal
libro de problemas ha sido concebido con la finalidad de ensear cmo se aplican los
conceptos y herramientas a casos concretos . Esto significa que nuestra atencin no se centra
en el desarrollo de la doctrina terica, sino en tratar de explicar cmo interpretar enunciados
de problemas ms o menos bien especificados y, empleando los conocimientos tericos
adquiridos por otras vas, resolver ese problema en particular y no otro . Como se ve, nuestros
objetivos primarios son potenciar las capacidades de aplicacin de la teora y la de resolucin
prctica de problemas .
En cuanto a la disciplina, el trmino Diseo Lgico alude a materias tan bien conocidas
como son los Circuitos y Sistemas Digitales o la Teora de Conmutacin . En ella se incluyen :
1) los fundamentos matemticos usuales (lgebra de Boole, representaciones binarias de nmeros y su aritmtica, codificacin binaria) ; 2) la presentacin, anlisis y diseo de circuitos
a nivel de conmutacin, tanto combinacionales como secuenciales ; y 3) la descripcin y realizacin de sistemas digitales a nivel de transferencias entre registros (RT), organizando el sistema como una unidad de procesado de datos y otra de control . Aunque claramente fuera del
contexto de este libro, las materias fronteras son, en el nivel inferior, el tratamiento elctrico
de las puertas lgicas y, en el nivel superior, la arquitectura de computadores, as como los sistemas multiprocesadores . La proliferacin de aplicaciones y el considerable aumento de la
complejidad experimentada por los circuitos digitales en los ltimos aos hacen inviable el cubrimiento completo de esta materia . Nuestro propsito ha sido desarrollar un conjunto de problemas que den soporte y fundamenten adecuadamente a todos los circuitos y tcnicas de Diseo Lgico .
Nuestro libro est pensado para un primer curso de Diseo Lgico, con aplicacin en
diversos estudios universitarios tales como Informtica (fundamentos del hardware) e Ingeniera Electrnica (realizacin de sistemas digitales) . Tambin es til en algunos campos cientficos, en concreto, los relacionados con la Teora de Conmutacin, la Teora de Autmatas y
la Aritmtica del Computador . Adems, al estar fuertemente enfocado a la resolucin de problemas, este texto tambin puede servir a profesionales que deseen realizar una puesta al da

vi

viii

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

rpida y eficiente en las realizaciones de circuitos y de sistemas digitales . El uso de este libro
no requiere conocimientos especficos previos ni en Electrnica, ni en Computadores, ni en
Matemticas avanzadas . Sin embargo, al ser un libro de problemas, el lector debe conocer a
nivel terico los conceptos, principios y tcnicas del diseo digital . En la actualidad hay disponibles suficientes libros que cubren satisfactoriamente los aspectos tericos de esta materia
(vanse las referencias que citamos) . A ellos deber acceder el lector para conocer los fundamentos tericos de este libro de problemas . No obstante, con el doble fin de resumir los conceptos ms importantes y de presentar la terminologa que utilizamos, en cada Captulo hay
una pequea presentacin terica . Adems, en los problemas que introducen materias, durante
su resolucin se detallan los nuevos aspectos tericos involucrados .
En la realizacin del libro hemos huido de los ejercicios puramente repetitivos, de los
excesivamente simples y de los de escasa entidad . Esto es debido a que, en nuestra experiencia,
es claramente preferible primar el nivel de profundidad de los problemas sobre la cantidad de
stos . Por otra parte y desde un punto de vista ms prctico, hemos establecido dos tipos de
ejercicios . En primer lugar hemos seleccionado un amplio conjunto de problemas para
resolverlos en detalle . Sobre ellos el lector aprender la metodologa de resolucin . Hemos
intentado que cada aspecto importante de la materia est cubierto por problemas bien
desarrollados . Posteriormente se presenta un segundo conjunto de problemas de los que slo
se ofrece la solucin final . Con ello se pretende que el lector se aventure en la resolucin de
stos y simplemente pueda comprobar la correccin de sus resultados .
La organizacin elegida obedece a un cubrimiento de la materia que va de abajo a arriba
(de forma similar a la metodologa "bottom-up"), avanzando desde lo ms simple a lo ms
complejo . En gran parte el material es autocontenido por lo que no se necesita ningn
prerrequisito .
Bsicamente la materia contenida en este libro de problemas est dividida en tres grandes bloques ms un Captulo final . El primero de los bloques (Captulos 1 al 6) corresponde a
circuitos combinacionales, el segundo (Captulos 7 al 10) a circuitos secuenciales y el ltimo
(Captulos 11 y 12), donde se aumenta significativamente la complejidad, a los sistemas digitales . Dentro de cada bloque hemos ordenado los problemas procurando ordenarlos para que
el lector pueda apoyarse en los ya realizados a la hora de abordar los que vengan a continuacin . As, cada bloque consta de varios Captulos, cada uno de los cuales contiene problemas
de una materia concreta . Los problemas de estos Captulos han sido desarrollados procurando
que el lector vaya aprendiendo a resolverlos dentro de esa materia . Por el contrario, el ltimo
Captulo est ideado con la finalidad de que el lector evale su nivel de conocimientos . Para
ello, por una parte, los problemas no se han ordenado segn la materia, de forma que el lector
no los site

a priori en un contexto predeterminado ; por otra, se incluyen algunos que afectan

a ms de una unidad temtica ; y, por ltimo, se presentan todos los enunciados juntos, cada
problema separado de su solucin, con el fin de que el lector tenga que ir a buscar explcitamente cada solucin .

PRLOGO

ix

Concretando, la organizacin de este libro de problemas es como sigue :


Captulo 1 .- Aplicacin de los conceptos bsicos como son los sistemas de numeracin
y la codificacin binaria . Estos problemas estn orientados a practicar con las representaciones
no decimales de magnitudes y las conversiones entre las distintas bases, as como la de nmeros con signo y fraccionarios incluyendo tanto el punto fijo como el punto flotante . Tambin
se tratan los principales cdigos binarios y decimales .
Captulo 2 .- Desarrollo de los problemas relacionados con el lgebra de Boole y con el
manejo de las funciones booleanas incluyendo demostraciones de teoremas e identidades, y las
diversas representaciones de funciones de n variables (tablas de verdad, mapas binarios y de
Karnaugh) y los teoremas para dichas funciones que dan lugar a las expresiones cannicas y
estndares .
Captulo 3 .- Anlisis de circuitos combinacionales, tanto a nivel puramente lgico como
temporal, incluyendo tcnicas especficas para el anlisis de circuitos con slo puertas NAND
o NOR .
Captulo 4.- Diseo de funciones . En l se aplican tcnicas de reduccin para obtener las
expresiones mnimas en suma de productos o producto de sumas (basadas en mapas de Karnaugh y en los mtodos de Quine-McCluskey y de Petrick) . Adems se presta una especial
atencin a la obtencin de los O's y los l's de una funcin cuando sta se da a travs de una
descripcin verbal de su comportamiento .
Captulo 5.- Presentacin de los subsistemas combinacionales de propsito especfico,
en particular los que convierten cdigos binarios (decodificadores, codificadores y convertidores de cdigos) y los comparadores . Tambin se incluyen los subsistemas de propsito general como son los multiplexores y los subsistemas programables (las memorias de slo lectura, los PLA's y los PAL's) . Los subsistemas se estudian desde tres perspectivas : cmo se construyen a nivel de puertas, cmo se analizan circuitos que los contienen y cmo se disean
funciones utilizndolos como componentes de la realizacin .
Captulo 6 .- Desarrollo de los problemas relacionados con la aritmtica binaria . En ellos
se muestran tanto las operaciones aritmticas (suma, resta, multiplicacin . . .) como los
circuitos combinacionales que las realizan (sumadores, sumadores-restadores y unidades
aritmtico-lgicas) .
Captulo 7 .- Presentacin del biestable tanto a nivel lgico (RS, JK, D y T) como a nivel
temporal (sin reloj, disparados por nivel, tipo Master-Slave y disparados por flanco) . Tambin
se aborda el anlisis de circuitos secuenciales . Se desarrollan tanto los circuitos sncronos o
con una nica seal de reloj, como los asncronos, incluyendo en stos los que operan mediante
entradas asncronas y los circuitos que poseen ms de una seal de reloj .

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Captulo 8 .- Diseo de circuitos secuenciales sncronos . Se muestran los distintos pasos


del proceso habitual de diseo, sistemtico en su mayor parte, y que consigue como resultado
un circuito de coste reducido u ptimo . Algunos de los problemas van encaminados a practicar
con determinados pasos del proceso mientras que otros muestran el proceso globalmente .
Captulo 9 .- Desarrollo de los problemas de anlisis de circuitos secuenciales construidos con contadores y registros, el diseo interno de estos dispositivos para que posean operaciones especficas, su realizacin mediante la asociacin de subsistemas semejantes de menor
tamao y el diseo en general de funciones secuenciales .
Captulo 10 .- Problemas de memorias semiconductoras . Bsicamente estn dirigidos al
uso de estas memorias y a la formacin de memorias "principales" por la asociacin de varios
de estos dispositivos (realizacin de mapas de memorias) .
Captulo 11 .- Introduccin al nivel de transferencia entre registros (nivel RT) y al diseo
de sistemas digitales . En particular, se tratan las formas de descripcin (notacin RT, cartas
ASM y lenguaje HDL), conectndolas con los bloques de circuitos funcionales, bsicamente
registros . Tambin se incluyen problemas sobre las tcnicas de interconexin entre registros
mediante buses y la realizacin de unidades de datos simples cuando se conoce su operacin
a nivel RT .
Captulo 12 .- Diseo de sistemas digitales completos, esto es, la unidad de datos y la de
control . En los primeros problemas se parte de una unidad de procesado de datos conocida y
hay que desarrollar una unidad de control adecuada . Finalmente se afrontan problemas de
diseo completo de sistemas digitales .
Captulo 13 .- Presentacin de problemas de las materias ya tratadas .

Captulo 1
REPRESENTACIN Y CODIFICACIN BINARIA

Los circuitos digitales operan con dos niveles de seal, la mayora de las veces una tensin baja
y otra alta . Desde el punto de vista matemtico decimos que operan con seales binarias y los
dos niveles se representan mediante 0 y 1 . Toda la informacin que ha de procesar un sistema
digital ha de expresarse mediante combinaciones de esos dos valores . En consecuencia, hay
que describir cmo se representan los entes mediante 0 y 1 (codificacin binaria) y, ms especficamente, por ser esencial en el clculo, cmo se representan los nmeros .
REPRESENTACIN POSICIONAL DE MAGNITUDES
Un sistema numrico se caracteriza por sus smbolos bsicos ; estos son llamados dgitos, cada
uno de los cuales representa una determinada cantidad de unidades . A su vez, cada cantidad
puede expresarse mediante una secuencia de tales dgitos . En algunos sistemas la posicin ocupada por cada uno de los dgitos dentro de la secuencia est asociada a un valor determinado
(peso) . Decimos entonces que se trata de un sistema de representacin posicional .
Un sistema numrico de base r es un sistema posicional de representacin donde los
pesos de los dgitos son potencias de r. As, una magnitud M puede representarse en la base r
de la siguiente forma :
M = dn-1 d n_2 . . . d1 do . d_1 d-2 ... d_m (r
n-1
siendo d; un dgito de dicha base y cumplindose que d i e {0, 1, . . ., r-1} y M =
d . r1 .
j -m
Para realizar cambios entre distintas bases existen diversos mtodos . En este Captulo se
usan fundamentalmente los siguientes :
n -1
- Para cambiar de base r a base 10, se aplica la frmula : M =
Y,
r .

d.

j= -m
- Para cambiar de base 10 a base r, se utiliza el mtodo de las divisiones sucesivas para
obtener la parte entera y el mtodo de las multiplicaciones sucesivas para obtener la parte fraccionaria .

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

- Para cambiar de una base arbitraria rl a otra r 2 , se pasa en primer lugar de rl a 10 y


despus de 10 a r2 .
- Para cambiar entre las bases 2, 8 y 16 (potencias de 2) se utiliza un mtodo de agrupacin de bits .

REPRESENTACIN DE NMEROS CON SIGNO


De entre las notaciones existentes para expresar nmeros con signo nos hemos centrado en las
notaciones signo-magnitud, complemento a 1 y complemento a 2 . En algunos aspectos que detallaremos a continuacin las tres notaciones son similares . Se designa un bit especial denominado bit de signo (bs ) cuyo valor es 0 en nmeros positivos y 1 en nmeros negativos . En nmeros positivos los dems bits representan la magnitud :
A = n-1 a n _2 . . . al a0 . a_ 1 a_2 . . . a- m/
l
bit de signo

T
magnitud

La forma de representar los nmeros negativos es distinta para las tres notaciones :
- En la notacin signo magnitud b s se hace igual a 1 y el resto de bits representan de
nuevo la magnitud :

- A = 1 a 1 a n_2 . . . al a0 . a-1 a-2 . . .a_T


1

T
bit de signo

magnitud

- En la notacin complemento a 1, el nmero negativo es el complemento a 1 del correspondiente nmero positivo :


-A= Cal (A) = 1 an_ l a n _ 2 . . . al ao . a-1 a-2 . . . a_ m
- En la notacin complemento a 2, el nmero negativo es el complemento a 2 del correspondiente nmero positivo :
-m
- A = Ca2(A) = Cal (A) + 2
REPRESENTACIN DE NMEROS EN PUNTO FLOTANTE
La representacin en punto (o coma) flotante se basa en la notacin exponencial o cientfica .
En dicha notacin los nmeros se expresan en la forma M = m x b e (m mantisa, b base, e exponente) . Esto permite expresar cantidades de muy distinto tamao de forma compacta, por
ejemplo, la masa del sol : 1 .989 x 1030 Kg o la carga del electrn : -1 .602 x 10 -19 C . Si se supone conocida la base, basta representar los valores de mantisa y exponente . Esto es lo que se
hace cuando se representan nmeros en punto flotante .
Una cantidad se puede expresar de muchas formas distintas en notacin exponencial, por
ejemplo la velocidad de la luz, c, es 3 x 10 8 m/s 0 .003 x 10 11 m/s 3000,n 10 m/s, etc . Para
trabajar con nmeros en punto flotante se suele adoptar un convenio acerca de cul de las
mltiples expresiones de la forma m x be es la que se escoge . En este Captulo trabajaremos
con mantisas cuyo dgito ms significativo es "no nulo" (notacin normalizada) . Por ejemplo,

REPRESENTACIN Y CODIFICACIN BINARIA

supongamos que disponemos de 5 dgitos para la mantisa, representaciones normalizadas de c


seran : 3 .0000 x 108 3000 .0 x 105 30000 x 10 4, pero no lo sera 0 .0030 x 10 11
0.00003 x 10 13 . Sin embargo, an es necesario adoptar un segundo convenio para elegir una
entre las diversas representaciones normalizadas . Ese convenio se refiere a concretar cul es
la posicin del punto decimal de la mantisa . En este texto se trabaja con dos convenios :
- Notacin fraccionaria : el punto decimal est a la izquierda del primer dgito representado de la mantisa, en nuestro ejemplo : 0 .30000 x 109 .
- Notacin entera : el punto decimal est a la derecha del ltimo bit representado de la
mantisa, en nuestro ejemplo : 30000 x 104.
CODIFICACIN BINARIA
Por codificacin binaria se entiende la representacin de un conjunto de entes, numricos o no
numricos, mediante palabras de n bits . Ahora presentaremos algunos cdigos binarios de cada
tipo .
La conversin entre la base 2 y la base 8 16 se realiza por agrupacin de bits . Por extensin cualquier cdigo binario puede representarse mediante los dgitos de dichas bases . As
podemos hablar de cdigo octal y cdigo hexadecimal .

0
1
2
3
4
5
6
7

cdigo
octal
000
001
010
011
100
101
110
111

0
1
2
3
4
5
6
7

cdigo
hexadecimal
0000
0001
0010
0011
0100
0101
0110
0111

8
9
A
B
C
D
E
F

cdigo
hexadecimal
0000
0001
0010
0011
0100
0101
0110
0111

Entre los cdigos ms utilizados se encuentran los llamados cdigos decimales . Estos
asignan a cada uno de los dgitos de la base 10 una palabra binaria . Con su utilizacin se evita
el proceso de conversin entre base 2 y base 10, aunque el nmero de bits precisado para expresar una cantidad es, en general, mayor . En la siguiente tabla se muestran algunos ejemplos :
dgito decimal

BCD natural

BCD exceso 3

2 de 5

7 segmentos

0
1
2
3
4
5
6
7
8
9

0000
0001
0010
0011
0100
0101
0110
0111
1000
1001

0011
0100
0101
0110
0111
1000
1001
1010
1011
1100

00011
00101
00110
01001
01010
01100
10001
10010
10100
11000

1111110
0110000
1101101
1111001
0110011
1011011
0011111
1110000
1111111
1110011

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Otro cdigo de gran inters es el cdigo Gray (o cdigo reflejado) de n bits . En las
siguientes tablas se muestran los casos n = 3 y n = 4 . Puede observarse en ellas la particularidad
de que las palabras asignadas a dos nmeros consecutivos se diferencian nicamente en 1 bit .
Se trata por tanto de un cdigo con distancia unidad .
cdigo
Gray(n=3)
0
000
0
1
001
1
2
011
2
3
4
5
6
7

010
110
111
101
100

3
4
5
6
7

cdigo
cdigo
Gray(n=4) Gray(n=4)
0000
8
1100
0001
9
1101
0011
10
1111
0010
0110
0111
0101
0100

11

1110

12

1010

13
14
15

1011
1001
1000

Como ejemplo de cdigo alfanumrico, en este texto se usa el cdigo ASCII . Mediante
este cdigo de 7 bits es posible codificar las 26 letras del alfabeto, tanto maysculas como minsculas, los 10 dgitos decimales, caracteres como <, @ , secuencias de control como ESC,
NULL, etc . A continuacin se muestran algunos ejemplos :
smbolo

cdigo ASCII

smbolo

cdigo ASCII

A
B
a
b
0

1000001
1000010
1100001
1100010
0110000

0110001
0111100
1000000
0011011
0000000

ESC
NULL

A cualquiera de los cdigos anteriores se les puede aadir un bit de paridad . El valor de
dicho bit se asigna de forma que el nmero total de unos en la palabra sea par (hablamos
entonces de bit de paridad par) o impar (hablamos entonces de bit de paridad impar) .
ndice del Captulo
Este Captulo desarrolla problemas de las siguientes materias :
- Representacin posicional de magnitudes .
- Conversin entre bases .
- Codificacin binaria .
- Nmeros con signo .
- Nmeros fraccionarios en punto flotante .
PROBLEMAS RESUELTOS
Problema 1 .- Recientemente se ha rescatado una extrasima nave espacial que provena
de los confines de la constelacin Ophiocus . Tras mltiples esfuerzos, nuestros cientficos
han logrado deducir algunos datos sobre la civilizacin que la construy . En vez de dos
brazos, sus criaturas posean uno slo que terminaba en una "mano" con un nmero 8 de

REPRESENTACIN Y CODIFICACIN BINARIA

dedos . En un cuaderno que encontraron en la nave haba escrito:


"5X2 - 50X+ 125= 0 -4 X t = 8, X2 = 5"
Suponiendo que tanto el sistema de numeracin como las matemticas extraterrestres
tengan una historia similar a los desarrollados en la Tierra, cuntos dedos (B) posean?
Solucin Pl .-Debemos encontrar un sistema de numeracin B en el cul se verifique que 8 y
5 son soluciones a la ecuacin encontrada .
En un sistema posicional de base B una secuencia de dgitos, d n_ 1 d n _2 . . . d l do, repre-

n-1
senta a una magnitud M si se cumple que M

d . B~ .

_ -M
Aplicando dicha frmula a los coeficientes de la ecuacin : 5, 50 y 125, obtenemos la
siguiente :

5 X2 -(5 B +0) X +(1 B2 +2 B +5)=0


Sustituyendo los valores X 1 = 8 y X2 = 5 en la variable X :

5 .82-(5 B +0) 8 +(1 B 2+2 B +5)=0


5 . 52 -(5 . 8+0) 5 +(1 B2+2 B +5)=0
Basta resolver el sistema formado por estas dos ecuaciones para encontrar que el nico
valor de B que satisface ambas es B = 13 . Por tanto, los extraterrestres de Ophiocus posean 13
dedos en su nico brazo .

Problema 2.- Represente posicionalmente la cantidad "diecisis unidades" en las bases 3, 7,


8 y 16.
Solucin P2 .- La cantidad "diecisis unidades" en base 3 deber cumplir (utilizando la notacin decimal en las operaciones) :
16= . . .+d3 . 3 3 +d 2 .3 2 +d 1 . 3 1 +1 . 3 0 +d_ 1 3 -1 + . . .

con di =0,12 .

Para obtener los valores de los dgitos d i hay dos mtodos :


1) Comprobar valores de d i hasta que la suma sea igual a la magnitud . En nuestro caso :
16=1 . 3 2 +2 . 3 1 +1 . 3 0 =121 (3
2) Mediante divisiones sucesivas para la parte entera y multiplicaciones sucesivas para
la parte fraccionaria . En nuestro caso sera :

do d i

d2

d3

Con lo que 16 = . . .0121 (3 = 121 (3 .


Ntese que sin ms que sustituir el dividendo por la suma del divisor por el cociente y
del resto, se obtiene la expresin general .

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Operando de la misma forma para los dems casos obtenemos :


16=2 . 7 1 +2 . 70 =22(7
16 = 2 . 8 1 + 0 . 80 = 20(8
16 = 1
En general,

"r unidades"

en base

16' +0 160 = 10(16

r se

representa 10 (r

Problema 3 .- Represente el nmero decimal 23 .75 en las bases 2, 5, 6, 8 y 16 .


Solucin P3.- Obtendremos en primer lugar la representacin de la parte entera por el mtodo
de las divisiones sucesivas . Para pasar a base 2 :
23

t
11

do d i

`I '
C_2
1
0
1
v v

d2

d3

d4

Por tanto : 23 (10 = 1011 l(2


Igualmente para las otras bases obtenemos :
23 (10 = 43 (5 = 35 (6 = 27 (8 = 17 (16
En cuanto a la parte fraccionaria, ha de obtenerse mediante el mtodo de las multiplicaciones sucesivas . En el caso del paso a base 2 :
0 .75

2 = 1 .5

La parte entera de esta cantidad es d_ 1 ; la parte fraccionaria es la que se multiplica por


la base en el paso siguiente :
0 .5

2 = 1 .0

La parte entera, en esta ocasin, nos da el bit d_ 2 . Como la parte fraccionaria es 0, todas
las siguientes multiplicaciones daran como resultado 0 y, por tanto, el resto de los bits
(d_ 3 , d_4 , . . .) son iguales a 0 .
Por tanto :
Para base 5 :

0 .75 (10 =0 .11 (2

23 .75 (10 = 10111 .1 l (2

0 .75 5 = 3 .75 - d_, = 3


0 .75 . 5=3 .75--> d_2=3=d_3= . . .

por tanto, 23 .75 (10 = 43 .333 . . . (5


Para base 6 :

0 .75

6 = 4 .5 - d_ 1 = 4

0 .5 . 6=3 .0 -4d_3=3,d_4=0=d_5= . . .
por tanto, 23 .75 (10 = 35 .43 6
Para base 8 :

0 .75

8 = 6 .0 - d_, = 6, d_ 2 = 0 = d_ 3 = . . .

por tanto, 23 .75 (10 = 27 .6 (8

REPRESENTACIN Y CODIFICACIN BINARIA

0 .75 16 = 12 .0 -+ d_, = 12, d_ 2 = 0 = d_3 = . . .

Para base 16 :

por tanto, 23 .75 (10 = 17 .C (16

Problema 4 .- Convierta los siguientes nmeros a base 10:


a) 100.111010 (2; b) 50(8, c) 101 .1(2; d) 198 F(16Solucin P4 .- Para convertir a base 10 basta sustituir el valor de la base y de los dgitos en la

expresin

M =

n-1
E d . r1

y realizar las operaciones .

j = -m
a) 100 .111010 (2 = 1 2 2 + 1 2 -1 + 1 2 -2 + 1 2-3 + 1

2-5

= 4 .90625 (1 0

b)50 ( 8=5 8+0=40 (1 0


c)101 .1 (2 =1 2 2 +1 2 0 +1 2 -1 =5 .5 ( 10
d) 198F(16 = 1

16 3 + 9 16 2 + 8 16 1 + 15 160 = 6543(, 0

Problema 5.-Se cuenta que un rey, encantado con el juego, ofreci al inventor del ajedrez el
premio que desease . El inventor slo pidi 1 grano de arroz por la primera casilla del tablero,
2 granos por la segunda, 4 por la tercera y as, el doble cada vez, hasta llegar a la ltima casilla (la nmero 64) . Los matemticos del reino concluyeron que no haba arroz suficiente para
pagar al inventor. Sabra decir cuntos granos de arroz se necesitaban?
Solucin P5.-La cantidad pedida M es, en base 2, el nmero compuesto por 64 unos :
63
M=1 1 . . .1 1 1 1 ya que en ese caso M=1 20 +1 2 1 +1 2 2 + . . .+1 2
Esta cantidad es una unidad menos que la representada por un 1 seguido de 64 ceros .
19
Entonces :
M = 264 - 1 = 1 .844674407 x 10

Problema 6.- Cuntos bits son necesarios como mnimo para representar cada uno de los
siguientes nmeros decimales?
50, 1000, 5000, 100000 y 1000000.
Solucin P6 .- Para calcular el nmero mnimo n de bits que representa la magnitud M, tengamos en cuenta que n ha de cumplir la siguiente desigualdad :

2n-1-1 <M<-2 n -1
El valor de n puede deducirse de dos formas :
1) A partir de la expresin n = r 192 (M + 1)1
de x .
2) Por bsqueda en la tabla de potencias de 2 .

donde [xl es el entero por exceso

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Para los nmeros decimales propuestos tendremos :


M

50
1000
5000
100000
1000000

6
10
13
17
20

Problema 7.-Convierta el nmero binario 10110110011 .10110 a las bases 4, 8 y 16 ; el


nmero 372.105 en base 8 a base 2, 4 y 16 y el nmero FO .A en base 16 a base 2, 4 y 8 .
Solucin P7 .- Para convertir un nmero de base 2 a base 4, basta agrupar a partir del punto
fraccionario de 2 en 2 bits y convertir cada grupo a base 4 . De la misma forma, para convertir
a base 8 16 se agrupan de tres en tres o de cuatro en cuatro bits respectivamente . Entonces :
1 01 10 11 00 11 .10 11 0

1 1 2 3 0 3 . 2 3 0 (4

10 110 110 011 .101 10

2 6 6

3.

5 4 (8

101 1011 0011 .1011 0

3.

0 (16

Para pasar de bases 4, 8 16 a base 2, se hace la descomposicin inversa . Por otra parte,
la conversin entre las bases 4 y 16 tambin se realiza de la misma forma . Sin embargo, para
pasar de base 8 a base 4 16, o viceversa, conviene pasar antes a base 2 .
Por tanto :
372 .105 (8 = 011 111010 . 001 000 101 (2 = 3322 .020224 = FA .228( 16
F0.A( 16 = 11110000- 1010(2 = 3300 .22 (4 = 360 .50 (8

Problema 8.-En la colonia humana de Ganimedes la energa se obtiene con pilas atmicas
de exactamente 1 Kg de peso . Las pilas son enviadas desde Tritn en 6 cajas de 50 pilas cada
una .
a) Tras un envo se avisa a Ganimedes que, por error, una de las cajas contiene pilas
malas con 1 g de menos. Deben detectarla y reenviarla a Tritn . Los operadores de Ganimedes deciden detectarla mediante una sola pesada . Cmo?
b) Tiempo despus y tras otro envo, el aviso es que una o ms cajas contienen pilas
malas con 1 g de menos . Cmo podrn ahora detectar las cajas errneas con slo una
pesada?
Solucin P8.
a) Identifiquemos cada una de las seis cajas con una letra : caja A, caja B, caja C, caja D,
caja E y caja F . Si pesamos 1 pila de la caja A, 2 de B, 3 de C, 4 de D, 5 de E y 6 de F, la
cantidad de gramos que falten para un nmero entero de Kg indica la caja errnea .
b) En este caso ser necesario tomar 1 pila de A, 2 de B, 4 de C, 8 de D, 16 de E y
32 de F . Con esto, el nmero de gramos que faltan para un nmero entero de Kg representados

REPRESENTACIN Y CODIFICACIN BINARIA

en base 2 indica las cajas errneas . Por ejemplo, supongamos que las cajas errneas son A, B,
D y F : entonces, faltarn 1 + 2 + 8 + 32 = 43 g . El nmero 43 expresado en binario es : 101011
lo que sealara a las cajas F - D - B A .

Problema 9 .- La figura representa 6 cartas con las que se pretende hacer un juego de magia .
Alguien debe pensar un nmero y, sin decir cul es, debe indicar las cartas donde el numero
est presente . Conociendo slo esto, se podr adivinar el nmero pensado . Por ejemplo, si
est en las tarjetas A, D, F y G, se trata del nmero 75 . Sabiendo que el juego se basa en la
representacin binaria de magnitudes :
a) Explquelo .
b) Cmo lo cambiara si quiere incluir hasta el nmero 123? Ysi incluye hasta el200?

' 64 65 66 67 68 69~ "'32 33 34 35 36 37~


38 39 40 41 42 43
70 71 72 73 74 75
44 45 46 47 48 49
76 77 78 79 80 81
50 51 52 53 54 55
82 83 84 85 86 87
565758596061
88 89 90 91 92 93
62 63 96 97 98 99
94 95 96 97 98 99
A

~45671213 "\~
14 15 20 21 22 23
28 29 30 31 36 37
38 39 44 45 46 47
52 53 54 55 60 61
626368697071
76 77 78 79 84 85
86 87 92 93 94 95

6 17 18 19 20 21 1 ,11 8 9 10 11 12 13
14 15 24 25 26 27
22 23 24 25 26 27
28
29 30 31 40 41
28 29 30 3148 49
42
43 44 45 46 47
50 51 52 53 54 55
56
57
58 59 60 61
565758596061
62
63
72 73 74 75
62 63 80 81 82 83
76
77
78 79 88 89
84 85 86 87 88 89
90
91
92 93 94 95~
90 91 92 93 94 95

%23671011
14 15 18 19 22 23
26 27 30 31 34 35
38 39 42 43 46 47
50 51 54 55 58 59
626366677071
74 75 78 79 82 83
86 87 90 91 94 95
98 99

13
25
37
49
61
73
85

1357911
15 17 19 21
27 29 31 33
39 41 43 45
51 53 55 57
63 65 67 69
75 77 79 81
87 89 91 93
97 99

23
35
47
59
71
83
95

Solucin P9.
a) El mayor nmero, el 99, se representa en binario con 7 bits, concretamente como
99 (2 = 1100011 .
De aqu que haya 7 tarjetas (A, B, C, . . ., G) cada una encabezada por una potencia de 2
(2 6 = 64 para A, 25 = 32 para B, 2 4 = 16 para C, etc) . El resto de nmeros en cada tarjeta son
aquellos cuya representacin en base 2 contiene un 1 en la posicin de la potencia correspondiente a la tarjeta . As el 99 estar en las tarjetas A, B, F y G pero no en las otras . El nmero
75 (= 64 + 8 + 2 + 1) estar slo en las tarjetas A, D, F y G ; etc .
b) El 123 precisa tambin 7 bits por lo que no hay que aumentar el nmero de tarjetas .
A cada una de stas habra que incorporar los nuevos nmeros (del 100 al 123) de la forma
explicada antes ; por ejemplo : el 111 (10 = 1101111 (2 se incorporara a A, B, D, E, F y G .

10

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Para aadir hasta el 200 se necesitara una nueva tarjeta encabezada por 128 = 27 , ya que
para representar nmeros mayores de 128 se precisan 8 bits .

Problema 10.- Represente el 6 en los siguientes casos:


Cdigo Gray asumiendo que se representan del 0 al 7.
Cdigo Gray asumiendo que se representan del 0 al 9 .
c) Cdigo Gray asumiendo que se representan del 0 al 15 .
En cdigo ASCII.
En cdigo ASCII con paridad par.
f) En cdigo ASCII con paridad impar.
En cdigo "2-out-of-5" .
Solucin P10 .- El cdigo Gray es un cdigo reflejado de distancia unidad que utiliza el
mnimo nmero de bits necesarios . La distancia unidad implica que dos nmeros consecutivos
tienen cdigos adyacentes (slo se diferencian en un bit) . Por otra parte, el ser un cdigo
reflejado, implica simetra respecto a la mitad de los nmeros representados, con lo que, dos
nmeros simtricos tienen cdigos adyacentes .
a) Para representar los nmeros del 0 al 7 necesitaremos 3 bits . Por tanto, el cdigo Gray
ser :
000 001 011 010
0

110 111 101 100

4 5

(eje de simetra)
b) y c) Para representar tanto los diez nmeros del 0 al 9, como los 16 nmeros del 0 al
15 se necesitan 4 bits, con lo que el cdigo Gray a utilizar es el de 4 bits . Al ser un cdigo reflejado, para asignar valores del cdigo a los diez nmeros (0-9) lo haremos con los 10 cdigos
centrales, tal como se muestra . En la codificacin de los 16 nmeros (0-15) ocupamos los 16
cdigos existentes .

0000 0001 0011 0010 0110 0111 10101 0100


b) c) 0

0
1

1
4

2
5

4
7

1100 1101 1111 1110 1010 1011 1001 1000


5

10

11

12

13

14

15

(eje de simetra)
d) El cdigo ASCII consta de 7 bits y representa 26 letras minsculas, 26 letras maysculas, 10 dgitos decimales, 32 caracteres especiales y 34 comandos . La codificacin procede
de un convenio y, en concreto, el cdigo del 6 es 0110110 que, expresado en cdigo hexadecimal, es $36 .
e) Para un cdigo de n bits, incluir la paridad supone aadir 1 bit adicional a los n anteriores que se llama bit de paridad . Su fin es hacer que el nmero total de unos en el cdigo

REPRESENTACIN Y CODIFICACIN BINARIA

11

(ahora de n + 1 bits) sea par en el caso de paridad par o impar en el caso de paridad impar .
La posicin del bit de paridad es convenida previamente ; por ejemplo, ponemos el bit
de paridad en primer lugar .
El cdigo ASCII de paridad par para el 6 ser 00110110 (aadimos un 0 para tener un
total de cuatro unos) . En hexadecimal ser $36 .
f) El cdigo ASCII de paridad impar para el 6 ser 10110110 (aadimos un 1 para tener
un total de cinco unos) . En hexadecimal, $B6 .
g) El cdigo 2-out-of-5 representa los 10 dgitos decimales mediante 5 bits de los que
tres son 0 y dos son 1 . La codificacin es la mostrada a continuacin :
nmero

cdigo

0
1
2

00011
00101
00110
01001
01010
01100
10001
10010
10100
11000

3
4
5
6
7
8
9

Problema 11 .- Determine el bit de paridad impar para cada uno de los 10 dgitos decimales
en el cdigo 8, 4, -2, -1 .
Solucin P11 .-En la siguiente tabla, se muestra la codificacin para cada dgito decimal en el
cdigo pesado 8, 4, -2, -1, junto con el bit de paridad que hay que generar para que en cada
dgito haya un nmero impar de 1 .

dgito

84-2-1

0
1
2
3
4
5
6
7
8
9

0000
0111
0110
0101
0100
1011
1010
1001
1000
1111

1
0
1
1
0
0
1
1
0
1

12

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 12.- Obtenga el complemento a 1 y a 2 de los siguientes nmeros binarios :


1010101, 0111000, 0000001, 10000, 00000 .
Solucin P12 .- Dado B = b n- 1 b n _2 . . .b 1 b 0 se obtienen su complementos a 1 y a 2 .
El complemento a 1 se obtiene como Cal(B) = bn-1bn-2 . . . blbo
El complemento a 2 puede obtenerse de dos formas : sumando 1 al complemento a 1 (ya
que Ca2(B) = Cal (B) + 1) dejando iguales todos los bits menos significativos hasta llegar al
primer bit igual a 1 (que tambin se deja igual) y complementando los bits restantes .
Para las palabras propuestas :
palabra

compl . a 1

compl . a 2

1010101
0111000
0000001
10000
00000

0101010
1000111
1111110
01111
11111

0101011
1001000
1111111
10000
00000

Problema 13 .- Obtenga el complemento a 9 y a 10 de los siguientes nmeros decimales :


13579, 09900, 90090, 10000, 00000.
Solucin P13.- Se define Ca9(N) = (Ion - 1) - N . De esta definicin podemos inferir que si N
= Nn_1Nn_2 . . .N1N0, entonces Ca9(N) = (9 - N n_ 1 )(9 - N n_2 ) . . .(9 - N 1 )(9 - N 0) .
Por otra parte CalO(N) = 10 n - 1 = Ca9(N) + 1
Para las cantidades propuestas en el enunciado :
nmero

compl . a 9

compl . a 10

13579
09900
90090
10000
00000

86420
90099
09909
89999
99999

86421
90100
09910
90000
00000

Problema 14.- Represente con el mnimo nmero de bits posibles los siguientes nmeros decimales en notacin binaria, signo-magnitud, complemento a 1 y complemento a 2 :
a) 122, b) 64 ; c) 15; d) 37
Solucin P14 .- La representacin binaria con n bits permite representar los nmeros comprendidos entre 0 y 2 n-1 , siendo una representacin sin signo . Esto es, no podemos representar +N
ni -N sino slo N . En particular, operando como en el problema 2 :
a) 122 = 1111010 (2
b) 64 = 1000000(2
c) 15 = 1111(2
d) 37 = 100101(2

REPRESENTACIN Y CODIFICACIN BINARIA

13

La representacin signo-magnitud aade un bit de signo (0 para + y 1 para -) a la representacin binaria de la magnitud, situando ese bit de signo en la posicin ms significativa.
Entonces, con n bits pueden representarse todos los nmeros enteros comprendidos entre
- (2 n-1 - 1) y + (2n-1 -1) . En particular,
a)+122=01111010
-122=11111010
b) + 64 = 01000000
- 64 = 11000000
c)+15=01111
-15=11111
d)+37=0100101
-37=1100101
La representacin complemento a 1 sigue el siguiente convenio :
- Un nmero positivo se representa igual que en signo-magnitud .
- Un nmero negativo se representa complementando a 1 el correspondiente nmero
positivo . Con n bits pueden representarse todos los nmeros enteros comprendidos entre
- (2 n-1 - 1) y + (2 n-1 - 1) . En particular,
a) + 122 = 01111010
- 122 = 10000101
b) + 64 = 01000000
- 64 = 10111111
c)+15=01111
-15=10000
d)+37=0100101
-37=1011010
La representacin en complemento a 2 sigue el siguiente convenio :
- Un nmero positivo se representa como en los casos anteriores .
- Un nmero negativo se representa mediante el complemento a 2 del correspondiente
n-1
nmero positivo . Con n bits pueden representarse los 2 n nmeros comprendidos entre - 2
y + (2 n- -1) . En nuestro caso,
a) + 122 = 01111010
b) + 64 = 01000000

- 122 = 10000110
- 64 = 1000000
-15=10001
-37=1011011

c)+15=01111
d)+37=0100101

Problema 15 .- Se dispone de palabras de 10 bits . Sobre ellas se escriben nmeros fraccionarios en punto fijo dedicando 3 bits a la parte fraccionaria . Represente los siguientes nmeros en las notaciones signo-magnitud, complemento a 1 y complemento a 2, en los dos casos
siguientes : a) Redondeando el valor; b) Truncando el valor .
Nota: Para los nmeros negativos, obtenga primero el valor de la magnitud y, despus, aplique la notacin .
1)+27.625
3)+33.3
5)+45.67
7)+45 .7
2)-27.625
4)-33.3
6)-45.67
8)-45 .7
Solucin P15 .

1) + 27 .625 = 0011011 . 101(2, en este primer caso, no es necesario redondear ni truncar


la parte fraccionaria pues slo hay tres dgitos en la parte fraccionaria del nmero exacto . Por
tanto, la representacin con 10 bits (7 para la parte entera y 3 para la fraccionaria) sera :
010111110

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

14

2) - 27 .625 = 1011011 .101 S-m = .010c


.,
1100100 1 = 1100100 - 011 , ., 2-

3) + 33 .3 = 0100001 .0100 . . . truncando en 3 bits para la parte fraccionaria : 0100001 .010,


redondeando se obtiene el mismo valor ya que el valor exacto en el bit b-4 es 0 .
4) - 33 .3 = 1100001 .01 Os-n] = 1011110 .101 101,. a 1 = 1011110: 110, . a 25) + 45 .67 = 0101101 .10101 . . . truncando en 3 bits para la parte fraccionaria :

0101101 .101, redondeando : 0101101 .110 .


6) - 45 .67 = 1101101 .101 S _ m = 1010010 .010c . a 1 = 1010010.011 c . a 2 (truncando) .
-45 .67 = 1101101 .110 s _ m = 1010010 .001, . a 1 = 1010010-010, .a2 (redondeando) .
7) + 45 .7 = 0101101 .1011 truncando en 3 bits para la parte fraccionaria : 0101101 .101

y redondeando : 0101101 .110 .


8) - 45 .7 = 1101101 .1 l OS-n1 = 1010010 .001, . a 1 = 1010010 .010, . a 2 (truncando) .
- 45 .7 = 1101101 .1 l OS-n1 = 1010010 .001c . a 1 = 1010010 .01 Oc . a 2 (redondeando) .

Problema 16.- Se dispone de 30 bits para escribir nmeros en notacin exponencial . De ellos
se destinan 21 a la mantisa y 9 al exponente . Mantisa y exponente se escriben en notacin
signo-magnitud.
a) Determine los rangos de valores decimales que se pueden escribir .
b) Represente en BCD los siguientes nmeros :
1 . Velocidad de la luz en mis (3x10 8).
2. Carga del electrn en culombios (- 1,602x10 -19) .
-31) .
3. Masa del electrn en kilogramos (9,109x10
4 . Aceleracin de la gravedad en mis 2 (9,807) .
5. Cero.
6. Infinito .
Solucin P16 .- En notacin exponencial los nmeros se expresan en la forma : M = m x be (m
mantisa, b base, e exponente) . En nuestro caso, hay que representar las cantidades pedidas en
BCD . Por tanto la base es decimal . Cada dgito BCD es codificado por 4 bits . Disponemos de
21 bits para la mantisa de los cuales uno es para el signo, los otros 20 bits nos permiten almacenar 5 dgitos BCD . En cuanto a la parte fraccionaria, tenemos 9 bits, uno para el signo y 8
para dos dgitos BCD . Por tanto, el espacio disponible se distribuye de la siguiente forma :
mantisa

Sm

exponente

Se
Utilizaremos normalizacin fraccionaria, es decir, el punto decimal se encuentra a la iz-

quierda del primer dgito representado y ese primer dgito ha de ser no nulo .
a) El rango de valores positivos que se puede representar viene dado por el menor nmero representable : mantisa + 10000 y exponente - 99 que corresponde al 0 .1 x 10 -99 , y el
99
mayor representable : mantisa + 99999 y exponente + 99 que corresponde al 0 .99999 x 10
Por tanto el rango cubierto es [0 .1 x 10-99 , 0 .99999 x 1099 ] .
-99 ]
En cuanto al rango de valores negativos, ser [- 0 .99999 x 1099 , - 0 .1 X 10

REPRESENTACIN Y CODIFICACIN BINARIA

15

b) Las cantidades propuestas quedan :


1) 3 x 10 8 , normalizado -* 0 .3 x 109 , los 30 bits sern :

0011100001000010000 0000

010000 1001

mantisa

exponente
-18
2) - 1 .602 x 10-19 , normalizado - - 0 .1602 x 10
, los 30 bits sern :
1 0001101101000010010_ 0000

1100011 1000

3) 9 .109 x 10-31 , normalizado -4 0 .9109 x 10-30, los 30 bits sern :


01100110001100001100110000

0011 0000

4) 9 .807, normalizado -* 0 .9807 x 10 1 , los 30 bits sern :


0 1001 1000 000110111 0000

000010001

5) Por convenio, cero, es el nico nmero con el primer dgito de la mantisa a 0 . (Normalmente se ponen todos los dgitos de la mantisa y el exponente a 0, pero bastara slo con
fijar a cero el primer dgito de la mantisa) .
xl00001xxxxlxxxxlxxxxlxxxx

xlxxxx xxxx1

6) Infinito . Con signo positivo, por convenio viene dado por el mayor nmero representable . Con signo negativo, ser el menor representable :
+ infinito
- infinito

10011100111001 1001 10011


1 100111001110011100111001
mantisa

011001 1001
101100111001
exponente

Problema 17.- Represente el nmero (+ 31 .5) 10 con un coeficiente entero normalizado de 13


bits y un exponente de 7 bits como :
a) Un nmero binario (asuma base 2) .
b) Un nmero octal binario codificado (asuma base 8) .
c) Un nmero hexadecimal binario codificado (asuma base 16) .
Solucin P17 .
a) 31 .5 ( 10 = 11111 .1(2 pero hemos de escribirlo en forma exponencial de manera que la
mantisa tenga 13 bits (incluido el bit de signo) y el exponente 7 bits (incluido bit de signo) :
_7 (2
31 .5 (10 = 0111111000000 x 2
Entonces la mantisa, de 13 bits, es : 0 1111110000000 y el exponente, de 7 bits, es :
1000111 .

16

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

b) 31 .5 (10 = 37 .4 (8 , tambin hemos de escribirlo en forma exponencial de manera que la


mantisa tenga 13 bits (incluido el bit de signo) y el exponente 7 bits (incluido bit de signo) . Sin
embargo, en este caso se trata de dgitos octales, y cada dgito octal se codifica mediante tres
bits . Por tanto, hemos de escribirlo en forma exponencial de modo que la mantisa tenga 4 dgitos octales (+ el bit de signo son un total de 13 bits) y el exponente 2 dgitos octales (+ el bit
de signo hacen un total de 7 bits) . Entonces :
8-2(8,
con lo que la mantisa quedara : 0 011 111 100 000 y el exponen31 .5 (10 = 3740 x
te, de 7 bits, es 1 000 010 .
c) 31 .5 (10 = 1F .8 (16 , en este caso la normalizacin ha de realizarse teniendo en cuenta
que un dgito hexadecimal se codifica con 4 bits . La mantisa, por tanto, ha de tener 4 dgitos
hexadecimales (12 bits) .
31 .5 (1 0 = 1F8 x 16 -1 , por tanto, la mantisa ser : 0 0001 1111 1000, y el exponente
quedar : 1 00 0001 .

PROBLEMAS CON SOLUCIN RESUMIDA

Problema 18.- Represente los siguientes nmeros decimales en base 2 y compruebe el resultado : a) 17,, b) 94 .
Solucin P18 .
a) 17(10 = 10001(2 b) 94 (10 = 1011110(2 .

Problema 19.- Pase los siguientes cdigos hexadecimales a cdigo binario, octal y BCD : a)
$F2.85; b) $B02.A ; c) $25.FA ; d) $71 .02.
Solucin P19 .- El cdigo BCD corresponde a la representacin binaria de un nmero decimal .
Esta se obtiene asociando a cada dgito decimal su representacin binaria de 4 bits . Para pasar
un nmero desde una determinada base a BCD, deber obtenerse en primer lugar el nmero en
base 10, y despus hacer la conversin antes indicada .
a) $F2 .B5 = 1111 0010 .1011 0101(2 = 011 110 010 .101 101 010( 2 = 362.552( 8 . Para
representarlo en BCD pasamos a base 10 :
$F2 .B5 = F x 16 + 2 x 160 + 11 x 16 -1 + 5 x 16 -2 = 242 .70(10 _3 0010 0100 0010 .0111 (BCD)
Procedemos de igual forma con el resto de los casos :
b) $B02 .A = 1011 0000 0010 .1010 (2 = 5402 .5 ( 8 = 2818 .625 ( 10
= 0010 1000 0001 1000 .0110 0010 0101 (BCD) .
c) $25 .FA = 0010 0101 .1111 1010 (2 = 45 .764 ( 8 = 37 .977(10
= 0011 0111 .1001 0111 0111 (BCD)
d) $71 .02 = 0111000 1 .0000 0010 (2 = 161 .004(8 = 113 .007(10 =
= 000 1000 100 11 .0000 0000 0111 (BCD)

REPRESENTACIN Y CODIFICACIN BINARIA

17

Problema 20.- Represente el nmero decimal 8620 (a) en BCD, (b) en cdigo exceso 3,
(c) en cdigo 2, 4, 2, 1 y (d) como nmero binario .
Solucin P20 .
a) 8620(10 3 1000 0110 0010 0000 (BC p) .
b) 8620 (10 -3 1011 1001 0101 001 1 (exceso-3)
c) El cdigo 2,4,2,1 es un cdigo pesado de 4 bits cuyos pesos son precisamente 2,4,2,1 .
dgito
decimal

Pesos :
2421

0
1
2
3
4
5
6
7
8
9

0000
0001
0010
0011
0100
1011
1100
1101
1110
1111

Entonces, 8620 (10 -3 1110 1100 0010 0000


d) Lo ms fcil es pasar primero a base 16 por el mtodo de las divisiones sucesivas y
despus pasar a base 2, desde base 16 .
8620 (10 -3 21AC(16 -* 0010 0001 1010 1100 ( 2 -* 10000 110 10 1100(2 .

Problema 21 .- Un cdigo binario usa 10 bits para representar cada uno de los diez dgitos
decimales . A cada dgito le asigna un cdigo de nueve ceros y un uno . El cdigo binario para
el nmero 6, por ejemplo, es 0001000000. Determine el cdigo binario para los nmeros decimales restantes .
Solucin P21 .- Se trata del cdigo "1-hot", tambin llamado "1-out-of-n" . En este caso n = 10 .
dgito

bg b 8 b 7 b6 b 5 b 4b3 b2b l bo

0
1

0000000001
0000000010

2
3
4
5
6
7
8
9

0000000100
0000001000
0000010000
0000100000
0001000000
0010000000
0100000000
1000000000

18

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 22.- Obtenga un cdigo binario pesado para los dgitos de la base 12 usando los
pesos 5421 .
Solucin P22.

dgito

5421

dgito

5421

0
1
2
3
4
5

0000
0001
0010
0011
0100
1000

6
7
8
9
A
B

1001
1010
1011
1100
1101
1110

Problema 23.- Determine el rango de valores numricos que pueden escribirse en palabras
de 8, 16 y 32 bits, en las diferentes notaciones de nmeros enteros con signo .
Solucin P23 .- Con n bits se representan los siguientes rangos :
n-1 - 1)]
- Signo-magnitud : [- (2n-1 - 1), + (2
- Complemento a 1 : [- (2n-1 - 1), + (2 n-1 - 1)]
- Complemento a 2 : [- 2 n-1 , + (2n-1 - 1)]
Entonces para los valores de n propuestos :
n 2 de bits

8
16
32

signo-magnitud y
complemento a 1

complemento a 2

[- 127,+ 127]
[- 32767, + 32767]
[- (231- 1) + (2
31- 1 )]

[- 128,+ 127]
[- 32768, + 32767]
231,+
(2 31- 1)]
1-

Problema 24.- Un registro de 30 bits almacena un nmero decimal en punto flotante representado en BCD. Los coeficientes ocupan 21 bits del registro y se asume como un entero normalizado . Los nmeros en el coeficiente y el exponente se asumen representados en forma
de signo-magnitud. Cules son las cantidades mayores y menores que pueden ser acomodadas excluyendo el cero? . Repita para representacin binaria, con base 2, si se representa
con fraccin normalizada .
Solucin P24 .
BCD normalizado entero,
99
- Cantidad mayor positiva : 99999 x 10
10 -95
-Cantidad menor positiva : 10000 x 10-99 =
Base 2 fraccin normalizada,
11111111 = (1 -2 -21) x 2 255 .
- Cantidad mayor positiva : 0 .111 . . .111 x 2
2-1
-255 =2 -256
- Cantidad menor positiva : 0 .100 . . .000x2-11111111 =
x2

Captulo 2

LGEBRA Y FUNCIONES DE CONMUTACIN

El modo ms riguroso e inequvoco de describir la funcionalidad de los circuitos digitales es


de forma matemtica, mediante expresiones y funciones de conmutacin . Con ello, adems, se
facilita el desarrollo de mtodos ms o menos sistemticos a la hora de abordar las tareas de
anlisis o diseo de circuitos . Es objetivo de este Captulo familiarizar al lector con los conceptos relacionados con el lgebra de conmutacin, el manejo de expresiones lgicas y las formas de representacin de funciones que se utilizarn en este y otros Captulos .
LGEBRA DE CONMUTACIN
El lgebra de conmutacin es un sistema matemtico compuesto por un conjunto de dos elementos : B = {0, 11, y dos operaciones OR (+) y AND ( ) definidas en B de la siguiente forma :
0

0 1
0 0

0 1

OR

AND

El lgebra de conmutacin cumple los postulados del lgebra de Boole . De ah que podamos decir que la primera es un caso particular de la segunda . Los postulados del lgebra de
Boole son los siguientes :
P1 . Ley de identidad : Existen elementos identidad (0 para la operacin "+" y 1 para la
operacin " ") de forma que para cualquier elemento x, se cumple :
x+0=x
x 1=*
P2. Ley conmutativa : Para cualesquiera dos elementos x e y, se cumple :
x+y=y+x
x .y=y .x
P3 . Ley distributiva : Dados tres elementos x, y, z se cumple :
x+(y .z)=(x+y) .(x+z)
x . (y+z)=x .y+x .z

19

20

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

P4 . Ley del complemento : Para todo elemento x existe un elemento x tal que:
x+x= 1
x x=0
A partir de estos postulados es posible probar una serie de propiedades de inters . Estas
propiedades, que aqu simplemente se enumeran, son demostradas en el problema 1 para el
caso general del lgebra de Boole y probadas en el problema 2 para el lgebra de conmutacin .
TI . Ley de idempotencia :
x + x = x
x x = x
T2 . Ley de unicidad del complemento : el elemento x del postulado cuarto es nico .
T3 . Ley de los elementos dominantes :
x + 1 = 1
x 0 = 0
T4 . Ley involutiva :
(x) = x
T5 . Ley de absorcin : x + x y = x
T6 . Ley del consenso : x + x y = x + y
T7 . Ley asociativa :
x (y z) _ (x y)
T8 . Ley de DeMorgan :
xy=x+y
T9 . Ley de De Morgan generalizada :
T10. Ley del consenso generalizado :

x (x + y) = x
x (x + y) = x y
z
x + (y + z) = (x + y) + z
x +y=x y
x y z ... = x + y + z + .. .
x + y + z . . .= x y z . . .
x y + x z + y z = x y +x z
(x+y) ( x+z) ( y+z)=(x+ y) (x+z)

FUNCIONES DE CONMUTACIN
Son funciones que se definen sobre el conjunto B = (0, 1 } del lgebra de conmutacin . Estricf: Bx . . . xBxB = Bn -4 B .
tamente se definen como :
As una funcin de n variables asigna un valor o imagen de B (0 1) a cada punto del
espacio B ' : (x 1 ,x 2 , . . .,x,) . Por ejemplo, una funcin de tres variables : f(x, y, z) se puede definir
de la siguiente forma: f(0,0,0) = 0, f(0,0,1) = 1, f(0,1,0) = 0, f(0,1,1) = 1, f(1,0,0) = 0,
f(1,0,1) = 0, f(1,1,0) = 1, f (1,1,1) = 1 . A veces no todas las combinaciones de las variables tienen imagen, decimos entonces que la funcin es incompleta o que est incompletamente especificada. Cuando esto sucede, por ejemplo, en la combinacin (x 0 ,Y 0 ,z0) lo simbolizamos de
la siguiente forma : f(x0,y o,z 0 ) = d f(x 0 ,Y 0,z 0) = -, donde los smbolos "-" y "d" (don't care)
son llamadas inespecificaciones o indeterminaciones .
REPRESENTACIN DE FUNCIONES
Existen diversos modos de representar las funciones de conmutacin . Algunas formas utilizan
tablas o mapas (modos grficos) . Otras, consisten en expresiones algebraicas . A continuacin
daremos algunos detalles sobre las formas de representacin utilizadas en este texto .
- Tablas de verdad.
En una tabla se representan dos columnas . En la primera de ellas se escriben todas las
combinaciones de las variables de entrada en orden binario . En la otra columna se anota el valor que toma la funcin para cada combinacin de las variables de entrada . A continuacin se
muestra un ejemplo para una funcin de tres variables . Ntese que para n variables se necesitara una tabla de 2n filas . As, este tipo de representacin es ms interesante para funciones de
un nmero reducido de variables .

LGEBRA Y FUNCIONES DE CONMUTACIN

xyz

21

000
001
010
011
100
101
110
111

Mapa de Karnaugh .
Es tambin una forma grfica . Las variables de la funcin se dividen en dos grupos . Uno
de ellos se sita en el eje horizontal de una tabla y el otro en el eje vertical . Las combinaciones
de cada grupo de variables se escriben en el orden del cdigo Gray . As, disponemos de una
cuadrcula en cuyas celdas se anota el valor de la funcin para la combinacin de las variables
asignada . La propiedad principal es que dos celdas geomtricamente adyacentes tambin corresponden a cdigos lgicos adyacentes . En el ejemplo se muestra un mapa para una funcin
de 4 variables . En los problemas aparecen ejemplos para 5 variables . Al igual que en el caso
de las tablas de verdad, este tipo de representacin aumenta su tamao de forma potencial con
el nmero de variables . Si el orden en que se escriben los valores de las variables es el binario
natural, el mapa es denominado binario .
-

ab
c

11

10

00 0

01

11

10

- Expresiones o frmulas .
En este caso se utiliza una expresin algebraica para representar las funciones . Se
combinan las variables con los operadores NOT I , AND 2 y OR . Aquellas combinaciones de las
variables que hagan 1 ( 0) la expresin sern las combinaciones en que la funcin es 1 ( 0) .
Algunos tipos de frmulas son de un inters particular . Entre las ms destacables estn
las formas cannicas y estndares . Tanto unas como otras tienen en comn que son frmulas
compuestas nicamente por suma de productos, o bien, nicamente por producto de sumas . En
las formas cannicas, adems, se cumple que los productos son siempre mintrminos y las suNOT(x) = x.
2 El smbolo del operador AND ( ) puede omitirse: a b = a b .
1

22

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

mas son maxtrminos . Tenemos as que las formas cannicas son sumas de mintrminos o producto de maxtrminos . A continuacin se muestra para la funcin de cuatro variables del ejemplo anterior expresiones en forma cannica y estndar tanto de sumas como de productos .
- Suma de mintrminos :
f(a,b,c,d)=abcd+abcd+abcd+abcd+abcd+abcd+abcd=
=m1+m5+m6+m10+m11+m14+m15=E(1,5,6, 10, 11, 14, 15) .
Producto
de maxtrminos :
f(a,b,c,d)=(a+b+c+d)(a+b+c+d)(a+b+c+d)(a+b+c+d)
(a+b+c+d)(a+b+c+d)(a+b+c+d)(a+b+c+d)(a+b+c+d)=
= M0 M2 M3 M4 M7 M8 M9 M12 M13 = IT (0, 2, 3, 4, 7, 8, 9, 12, 13) .
- Suma de productos :
f(a,b,c,d)=acd+ac+bcd .
- Producto de sumas :
f(a, b, c, d) = (c + d) ( + c) (a + c + d) (a + b + c).
Mientras que las dos primeras formas son nicas para cada funcin (cannicas), las dos
siguientes (es- tndares) no lo son, pero presentan una mayor simplicidad .
ndice del Captulo
Este Captulo desarrolla problemas de las siguientes materias :
- Demostracin de teoremas e identidades .
- Manejo de expresiones lgicas .
- Representacin mediante tablas, mapas y formas cannicas y estndares .
PROBLEMAS RESUELTOS
Problema 1 .- Demuestre los teoremas booleanos en base a la definicin del lgebra .
Solucin P1 .-Nos basaremos en los postulados del lgebra de Boole :
P1 . Identidad :
x+ 0= x
x- 1= 1
P2 . Conmutativa :
x+ y= y+ x
x y= y . X
x (y + z) = x y + x z
P3 . Distributiva :
x + (y - z) = (x + y) - (x + z)
0
P4 . Complemento :
x+
1
x
Los teoremas y sus demostraciones se relacionan a continuacin .
T1 . Idempotencia :
x+ x= x
x x= x
1
=(x+x)(x+x)=x+xx=x+0=x
x+x=(x+x)
x-x=x-x+0=x-x+x-x=x-(x+x)=x- 1 =x
Hemos aplicado los postulados PI, P4, P3, P4 y P1, en ese orden .
da e B, 3' a' E B 1 a'=
T2 . Unicidad del complemento :
Si existieran dos complementos, al y a2 se cumpliran las siguientes igualdades (por P4) :
a .a2 =0
a+a 1 =1 a+a 2 =1 a .a1=0
Entonces :
al =al 1=a1 ( a+a2),=a1 -a+ al a2=0+a1 a 2=a a 2+a1 a2=
=(a+al)-a2=1 a 2=a2

x=

x=

LGEBRA Y FUNCIONES DE CONMUTACIN

23

Se han aplicado los postulados P1, P4, P3, P2, P4, P3 y P1, en ese orden .
x 0= 0
T3 . Elementos dominantes : x + 1= 1
x+x)=
x +1 x_= x+x=1
x+1=(x+1) 1 =(x +1) (
x 0 =x 0 +0=x 0 +x x =x(O+x)=x x =0
Los postulados utilizados son P1, P4, P3, P2, Pl y P4 .
T4 . Lev involutiva: (x) = x

(x)=(x)+0=(x)+x x=[(X)+x] [(X)+x]=[(X)+x] 1 =


=[(x)+x](x+x)=x+ [x ( x)]=x+0=x
donde se han aplicado PI, P4, P3, P4, P2, P4, P2, P3, P4 y P1 .
x (x + y) = x
T5 . Ley de absorcin : x + x y = x
x+x y =x 1 +x y =x ( 1+y)=x 1 =x
x ( x+y)=(x +0) ( x+y)=x +0 y =x+0=x
En esta demostracin hemos usado PI, P3, T3 y Pl en ese orden .
x (x + y) = x y
T6. Ley del consenso: x + x y = x + y
x+ x y =(x+x) ( x+y)=1 ( x+y)=x+y
x ( x+y)=x x +x y =0+x y =x y
Los postulados en que nos hemos apoyado son P3, P4, P2 y P1 .
T7. Lev asociativa :
x (y z) = (x y) z x + (y + z) = (x + y) + z
Para demostrarla es necesario demostrar previamente tres lemas :
(ambos por T5)
a = a [a + (b + c)]
L1 . a = a + a (b c)
cuya demostracin es :
a = a [b + (a + c)]
L2 . a = a + b (a c)
a
c
)=(a+b)
(
a+
a
c
)=(a+b)

a
=a
a+b (
.b+a=a
a [ b+(a+c)]=a b +a ( a+c)=a
donde hemos utilizado P3 y T5 .
L3 . a=a+b (c a )
a=a [ b+(c+a)]
por P2 y L2.
Ahora demostremos la ley asociativa :
(por L2, L3 y L1)
x (y z) = [x + z (x y)] ([y + z (x y)] [z + z (x y)]) _
(porP3)
=[x+z .(x .y)] .(y z +z .(x y ))=
(aqu tambin hemos aplicado P3)
= x (y z) + z (x y) =
(esto, por P2)
= z (x y) + x (y z) =
(donde hemos aplicado P3)
= [z + x (y z)] [x y + x (y z)] =
(por L3)
= z . [x y + x (y z)] =
(porP3)
= z [x + x (y z)] [y + x (y z)] =
z
(por
LI,
L2
y
finalmente P2) .
= z (x y) = (x y)
Luego, hemos probado x (y z) = (x y) z
Por otra parte,
x+(y+z)=x [z+(x+y)]+(y [ z+(x+y)]+z [z + (x + y)]) = (porL2, L3 y LI)
= x [z + (x + y)] + (y + z) [z + (x + y)] = (por P3)
_ [x + (y + z)] [z + (x + y)] = (aqu tambin hemos aplicado P3)
= [z + (x + y)] [x + (y + z)] = (esto, por P2)

y OR (+) en el lgebra de

24 PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

= z [x + (y + z)] + (x + y) [x + (y + z)] = (donde hemos aplicado P3)


=z+(x+y) [ x+(y+z)]= (porL3)
= z + x [x + (y + z)] + y [x + (y + z)] =
(por P3)
= z + (x + y) = (x + y) + z
(por LI, L2 y finalmente P2) .
Con lo que queda probado que x + (y + z) _ (x + y) + z .
T8 . Ley de DeMorgan :
x y = x + y
x+y=x .y
La base de la demostracin es que como el complemento es nico y cumple el postulado
P4, entonces, si A + B = 1 y A B = 0 es porque A = B, esto es :
A=BOA+B=1 y A B=0 .
Sean A = x + y, B = x y ; demostremos que A = B .
A +B=x+y+x y =x+y+x=x+x+y=1+y=1 (T6, P2, P4 y TI) .
AB =(x+y) xy =x xy +y xy =0 y +0 x =0+0=0(P3,P2,P4,T3,T1) .
Sean A = x y, B = x + y ; demostremos que A = B .
A +B= x y +x+y=y+x+y=x+y+y=x+1=1 (T5, P2, P4 y T3) .
AB =x y( x+y)=x yx +x yy =0 y +x 0 =0+0=0(P3, P2, P4, T3, TI) .
T9 . Ley de De Morgan generalizada :
x + y + z . . .=x
...
xyz . . .=x+y+z+ . .x
=x(yz . . .)=x+yz . . .=x+y(z . . .)=x+y+z . . .=
xyz . . .
=x+y+z( . . .)= . . .=x+y+z+ . . .
x+y+z . . .=x+(y+z+ . . .)=x y + z . . .=x y+(z . . .)=x y z+ . . .=
=x
+( . . .)= . . .=x
..
En las dos demostraciones se utilizan los teoremas T7 y T8 alternativamente .
T10. Lev del consenso generalizado :
=x .y+x
x
+x
+y
(x+y) x+z) y+z)=(x+y) x+z)
=
=x
x
+x
+y
+x
+y
(P1)
=x
+x
+y
x+x)=
(P4)
=
=x .y+x
+y
+y
(P3)
=x .y+x yz +x z +x .z y =
(P2)
=x .y+x z
(T5)

(x+z)
(
(x+z)
(x+y)
(y+z)=(x+y)
y+z+0)= (P1)
=(x+y) ( x+z) ( y+z+x x) =
(P4)
=(x+y) ( x+z) ( y+z+x) ( y+z+ x)=
(P3)
=(x+y) x+y+z) x+z) x+z+y)=
(P2)
= (x + y) (x + z)
(T5)

yz

yz

yz .

z z y z z1
y z z(
z zx zx

Problema 2.- Demuestre los teoremas booleanos en el lgebra de conmutacin comprobando su validez mediante tablas de verdad .
Solucin P2 .- A partir de la definicin de las operaciones AND ( )
conmutacin, comprobaremos :
- Idempotencia :
x = x + x,
x = x x;
- Elementos dominantes :
x + 1 = 1, x 0 = 0 ;

LGEBRA Y FUNCIONES DE CONMUTACIN

25

- Involutiva :
x = x;
- Absorcin :
x (x + y) = x ;
x + x y = x,
- Consenso :
x (x + y) = x y ;
x + x y = x + y,
- Asociativa:
(x + y) + z = x + (y + z),
(x y) z = x (y z) ;
-LeyDeDeMorgan : xy=x+y,
x+y=xy .
En las dos tablas siguientes podemos ver la comprobacin de todos los teoremas excepto
el de la ley asociativa que se prueba a continuacin .
x y

x+x

xx

x+1

x0

p(donde p=x)

x+xy

x(x+y)

0 0
0
1
1
0
1
1

0
0
1
1

0
0
1
1

0
0
1
1

1
1
1
1

0
0
0
0

1
1
0
0

0
0
1
1

0
0
1
1

0
0
1
1

x y

x+xy

x+y

x(x+y)

xy

xy

x+y

x+y

xy

0 0
0
1
1
0
1
1

0
1
1
1

0
1
1
1

0
0
0
1

0
0
0
1

1
1
1
0

1
1
1
0

1
0
0
0

1
0
0
0

La comprobacin de la ley asociativa :


xyz

x+y

(x+y)+z

y+z

x+(y+z)

xy

(xy)z

yz

x(yz)

000
001
010
011
100
101
110
111

0
0
1
1
1
1
1
1

0
1
1
1
1
1
1
1

0
1
1
1
0
1
1
1

0
1
1
1
1
1
1
1

0
0
0
0
0
0
1
1

0
0
0
0
0
0
0
1

0
0
0
1
0
0
0
1

0
0
0
0
0
0
0
1

Problema 3.-Para elementos del lgebra de conmutacin, pruebe la validez de :


b)a+b=a+c-+b=c ;
a) a b=a c- b=c ;
c) a b =a cya+b=a+c->b=c .
Solucin P3 .
a) No se cumple, por ejemplo, para a = 0, b = 1, c = 0 .
b) No se cumple, por ejemplo, para a = 1, b = 1, c = 0 .
c) S se cumple . Se puede comprobar que para cualquier combinacin de valores se
cumple . Tambin se puede demostrar algebraicamente :

26

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

b=b+a b =b+a c =(b+a) ( b+c)=(a+b) ( b+c)=(a+c) ( b+c)=


=a b +c=a c +c=c .
Se han aplicado la ley del consenso, las propiedades distributiva y conmutativa, y las
igualdades a b= a c y a+ b= a+ c .
Problema 4 .- Compruebe las siguientes igualdades:
a) x y+

xz

+ y z = x y+ x

(ley del consenso generalizado)

b)x(x+y)+z+zy=y+z
c)xy+xyz=xy+z
d)w+wx+yz=w(y+z)
e)w[x+y(z+w)]=w+xy+xz
f) (w+x+ y)

(w+x+y)

(y+z) (w+z)= (w+ y) (y+z)

Solucin P4 .
a)xy+xz+yz=xy+xz+(x+x)yz=xy+xz+xyz+xyz=

=xy+xyz+xz+xzy=xy(1+z)+xz(1+y)=xy+xz
donde hemos aplicado P4, P3, P2, P3, T3 y P1
b)x(x+y)+z+zy=xy+z+y=y+yx+z=y+zporT6,P2yT5
(por la ley del consenso : u + u z = u + z donde u = x y)
c) x y + xyz = x y + z
porT5yT8
d)w+wx+yz=w+yz=wyz=w(y+z)
e)w[x+y(z+w)]=w+x+y(z+w)=w+xy(z+w)=w+x(y+z+w)=
por T8yT6
=w+xy+xzw=w+xy+xz
fl(w+x+y)(w+x+y)(y+ z) (w+z)= [(w+y)+xx](y+z)(w+z)=
por P2,P3,P4,PlyT10 .
=(w+y)(y+z)(w+z)=(w+y) (y+z)
Problema 5.- Reduzca las siguientes expresiones del lgebra de Boole al nmero de literales
solicitado al lado de cada una de ellas .
a)abc+abc+abc+abc+abc
b) b c + a c + a b+ b c d
c)[cd+a]+a+cd+ab
d) [(a + c + d) (a + c + d) (a

(a cinco literales)

(a cuatro literales)
(a tres literales)

+ c+

d) (a + b)]

Solucin P5.
a) abc+abc+abc+abc+abc=
=abc+abc+abc+abc+abc+bc=
=abc+abc+abc+abc+abc+bc=
=ab(c+c)+ab(c+c)+(a+a)b c=

(a cuatro literales)

(ya que x + x = x)
(por la propiedad conmutativa)

LGEBRA Y FUNCIONES DE CONMUTACIN

27

(ya que x+x= 1)


=ab 1 +b 1+ 1 bc=
(ya quex 1=1 x =x) .
= a b + a b + b c = b (a + c) + a b
b) b c + a c + a b + b c d = b c + b c d + a c + a b =(por la propiedad conmutativa)
(ya que x + x y = x)
=bc+ac+ab=bc+ac+ab(c+c)=
(por la propiedad distributiva)
= b c+ a c+ a b c+ a b c=
=bc(1+a)+ac(l+b)=
(ya que 1 +x= 1) .
=bc+ac
c) aplicando la ley de De Morgan a la expresin, obtenemos :
(por la propiedad conmutativa)
cd + a + c d + a b =cd + a + a b + c d =
= c d + a + c d = (ya que x + x y = x) .
=a+cd (yaquex+x=x)
d)(a+c+d)(a+c+d)(a+c+d)(a+b)=
(yaquex=xx)
=(a+c+d)(a+c+d)(a+c+d)(a+c+d)(a+b)=
(por la propiedad distributiva) .
= (a + c) (a + d) (a + b) = a + b c d

Problema 6.- Verifique si se cumplen o no las siguientes igualdades :


a)M(a,b,c)+M(d,e,f)=M(a+d,b+e,c+f) .
b) M (a, b, c) M (d, e, f) = M (a d, b e, c f) .
c) M (a, b, M (c, d, e)) = M (M(a, b, c), d, M(a, b, e)].
donde M (x y, z) es la funcin mayora de x y, z: M (x, y, z) = x y + x z + y z.
Solucin P6 .

a) No se cumple pues para a = 0, b = 0, c = 1, d = 0, e = 1 y f = 0 se tiene que


M(a, b, c) + M(d, e, f) = M(0, 0, 1) + M (0, 1, 0) = 0 + 0 = 0 y, sin embargo :
M(a+d,b+e,c+f)=M(0, 1, 1)=1 .
b) No se cumple, pues para a = 0, b = 1,c = 1, d = 1, e = 0 y f = 1 se tiene que
M(a, b, c) M (d, e, f) = M(0, 1, 1) M(1, 0, 1) = 1 1 = 1 mientras que
M(a d ,b e,c f)=M(0,0,1)=0
c) S se cumple pues M[a, b, M(c, d, e)] = M[a, b, c d + c e + d e] _
=ab+a(cd+ce+de)+b(cd+ce+de)=ab+acd+ace+ade+bcd+bce+bde
y, por la otra parte :
M[M(a, b, c), d, M(a, b, e)] = M[a b + a c + b c, d, a b + a e + b e]=
=(ab+ac+bc)d+(ab+ac+bc)(ab+ae+b e)+d (ab+ae+b e)=
=abd+acd+bcd+ab+abe+abc+ace+ a b c e+ abce+bce+abd+ade+bde=
= a b+ a c d+ b c d+ a c e+ b c e + a d e+ b d e, luego ambas expresiones son iguales .
Problema 7.- Obtenga la tabla de verdad de las siguientes expresiones :
a)f=wyz+xy+wy) b) f= (w+x+y) (x+z) (w+x) .

28

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P7 .
a) Si f = w y z + x y + w y, entonces es fcil deducir cundo f = 1 :
/wyz=1 ==> w=1,y=1,z=1
f=1 f=> xy=1 ~x=1,y=1
\wy=1~w=1,y=1
con ello, la tabla de verdad es :
wxyz

wxyz

0000
0001
0010
0011
0100
0101
110
111

1
1

1000
1001
1010
1011
1100
1101
1110
1111

b) Si f = (w + x + y) (x + z) (w + x), es fcil encontrar los ceros de f:


/w+x+y=O==> w=0,x=0,y=0
f=0e-> x+z=0~ x=0,z=0
\w+x=0~ w=0,x=0
con ello, la tabla de verdad es :

Problema 8.- Obtenga los


a)
b)
c)

wxyz

wxyz

0000
0001
0010
001 1
0100
0101
110
0111

0
0

1
1
1
1

1000
1001
1010
1011
1100
1101
1110
1111

mapas de las siguientes funciones :


f = E (5, 6, 7, 12) + d(1, 3, 8, 10) .
f =11 (10, 13, 14, 15) d(0, 1, 2, 8, 9) .
f = E (1, 2, 3, 8, 12, 23) + d(17) .

LGEBRA Y FUNCIONES DE CONMUTACIN

29

Solucin P8.
a) f (a, b, c, d) = E (5, 6, 7, 12) + d(1, 3, 8, 10)

11

10

00

01

11 d

10 0

f
b) f (a, b, c, d) = fI (10, 13, 14, 15) + d(0, 1, 2, 8, 9)
ab
c

01

11

10

00

01

11

10 d

c) f (a, b, c, d, e) = E (1, 2, 3, 8, 12, 23) + d(17)


cd
00

01

11

10

Problema 9 .- Obtenga las formas normales en suma de productos y producto de sumas de


las siguientes expresiones :
a)f=(ab+ac)(ab)) b)f=xy(v+w)[(x+y) vi .
c)f=x+yz) d)f=(a+b+c)(d+a)+bc+ a c .

30

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P9.
a) (a b + a c) (a b) = a b
(por la ley del consenso)
Con esto tenemos una forma en suma de productos, donde el producto p = a b es el nico. Tambin tenemos un producto de sumas, donde los trminos suma son dos : s1 = a y s = b .
b) x y (v + w) [(x + y) v] = x y (v + w) (x + y) v = v x y (x + y) = v x y (ley de absorcin) .
Con esto tenemos una forma en suma de productos, donde el producto p = v x y es nico .
= v, s = x,
Tambin tenemos un producto de sumas, donde los trminos suma son tres :
s
y.
c) x + yz, es suma de dos productos,
=
P = y z . Por otra parte, aplicando la propiedad distributiva : x + yz = (x + y) (x + z) . Con ello tenemos una expresin en producto de sumas :
s1 =x+y, s =x+z .
d)f=(a+b+ c) (d + a) + b c + a c
Para reducirlo a una forma en producto de sumas operaremos sobre la expresin de f
aplicando repetidas veces la propiedad distributiva :
(a + b + c) (a + d) + b c + a c = (a + b + c) (a+d)+(a+b)c=
=[(a+b+c)(a+d)+(a+b)] [(a+b+c)(a+d)+c]=
=[(ab+c+a+b)+(a+d+a+b)] [(a+b+c+c)(a+d+c)]=
=(a+b+ c) (a+b+ d) (a+c+ d) .
Obtenemos por tanto un producto de tres trminos suma : s1 = a + b + c, s = a + b + d
y s3=a+c+d.
De forma similar se puede obtener una expresin en suma de productos :
(a + b + c) (a + d) + b c + a c = [a + (b + c) d)] + a c + b c = a + a c + b c + (b + c) d=
=a+bc+bd+c d .
Son, por tanto, cuatro trminos producto :
= a, = b c, p = b d, P = c d .

SI

pl X, 2

pl

P2

Problema 10.- Determine y exprese en forma de mintrminos y maxtrminos las funciones


f, + f2 y f, - f2, siendo :
f, = II (1, 2, 3, 5, 6, 7, 13, 14, 15) ;
f2 = E (0, 4, 8, 9, 10, 14, 15)
Repetir para f, O f2 y la equivalencia : f, O f2.

1 2
1

Solucin P10.- Para expresar la funcin f + f como suma de mintrminos hay que tener en
consideracin que todos los mintrminos de f y todos los mintrminos de f son mintrminos
de f + f ya que 1 + x = 1 . Entonces :
f + f = E (0, 4, 8, 9, 10, 11, 12, 14, 15), y por exclusin : f + f = U (1, 2, 3, 5, 6, 7, 13) .
Para expresar la funcin f . f , es mejor comenzar por la expresin en forma de producto de maxtrminos ya que debido a que 0 x = 0 podemos decir que todos los maxtrminos de
f y todos los de f son maxtrminos de f f . Entonces :
f f =11(1, 2, 3, 5, 6, 7, 11, 12, 13, 14, 15) =E (0, 4, 8, 9, 10) .
En cuanto a la funcin f O f , para que sea 1 es preciso que f y f sean distintas . Por
tanto, los mintrminos de f O f son los mintrminos de f que no lo son de f y los de f que
no lo son de f :
f
= E (11, 12, 14, 15) = fI (0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 13) .

1 2
l 2

1 2

1 2

l 2

1 f2

1 2

1 2
1 2

1 2

LGEBRA Y FUNCIONES DE CONMUTACIN

31

Finalmente, como f 1 0 f2 es la funcin negada de f 1 O+ f2 , tendremos :


f 1 O+ f2 = fI (11, 12, 14, 15) = E (0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 13) .
Problema 11 .- Sea el circuito combinacional con cuatro entradas A, B, C y D, tres salidas intermedias P, Q y R y dos salidas T 1 y T2, como se muestra en la figura . Slo Q y R pueden
tener inespecificaciones .

T 1 = E (0, 1, 3, 4, 5, 7, 11,15)
T 2 = E (2, 3, 6, 7, 11,15)
a) Suponiendo que tanto G 1 como G2 son puertas AND, obtenga el mapa de la funcin
(es
decir, la funcin P que tiene el menor nmero de mintrminos) que permite obtener
Pmin
T 1 y T2.
Obtener los mapas para Q y R correspondientes al Pmin anterior. Indique, explcitamente, las posiciones de las inespecificaciones .
Suponiendo que G 1 y G2 son puertas OR obtenga el mayor Pmax (la funcin P con
mayor nmero de mintrminos) y sus mapas correspondientes para a y R.
Pueden obtenerse Q, P y R si G 1 es una puerta AND y G2 una puerta OR? Y si G 1
es una puerta OR y G2 una puerta AND?
Solucin Pll .
a) G 1 y G2 son puertas AND .
En este caso T 1 = Q . P y T2 = R P, por tanto, Q y P tienen que tener todos los mintrminos de T 1 (o sea : 0, 1, 3, 4, 5, 7, 11, 15), y R y P tienen que tener todos los mintrminos de
T2 ( o sea : 2, 3, 6, 7, 11, 15) . Entonces P como mnimo tiene que contener todos esos mintrminos, luego : Pmin = E (0, 1, 2, 3, 4, 5, 6, 7, 11, 15) .
b) La funcin Q tiene al menos los mintrminos de T1 ; R tiene los de T 2 . Ahora bien, Q
tiene ceros en las celdas en que Pmin vale 1 pero T 1 no es 1 ; por ejemplo, 2 es mintrmino de
Pmin pero no lo es de T 1 , por lo que 2 es un 0 de Q . Lo mismo ocurre para R con respecto a T2
Y Pmin Por ltimo, en las celdas donde T 1 vale 0 y Pmin tambin es 0, Q est inespecificada ;
algo similar ocurre para R respecto a T 2 y P min . Por tanto :
Q = E (0, 1, 3, 4, 5, 7, 11, 15) + d (8, 9, 10, 12, 13, 14) .

R = E (2, 3, 6, 7, 11, 15) + d (8, 9, 10, 12, 13, 14) .


c) G 1 y G 2 son puertas OR .
En este caso T 1 = Q + P y T2 = R + P, por tanto donde T 1 sea cero tambin deben de
serlo forzosamente Q y P (o sea en 2, 6, 8, 9, 10, 12, 13, 14) y donde T2 lo sea debern serlo

32

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

tambin R y P (o sea en 0, 1, 4, 5, 8, 9, 10, 12, 13, 14) . As, P tendr como mximo los
mintrminos que sean comunes a TI y T2 : Pmax = Y- (3, 7, 11, 15) .
Q y R contendrn los mintrminos que le faltan a P para completar los de T I y T2 :
Q = E (0, 1, 4, 5) + d (3, 7, 11, 15) .
R = E (2, 6) + d(3, 7, 11, 15) .
Las celdas en que Q est inespecificada son aquellas en las que T I vale 1 y Pmax tambin
es 1 . Algo similar ocurre para R respecto a T2 y Pmax
d) No es posible, ya que si G 1 es una AND y G 2 una OR : T I = Q P, T 2 = R + P . Entonces, en aquellos valores en los que T I es 1 y T 2 es 0 (como por ejemplo en 4) sera imposible
encontrar un valor adecuado para la funcin P . Si P valiese 1 forzara T2 = 1 y si valiese 0 forzara T I = 0) .
Si G 1 es una OR y G 2 es una AND, tampoco es posible ya que T I = R + P y T2 = Q P .
As, en aquellos puntos en que T I = 0 y T2 = 1 (como por ejemplo en 6) no se puede encontrar
un valor adecuado para P.

PROBLEMAS CON SOLUCIN RESUMIDA

Problema 12.- Encuentre los complementos de las siguientes funciones :


a)f=(bc+adL(ab+cd)_
b)f=bd_+ab c+ acd+b)
c) f = [(a b) a] ((a b) b].
d)f=ab+cd .

Solucin P12 .
a)f=(E+c)(a+d)+(+b) (c+d) .
b)f=bd+bc+acd+bc=ab+acd+bd,entonces :
f = (a + b) ( + c + d) (b + d) .
c) Operando obtenemos f = 0 luego f = 1 .
d)f=(+b) (c+d) .

Problema 13 .- Demuestre que x, O+ x 2

+p . . . Oe

x = (x, O . . . O+

x ;) 0 (x ; + ,

donde a 0 b= a O b .

Solucin P13.-La operacin XOR cumple la propiedad asociativa . Entonces :


(x 1 O+ . . . $ xi) 0 (xi+1 O+ . . .(9 x n ) = ( x1 0 . . . O+ xi) O (xi+1 . . . O+ xn) =

= xlm . . . mxi E
) xi+l O+ . . . 0 x n

. . . O+ x) ;

LGEBRA Y FUNCIONES DE CONMUTACIN

33

Problema 14 .- Escriba las siguientes func iones como suma de mintrminos :


a)f(a, b, c)=a+b+c .
b) f (a, b, c) = (a + b) (b + c) .
c)f(a, b, c, d)=(ab+bcd)+acd .

Solucin P14 .
a) f (a, b, c) = E (0, 1, 3, 4, 5, 6, 7).
b) f (a, b, c) = E (0, 2, 3, 4, 5, 6, 7) .
c) f (a, b, c, d) = E (0, 1, 2, 3, 4, 5, 6, 7, 8, 9 10, 11) .
Problema 15.- Exprese las siguientes funciones como producto de maxtrminos :
a) f (a, b, c, d) = (a + c) d + b d.
b) f (x, y, z) _ (x y + z) (y + x z) .
c)f(a,b c)=(abc+abc)
d) f (a, b, c) _ (a b + c (a + b)) (b + c) .

Solucin P15 .
a)
b)
c)
d)

f(a, b, c, d) =11(0, 2, 4, 6, 8, 10, 12, 13, 14) .


f(x, y, z) =1-1(0, 1, 3, 4, 5, 6, 7) .
f(a, b, c, d) =11(5, 6) .
f(a, b, c) =11(0, 2, 4, 6) .

Problema 16.- A partir de las tablas de verdad de las siguientes funciones, obtenga sus expresiones algebraicas.
xy

f,

xy

f2

xy

f3

00
01
10
11

1
0
1
0

00
01
10
11

0
1
1
0

00
01
10
11

1
1
1
0

Solucin P16.-Directamente de las tablas :


f1 =xy+xy=y.
f2=xy+xy=xODy.
f3=xy+xy+xy=x+y=xy .

34

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 17.- Obtenga las expresiones algebraicas de las siguientes funciones :


X y z

f,

f2

f3

f4

f5

f6

000
001
010
011
100
101
110
1 11

0
1
0
0
0
1
0
0

1
0
0
1
1
1
0
0

0
0
0
0
1
1
0
0

1
1
1
0
1
1
1
1

1
0
1
0
1
1
1
1

1
0
1
0
1
0
1
0

Solucin P17 .
f =xyz+xyz=yz .
f2 =xyz+xyz+xyz+xyz=xy+yz+xyz .
f3 =xyz+xyz=xy .
f4 =x+y+z .
f =x+z
f6 =xyz+xyz+xyz+xyz=z .

Problema 18.- Interprete las siguientes expresiones lgicas considerando que el dato tiene
n bits. (Para ayudarse puede considerar un caso particular de n, por ejemplo: n = 4) .
a)z=xox1(D . .
.x,1)bz=n-0 x1(D . . .(D Xn_2 .
k = n - 2, . . ., 1, 0, con zn _ 1 = xn - 1
c) zk = xk+ 1 Xk,
k = n - 2, . . ., 1, 0, con zn _ 1= xn _ 1 .
d) zk = zk+ 1 (D Xk,
k = n - 1, n-2, . . . . 1,0
e) zk = xk q) yk,
donde yk = yk 1 + xk 1 , con k > 1, 2, . . ., n - 1 e yo = 0.
Solucin P18 .
a) La operacin XOR de n variables se hace 1 si y slo si hay un nmero impar de unos
en las n variables . Por tanto, en este caso z es un detector de paridad .
b) La funcin z forma parte de la palabra den bits dada por : x0 x 1 x 2 . . . x n - 2 xi- 1 . Entonces, z es el bit de paridad par para x 0 x 1 x 2 . . . x n _ 2 .
c) Si se particulariza paran = 4 y se obtiene la tabla de verdad de las 4 funciones se puede concluir fcilmente que se trata de una conversin binario-Gray .
d) Procediendo como en el apartado anterior se puede concluir que se trata de una conversion de cdigo Gray a binario .
e) Si se considera el caso particular de n = 4 y se obtiene la tabla puede observarse que
z 3-0 = Ca2(x3-0)

Captulo 3
ANLISIS DE CIRCUITOS COMBINACIONALES

Un circuito digital combinacional es aquel que implementa funciones de conmutacin cuyas


salidas en un instante, t, dependen slo del valor de las entradas en ese mismo instante . El circuito consta de puertas lgicas interconectadas entre s sin que haya lazos de realimentacin .
Hay dos enfoques principales : si es conocido el circuito y se desea establecer cul es la operacin que realiza, se trata del anlisis, que es el aspecto que se trata en este Captulo ; si se plantea el problema contrario, conocida la funcin hay que obtener el circuito, se trata del diseo
o sntesis, lo que se aborda en el Captulo siguiente .
circuito

combinacional
z(t) = f(x(t))

ANLISIS DE CIRCUITOS
El objetivo principal del anlisis de un circuito combinacional es, por tanto, obtener una representacin de la funcin de conmutacin que implementa . A este objetivo se le llama anlisis
lgico del circuito . En algunos casos es posible, adems, obtener una descripcin verbal de la
operacin del circuito (del tipo "hace la suma", "compara nmeros", etc) . Adems, incluso
cuando es posible esta operacin a partir de las tablas o expresiones lgicas es difcil salvo que
se est sobre aviso . En este texto no se har el paso a la descripcin verbal salvo que se indique
explcitamente en el enunciado (vase, p . ej ., el problema 4) .
Aunque el anlisis lgico es el objetivo principal no es el nico aspecto que debe contemplar un buen anlisis de un circuito . Otros aspectos que se deben considerar son :
- El coste del circuito . Una manera de medir el coste es a travs del nmero de puertas
lgicas y conexiones entre puertas del circuito .
- Un anlisis de parmetros elctricos . Se debe establecer la tecnologa en la que se im-

35

36

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

plementa el circuito y evaluar, en funcin de las caractersticas elctricas de la misma, el rendimiento del circuito en cuanto a mrgenes de ruido, fan-in y fan-out, potencia disipada, etc .
- Un anlisis temporal . Este tipo de anlisis consiste en, dado un patrn de entradas, determinar la forma de onda de las seales de salida considerando los retrasos de propagacin de
las puertas lgicas . El anlisis temporal sirve para verificar si el circuito realiza correctamente
la funcin de conmutacin o si, por el contrario, existen fenmenos transitorios como por
ejemplo azares, as como para calcular los valores mximos y mnimos de los tiempos de propagacin que determinan la velocidad de operacin del circuito .
Este Captulo est centrado en el anlisis de circuitos a nivel de puertas lgicas . Los aspectos que se tratan son los de anlisis lgico, mostrando mtodos generales vlidos para cualquier circuito e independientes del tipo de puerta, y mtodos especficos para circuitos con slo
NAND o slo NOR . Estos procedimientos son explicados en los problemas 1 y 3 respectivamente . Adems, en este Captulo tambin se incluyen algunos casos de anlisis del coste del
circuito, medido en funcin del nmero de puertas y conexiones del circuito y de anlisis temporal, analizando circuitos que presentan azares .
ndice del Captulo
Este Captulo desarrolla problemas de las siguientes materias :
- Anlisis lgico segn el procedimiento general .
- Anlisis lgico de circuitos slo NAND (y slo NOR) .
- Anlisis temporal .
PROBLEMAS RESUELTOS
Problema 1 .- Analice a nivel lgico el siguiente circuito combinacional . Ponga tambin la funcin en forma de suma de productos o producto de sumas y realice el nuevo circuito a partir
de estas expresiones .
1

Solucin Pl .- El proceso de anlisis de un circuito combinacional consiste en, a partir de un


circuito, obtener una expresin algebraica, o bien su tabla de verdad o mapa de Karnaugh . Para
ello se puede proceder bien desde las entradas hasta las salidas o bien desde las salidas hasta
las entradas .
Deben encontrarse expresiones para la salida de cada puerta en funcin de sus entradas :

ANLISIS DE CIRCUITOS COMBINACIONALES

37

x +y+z)(z+y)i
z

z+

A partir de esta expresin puede obtenerse otra simplificada o la tabla de verdad o el


_
mapa de Karnaugh, y un nuevo circuito :
f=(x+y+ z) ( z + y ) z = z (y + z) = y z

00

01

11

10

3--

Problema 2.- Realice un anlisis lgico del circuito representado en la figura . Obtenga las expresiones en forma de suma de productos y producto de sumas . Liste los mintrminos y maxtrminos correspondientes . Determine el coste .
xl

>_1
- f
xl
X2 -

x3

x3
X2

D --X 1
x2

Solucin P2.- Comencemos determinando el coste del circuito . Este se calcula : 1 .- dando el
nmero de puertas del circuito ; 2 .- dando el nmero de entradas a puertas (conexiones) del circuito y el nmero de salidas . Adems, a veces se evala el "coste" temporal estableciendo los
retrasos mximos y mnimos que experimentan las seales de entrada al propagarse hasta las
salidas . Para ello, lo ms habitual es considerar una unidad de retraso por puerta . En este circuito el coste es el siguiente:

38

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

coste
n puertas

n conexiones

16 entradas + 1 salida

retraso mximo

3 niveles de puertas

retraso mnimo

2 niveles de puertas

Anlisis lgico . Teniendo en cuenta la funcin lgica que realiza cada puerta, se obtiene
la siguiente expresin para f :

f = x3

(x,x 2 ) + x 3 (x,x2 )

( x 3 x2)

+x 1 x2 = x 3 (x 2 +x,) +x 3 (x2+x,) (X2 +X3) +x,x 2

f=x 1x3 +x3x2 +x1x2x3 +x 1 x2 =x 1 x 3 +x3x2 +x I x2 =x3 (x2 +x 1 )

+ x 1 x2

A partir de esta expresin se obtienen otras en forma sp y ps, el mapa de Karnaugh y un


nuevo circuito que implementa la funcin :

fsp

= X 3 (x ] x2 ) +x 1 x 2 = x3 +x 1 x2

fps

(x3 +x1 ) (x 3 +x 2 )

x3

Problema 3.-Analice la funcin que realiza el circuito, encontrando una expresin reducida
en dos niveles .

- fi
e

3-

Solucin P3 .- Todas son puertas NAND, salvo la de salida f 1 ; llamando M a la entrada desconocida de esa puerta, f 1 = e M .
Ahora, M y f2 pueden obtenerse por el mtodo especfico de circuitos con slo puertas
NAND . Este mtodo consta de los siguientes pasos :
1 .- Hay que construir un rbol del circuito en el que los nodos representan a las puertas

ANLISIS DE CIRCUITOS COMBINACIONALES

39

y las ramas las conexiones . Las puertas se estratifican en niveles distintos comenzando por la
puerta de salida que da lugar al primer nivel del rbol . A partir de este nivel y en funcin de
las conexiones del circuito se van situando el resto de puertas en niveles sucesivos hasta alcanzar las seales de entrada .
2 .- Por la equivalencia de dos niveles de puertas NAND con dos niveles AND-OR, se
va a asociar a cada nivel de puertas del rbol la funcin AND o la OR alternando ambos tipos
de funcin y comenzando por la funcin OR .
3 .- Se obtendr la funcin que realiza el circuito considerando slo operaciones AND u
OR . Hay que tener en cuenta que aquellas variables de entrada que estn conectadas a puertas
que correspondan a un nivel OR deben complementarse .
A continuacin se aplica este mtodo al circuito .
Se numeran las puertas de la forma que se muestra en la figura :
a
b

- f1

1
e

3- f

Se construye el rbol para cada salida :


M

M=d+c(+b)

1
f2=c(+b)+fg

OR

AND

De aqu se tiene :

f,

= de + ice + bce

f2

=c+bc+fg

OR

40

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 4 .- Analice el circuito de la figura indicando verbalmente qu operacin realiza .


_1
b2
a2

>_1
3--.
?1

al

Solucin P4 .- Anlisis de coste :


coste
n puertas

n conexiones

16 entradas + 2 salidas

retraso mximo

4 niveles de puertas

retraso mnimo

2 niveles de puertas

Anlisis lgico :

z sp = a 2 b 2 +a,b,a 2 b 2 +a,b,a 2 b 2
Zps = (a, + a 2 ) (b, + b 2 ) (a 2 + b 2 ) (a 2 + b,) (a, + b 2 )
y Sp

=a 2 b 2 +a,b,a 2 b 2 +a,b,a 2 b 2

yps = (b, +b2) (a, +a 2 ) (b2+a2) (b2+a,) (b, +a2)

z (a 2 a,b 2 b,) = E (4,8,9,12,13,14) = fl (0,1,2,3,5,6,7,10,11,15 )


y (a2 a,b 2 b,) =Y- (1,2,3,6,7,11) = fI (0,4,5,8,9,10,12,13,14,15)

Si se representan ambas funciones (z e y) en un mapa binario ordenado en funcin de


a 2 a l y b 2 b l , se obtiene :

b2b l

00

01

10

11

00

00

10

10

10

01

01

00

10

10

10

01

01

00

10

11

01

01

01

00

zy

ANLISIS DE CIRCUITOS COMBINACIONALES

41

Interpretando a2 a l como un nmero binario A y b 2 b l como B, las funciones pueden


representarse por la tabla :
zy
1 0
0 0
01
Por tanto, el circuito es un comparador de dos nmeros binarios de dos bits cada uno,
que distingue entre mayor, menor o igual .
Problema 5.- Analice la funcin que realiza el circuito, encontrando una expresin reducida
en dos niveles .

e
e

3-D-- f

Solucin P5.- El circuito est compuesto exclusivamente por puertas NOR, por lo que vamos
a aplicar el mtodo especfico de anlisis de slo puertas NOR . Este mtodo es el mismo que
el utilizado en el problema anterior, slo cambian dos aspectos :
1 .- El primer nivel de puertas es de tipo AND, por lo que la expresin que se obtendr
para f es del tipo producto de sumas de producto de sumas .
2.- Ahora son las variables de entrada que estn conectadas a los niveles AND las que
deben complementarse .
Numerando a las puertas de la forma que se ve en la figura :

e
e

>1
D-f

a-

O-

6
7

O-

42

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Se construye el rbol para la salida :

OR

OR

AND

AND

OR

AND

De aqu se tiene :

f= {c++a(d+) (b+d(e+e))} {a+b(d+)}


f=ac+bcd+a+abd+b
Problema 6.- En el circuito de la figura todas las puertas poseen el mismo retraso, 0 .
A

C
D - F

a) Obtenga el mapa de F(A,B,C,D) .


b) Considerando el retraso, determine la forma de onda de F si A=B=D= 1 y C cambia
peridicamente.
c) Igual que b, si A=C=D= 1 y B cambia peridicamente .
d) Igual que b, si B=D= 1 y A y C son como las representadas :
A

C
4

e) Discuta los resultados obtenidos en los apartados anteriores .


Solucin P6.
a) Vamos a obtener una expresin de F mediante anlisis lgico . Nombraremos los nudos internos del circuito como se muestra en la siguiente figura :

ANLISIS DE CIRCUITOS COMBINACIONALES

43

D- F

Analizando la funcin realizada por cada puerta, se tiene lo siguiente :

u=BC

x=ABu

v=u

y=Dv

F = xy = ABC+DCB

Con lo que el mapa de F queda :

00

01

11

10

00

01

11

10

CD

b) Con A = B = D = 1, y C cambiando peridicamente, el diagrama temporal queda


como se observa en la figura :

u=C
A
x=v=u
f-

1-

y=v
A
F=xy

-t
A

4-

44

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

c) Con A=C=D=1, y B cambiando peridicamente, el diagrama temporal queda como


se observa en la figura :
B

u=B

v=u

y=v

x=Bu
F=xy
d) Con B=D=1, y A y C cambiando peridicamente, el diagrama temporal queda como
se observa en la figura :

u=C

v=u
4x=Au

y=v

F=xy
e) En el caso b) : Las entradas pasan de ABCD=1101 a ABCD=1 111 peridicamente . En
ambos casos la funcin debe ser 1 . Sin embargo, ocurre un pulso de 0 en la salida F, lo que es
un azar esttico .
En el caso c) : ABCD pasan de 1011 a 1111 alternativamente . La funcin debe tomar los
siguientes valores segn el mapa de Karnaugh : F(1011)=0 y F(1111)=1, la salida debera seguir los cambios de B con el retraso del circuito . Sin embargo, ocurre que la seal de salida
oscila (ver figura del apartado c) cuando B sube . Esto es un azar (se llama dinmico) .

ANLISIS DE CIRCUITOS COMBINACIONALES

45

En el caso d) : ABCD pasan de 1101 a 0101 (ste durante un tiempo A), de aqu a 0111,
0111, 1111 (durante un tiempo A) y vuelven de nuevo a 1101 . La funcin, debe tomar los valores : 1, 0, 1, 1 que es lo que se muestra en la salida . El pulso de 0 es mayor que la duracin
en 0101, pero esto no es azar: la funcin debe pasar por el valor 0, explicndose el cambio de
duracin por el distinto tiempo de retraso cuando cambia A (2 puertas) a cuando despus cambia C (4 puertas) .
PROBLEMAS CON SOLUCIN RESUMIDA

Problema 7.- Analice a nivel lgico los siguientes circuitos combinacionales . Ponga la funcin en suma de productos o producto de sumas .
a)
>_1
- f
>_1

b)

=1
- f

c)

y
=1
D- f

Solucin P7.- a)

f = (xy + xy) (z + xy) = x (z + xy) = xz + xy


x`
00

01

11

Z\
0

10
f
1

46

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

= xz+xy

b) f = xy +O (z+x)

00

01

11

10

00

01

11

10

c) f = y O+ (y + x) = xy

Problema 8.- Realice un anlisis lgico de los circuitos representados en la figura correspondiente. Obtenga las expresiones en forma de suma de productos y producto de sumas . Liste
los mintrminos y maxtrminos correspondientes . Determine el coste .
a)
x I-

>1
f
3-

b)
xl

1
f

x2 3

ANLISIS DE CIRCUITOS COMBINACIONALES

c)
>_l

x3
X4

Solucin P8 .
a) Anlisis de coste :
coste
n puertas

n conexiones

10 entradas + 1 salida

retraso mximo

4 niveles de puertas

retraso mnimo

2 niveles de puertas

Anlisis lgico :
fsp = x2 + x 1 x3

fps = (x2 + XI) (x3 + x2) .


f(x 1 , x 2, x3 ) = E (2, 3, 4, 6, 7)=11(0, 1, 5) .
b) Anlisis de coste :
coste
n puertas

n conexiones

12 entradas + 2 salidas

retraso mximo

5 niveles de puertas

retraso mnimo

2 niveles de puertas

Anlisis lgico :
fl sp = X3 X4 + x1 x2 x4 + x1 x2 X4 + x1 x2 x3 x4 + x1 X2 X3 x4 .
fips = (x3 + x4) (xl + x2 + x4) (x1 + x2 + x3 + x4) (x1 + x2 + x4) (x1 + x2 + x3 + x4) .
f2sp = x3 + XI x2 + x1 x2
f2ps =(x1+23) x+,
f l (x l , x 2 , x 3 , x4) = E (1, 3, 4, 7,8, 11, 13, 15) = II (0, 2, 5, 6, 9, 10 12, 14) .
f2(x l , x 2 , x 3 , x4 ) = E (0, 1, 2, 3, 6, 7, 10, 11, 12, 13, 14, 15) = fI (4, 5, 8, 9) .
c) Anlisis de coste :
coste
n puertas

n conexiones

6 entradas + 1 salida

retraso mximo

2 niveles de puertas

retraso mnimo

2 niveles de puertas

47

48

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Anlisis lgico :
fsp - XI + X2 + x3 x4
fps = ( x2 + XI + x3) (x2 + x1 + x4) .
f2 (x l , x 2 , x3 , x 4 ) = E (3, 4, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15) =11(0, 1, 2) .

Problema 9.-Analice la funcin que realiza cada circuito encontrando una expresin sp o ps .
a)
VIL-

X Y -

b)

d
g

C)
xl x2 x3 -

X2 3

xl X2 -

XI
3
x3

x3

ANLISIS DE CIRCUITOS COMBINACIONALES 49

Solucin P9.a)f = wxz+xyz+xyw+xyz .


b)z = ce+cicf+bce+bcf+de+df+bde+bdf+gh .
c)f = x I x2 +x1 x3 +x ] x2x4 +x3x4x2 +x1x;x2 + 3x I x4 .

Captulo 4
DISEO DE CIRCUITOS COMBINACIONALES

El proceso inverso al de anlisis es el de diseo de circuitos combinacionales . Bsicamente,


este proceso consiste en, dada una funcin de conmutacin, obtener un circuito que la realice .
Planteado de esta manera, cualquier expresin lgica de la funcin sera vlida para obtener el
circuito . Sin embargo, el objetivo del proceso de diseo es, en realidad, ms complejo y consiste en obtener un circuito ptimo respecto a algn criterio . El circuito ptimo responde a una
expresin ptima, de forma que lo que hay que obtener es esta expresin .
OBJETIVO DE DISEO
Existen diversos criterios respecto a los cuales optimizar, de manera que en funcin del criterio
elegido, el circuito ptimo puede ser uno u otro . En este tema los criterios segn los cuales se
van a optimizar los circuitos son dos :
1 .- Minimizar el nmero de niveles de puertas que deben atravesar las seales de entrada
hasta alcanzar la salida, considerando que slo se usan puertas AND y OR (o bien slo NAND
o slo NOR) con fan-in y fan-out ilimitados y entradas en doble rail .
2 .- Minimizar el coste de la funcin en cuanto : a) al nmero de puertas lgicas de que
consta el circuito ; b) al nmero de conexiones total del circuito .
De estos criterios, el primero conduce a buscar expresiones que den lugar a circuitos en
dos niveles de puertas . Expresiones de tipo suma de productos (sp) o producto de sumas (ps)
son las ms apropiadas para cumplir este requisito . Para este tipo de expresiones el segundo
criterio, reduccin del coste, significa reducir el nmero de trminos productos (en expresiones
sp) o reducir el nmero de trminos sumas (en expresiones ps) y, adems, buscar trminos productos o sumas con el menor nmero de literales posible .
Existen expresiones que cumplen estos requisitos que son las llamadas suma mnima de
la funcin (expresin sp) o producto mnimo (ps) . Por otra parte, existe un teorema que indica
cmo obtener la suma o el producto mnimo y es el siguiente :
Teorema .- La suma mnima (producto mnimo) de una funcin de conmutacin est formada por el conjunto mnimo de implicantes primas (implicadas primas) con el menor nmero

51

52

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

de literales posible que cubren completamente la funcin .


Definicin de implicante (implicada) prima .- Una implicante (implicada) prima es un
trmino producto o implicante (trmino suma implicada) cuyos mintrminos (maxtrminos)
son todos mintrminos (maxtrminos) de la funcin y, adems, no existe otra implicante
(implicada) de la funcin que contenga a todos los mintrminos (maxtrminos) de dicho
trmino producto (suma) . Una implicante (implicada) se dice que es esencial si
obligatoriamente pertenece a la solucin ptima, ya que slo ella cubre a algn mintrmino
(maxtrmino) de la funcin .
Segn el teorema, el objetivo del proceso de diseo de una funcin de conmutacin, con
los criterios que se han elegido, es encontrar una expresin formada por el conjunto mnimo
de implicantes (implicadas) primas que cubran completamente la funcin .
PASOS DEL PROCESO DE DISEO
El proceso de diseo se desarrolla realizando el conjunto de pasos que se muestran en el siguiente diagrama :
Descripcin
verbal

1
Descripcin formal :
Tabla de verdad, mapa de Karnaugh, expresin de la funcin

i
Minimizacin :
forma sp o ps mnima

1
Circuito
En cada uno de estos pasos hay que realizar las siguientes acciones :
1 .- Dado un enunciado con palabras (descripcin verbal) de la funcin hay que obtener
una primera representacin de dicha funcin mediante una tabla de verdad, un mapa de Karnaugh o una expresin de la funcin . Esta representacin es lo que se conoce como una descripcin formal de la funcin .
2 .- A partir de la descripcin formal obtenida al finalizar el paso anterior, hay que realizar el proceso de minimizacin . Con este proceso se pretende obtener la expresin suma o
producto mnimo de la funcin . En particular, se presentan dos mtodos para hacer la minimizacin, uno basado en el mapa de Karnaugh y el otro, tabular, que denominaremos de QuineMcCluskey .
3 .- De la expresin suma de productos o producto de sumas mnimo de la funcin se obtiene el circuito ptimo . En concreto, para las expresiones sp de las funciones se derivan circuitos en dos niveles AND-OR .y NAND-NAND ; si se obtienen las expresiones sp de las funciones complementadas, el circuito puede implementarse con las estructuras AND-OR-INV,
AND-NOR NAND-AND . Las estructuras duales (OR-AND o NOR-NOR ; y OR-AND-INV,
OR-NAND NOR-OR) proporcionan los circuitos cuando se obtienen expresiones ps (de f y
de f, respectivamente) .

53

DISEO DE CIRCUITOS COMBINACIONALES

Estos pasos del proceso se realizan antes de tener en cuenta otros aspectos como son si
la disponibilidad de las entradas es en nico rail, si el fan-out es limitado, si se dispone de circuitos integrados en vez de puertas individuales, etc . Solamente no se siguen estos pasos cuando el circuito final no se obtiene a partir de expresiones sp (ps) . En estos casos (p .ej . en realizaciones con puertas EXOR) el diseador debe saber cmo pasar del paso 2 a la realizacin de
su circuito .
El conjunto de problemas que se presentan en este Captulo, tanto los resueltos como los
de solucin resumida barren completamente los diferentes pasos del proceso . Adems, se dedica especial atencin al primer paso del proceso de diseo por ser el menos sistemtico y, por
tanto, el ms complejo de realizar .

ndice del Captulo


Este Captulo desarrolla problemas de las siguientes materias :
- Paso de descripciones verbales a descripciones formales .
- Proceso de minimizacin por mapa de Karnaugh .
- Proceso de minimizacin por Quine-McCluskey .
- Otros tipos de realizaciones .

PROBLEMAS RESUELTOS

Problema 1 .- Se tiene una palabra de 5 bits : los cuatro ltimos bits representan un dgito
BCD; el primero es un bit de paridad impar . Obtenga la tabla de verdad (o el K-mapa) de las
funciones siguientes:
1) f, se har "1 "para valores de entrada que no correspondan con dgitos BCD .
2) f2 se har "1 para palabras con paridad incorrecta .
Solucin Pl .- El circuito que se pretende disear tiene 5 seales de entrada . Sean a, b, c, d,
e, siendo a el bit de paridad impar y b, c, d, e un nmero BCD . Por otra parte tiene dos salidas
f l y f2 que toman los siguientes valores :
f1 = 1 si y slo si (b, c, d, e) no es un nmero BCD .

f2 = 1 si y slo si el nmero de "1 " en (a, b, c, d, e) es par .


Los mapas de Karnaugh de las funciones son los siguientes :

abc

abc
000 001 011 010 110 111 101 100

00

000 001 011 010 110 111 101 100

00

01

01

11

11

10

10

f,

f2


PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

54

Problema 2.- Las normas de seguridad de los modernos aviones exigen que, para seales
de vital importancia para la seguridad del aparato, los circuitos deben estar triplicados para
que el fallo de uno de ellos no produzca una catstrofe . En caso de que los tres circuitos no
produzcan la misma salida, sta se escoger mediante votacin . Disee el circuito "votador"
que ha de utilizarse para obtener como resultado el valor mayoritario de las tres entradas .
Solucin P2 .- El proceso de votacin consiste en tomar el valor mayoritario de las entradas .
De esta forma, la salida, f, del circuito tendr la siguiente codificacin :
f = 0 si hay ms ceros que unos en las entradas
f = 1 si hay ms unos que ceros en las entradas
El circuito votador tiene tres seales de entrada : a, b y c, que son las salidas de los circuitos triplicados . Podemos construir el mapa de Karnaugh o bien la tabla de verdad :
abc
000
001
010
011
100
101
110
111
En
zamos un
f
Un

f
0
0
0
1
0
1
1
1

00

c
0

01

11

10

rol
raEC'i~

1
B

el mapa de Karnaugh seleccionamos las implicantes de la funcin, A, B y C y realicubrimiento ptimo :


= A+B+C = ab+bc+ac
circuito hecho slo con puertas NAND es el siguiente :

Problema 3.- Se pretende disear un circuito combinacional que tenga como entrada un dgito BCD natural y como salida la parte entera del cociente de su divisin por tres . Se pide :
a) expresar las funciones mnimas de salida como suma de productos y como productos
de sumas;
b) obtener el circuito correspondiente a la mnima de estas expresiones, realizado con
un solo tipo de puertas .
Solucin P3.- La salida es un nmero, N, igual a la parte entera de dividir un dgito BCD
por 3 : N=Ent .[N2 BCD/3] . El mayor dgito BCD es 9, por tanto el mayor valor de N ser 3,
mientras que el menor valor ser 0 . Representaremos a N por dos bits : z1z0 = N(2 .

55

DISEO DE CIRCUITOS COMBINACIONALES

La entrada es el dgito BCD que est formado por 4 dgitos binarios : x3x2x1xo .
Teniendo en cuenta esto, podemos formar la tabla de verdad y, a partir de ella, el mapa
de Karnaugh de cada una de las funciones de salida sabiendo que las celdas que no corresponden a dgitos BCD (celdas 101- y 11--) son inespecificaciones (d) para las funciones :
x3x2x 1 x0

0000
0001
0010
0011
0100
0101
0110
0111
1000
1001

N
0
0
0
1
1
1
2
2
2
3

z 1 zp

0
0
0
0
0
0
1
1
1
1

3 2

0
0
0
1
1
1
0
0
0
1

3x2

00 01' 11 10

x lxo
00

01

11
10

a_~
riii d I

x 1 x0
00

d ~l

IN L1

00 01 11 10

01
E

11
10

B4
z1

ZO

~ G

Las expresiones como suma de productos y productos de sumas son la siguientes :

ZIS,=D+C = x 3 +x 1 x2
zosP = I + H + J
zoPs =GFE=

z 11,

= AB = (x3+x1) (XI +x 2 )

= x 3 xo +x2 x 1 +x2x l xo

(x 2 +xo ) (x 1 +x2 ) (x 3 +x 2 +x 1 )

El coste sp y ps de zo es el mismo, por lo que da igual realizarla slo con NAND o slo
con NOR . (En la realizacin mnima de z o la celda 15 es tomada como 1 para zo sp y como 0
para z ops ; no se trata de un error sino del uso ms conveniente para esa inespecificacin) . Por
otra parte, el coste de z1 en sp es menor que en ps por lo que la realizaremos con NAND :
x3
xo
2
X2
1

X1

x2
X1
Xo

Problema 4.- Las cuatro lneas de entrada de un circuito combinacional corresponden a un


nmero natural codificado en binario natural .
Disee un circuito en dos niveles que sirva para detectar cundo un nmero es una potencia de dos.

56

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P4 .- Es un circuito con 4 seales de entrada, a, b, c y d y una salida f. La funcin de


salida debe detectar la llegada de un nmero potencia de 2 . Las potencias de dos son : 20=1,
2'=2, 2 2=4, 2 3 =8 . Cuando en la entrada se detecte alguno de estos nmeros, la salida tomar
el valor 1 . El mapa de Karnaugh de esta funcin es el siguiente :
ab
c\ 00

01

11

10

00

01

11

10

f
La expresin mnima en forma sp es la siguiente :
f

= bcd + bcd

+ a_bcd + abcd

El circuito en dos niveles AND-OR es el siguiente :

b
_1

a
b
d
b

Problema 5.- Disee un circuito combinacional que acepte un nmero de tres bits y genere
un nmero binario de salida igual al cuadrado del nmero de entrada .
Solucin P5.- Con tres bits, a b y c, se representan desde el 000-0 hasta el 111-7 . En la salida
debe aparecer el cuadrado de la entrada :
abc- N . Dec .

000-0

001-1

010-2

011-3

100-4

101-5

110-6

111-7

(abc) 2

16

25

36

49

DISEO DE CIRCUITOS COMBINACIONALES

57

El mayor valor que debe aparecer en la salida es el 49 . Para representar el 49 se necesitan


6 bits . Por tanto, el circuito posee 6 seales de salida, z 5 z 4 z 3 z 2 z l zo , que toman los siguientes
valores :

000-0

001-1

010-2

011-3

100-4

101-5

110-6

111-7

000000

000001

000100

001001

010000

011001

100100

110001

abc- N . Dec .
Z5Z4Z3z2z

De esta tabla se obtiene un mapa de Karnaugh para cada salida y, de l, una expresin
de las mismas :

11

00 01

10

1\ 0
0

0 0 0 0

0
U

z 5 = ab

z 4 = ac+ ab

00 01
z2 = bc

11

10

z 3= bc+ abc

C
0

z1= 0

N
11,1 Oa >

Ahora ya es inmediato dibujar un circuito en dos niveles AND-OR NAND-NAND, de


la misma forma que ya se ha hecho en los problemas anteriores .
Problema 6.- El horario laboral de una factora es de 8 horas diarias, divididas en tres turnos :
de 8 a 11 (primer turno), de 11 a 13 (segundo turno), de 13 a 16 (descanso) y de 16 a 19 (tercer turno) .
Se pretende disear un circuito que tenga como entradas la representacin binaria
de la hora actual menos ocho y que proporcione a la salida el nmero de turno que est trabajando (si procede) o "0" si es hora de descanso . Se pide :
a) Expresar las funciones mnimas de salida como suma de productos y como producto de sumas .
b) Obtener las expresiones correspondientes a cada una de las anteriores funciones
realizadas con un solo tipo de puertas.
Solucin P6 .- De acuerdo con el enunciado, si H es el nmero decimal de la hora, (H-8) (2 es
el valor en binario asociado que acta como entrada del circuito . La ltima hora a sealar va
desde las 18h a las 19h, por lo que en binario corresponde a (18-8)=10 . Se necesitan 4 bits para

58

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

poder representar los nmeros 0-10 : a, b, c y d .


Las salidas deben representar cuatro casos : descanso, ]ir turno, 22 turno y 3e' turno . Se
necesitan, por tanto, dos seales de salida : z1zo, cuyo valor en binario representar los 4 casos
con la siguiente codificacin :
TURNO
1
2
descanso
3

HORAS
8-9-10
11-12
13-14-15
16-17-18

HORAS-8
0-1-2
3-4
5-6-7
8-9-10

z 1 zO

0
1
0
1

1
0
0
1

A partir de esta tabla se construyen los mapas de Karnaugh y de ellos se obtienen las
siguientes expresiones de las salidas, en forma sp y ps :

~1
~ V1
-J

~Lr

z 1 =a+bcd+bcd

z 1 = ( a + b + c)(a + c +d)(d+ b)

z0=bc+bd

zp=b(c+d)

b) Las expresiones sp son trasladables directamente a expresiones NAND-NAND y las


ps a expresiones NOR-NOR . As:
Expresiones :
NAND-NAND
NOR-NOR

z 1 = a(bcd)(bcd)
z 1 = ( a+b+c)+(a+ c +d)+(d+ b)

zp=(bc)(bd)
z 0 = b +(c +d )

Las mnimas son : NAND-NAND para z1 y NOR-NOR para z 0 .

Problema 7.- Se pretende disear un circuito comparador de 2 nmeros de 2 bits, A=(a s a o)


y B=(b 1 ,bo). Dicho circuito deber tener tres salidas M, 1, m, de tal forma que :
M = 1 s A>8
1= 1 sii A=B
m = 1 s A<8
Disese exclusivamente con puertas NOR .
Solucin P7 .- Para hacerlo exclusivamente con puertas NOR obtendremos las expresiones ps
de las tres salidas, M, 1 y m .
Del enunciado se obtienen directamente los mapas de Karnaugh de cada una de las funciones :

59

DISEO DE CIRCUITOS COMBINACIONALES

00

01

11

10

00

morra
r-

I/IV 00

LES

VOL:J

EN

Za-

90 0

M = (a i +ao) (a 1 +b o ) (a 1 +E 1 ) (b 1 +ao) (bi+bo)

01

11

10

Crac=~!~1
or!~~~J
Dula=
a ar`v~i
m

m = (b i +b o ) (b i +a o) (b 1 +a 1 ) (a l +bo) (a i +a o )

I =(a l +i) ( 1 +b 1 ) (ao+b0) (o+b o )

Problema 8.- Se ha diseado una puerta de tres entradas llamada bomba (cuyas caractersticas se muestran) con un resultado desafortunado . Experimentalmente se encuentra que las
combinaciones de entrada 101 y 010 hacen explotar la puerta . Determine si hay que inutilizar
las puertas o, por el contrario, pueden ser modificadas externamente (aadiendo un circuito)
de forma que sea funcionalmente completa y que sin embargo no explote .
ABC

1 11
BOMBA

BOMBA(A,B,C)

BOMBA(A,B,C)

Solucin P8.- Debemos conseguir que el circuito no explote en ninguna combinacin de entrada de forma que no cambiemos la funcin de salida . Para ello vamos a aadir un circuito
con 3 entradas (a, b, c) y tres salidas (A, B, C) de manera que BOMBA(a,b,c) = BOMBA
(A,B,C) segn la tabla del enunciado :

CIRCUITO
b

A DISEAR

m
B

BOMBA(a,b,c) = BOMBA(A,B,C)

O
m

Las salidas ABC=101 y 010 deben ser evitadas para que no explote el circuito . Como
BOMBA(0,1,0) = 1, podemos hacer que para abc=010 las salidas ABC sean cualquiera de las
que dan 1 en la salida del circuito BOMBA . Esto es, ABC= 000, 011, 100 . Como
BOMBA(1,0,1)= 0, podemos hacer que para abc=101 las salidas del circuito sean cualquiera
de las que dan 0 en la salida del circuito BOMBA . Esto es, ABC= 001, 110, 111 .

60

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Con el fin de no producir ms cambios, para cualquier otra combinacin de abc, haremos ABC=abc .
Tenemos que hacer dos elecciones, una para abc=010 y otra para abc=101 . Una buena
solucin es la que implica menos cambios en las seales :
abc = 0l0 -* ABC = 000
abc = 101 - ABC = 111
Con estas elecciones la nica seal que cambia es B mientras que A y C cumplen A=a
y C=c .
Del mapa de Karnaugh se obtiene una expresin de B en funcin de a, b y c :

sal
J
1
al'l~~L

B=ab+ac+bc

Problema 9.- Utilizando el mapa de Karnaugh, determine las expresiones mnimas en suma
de productos y producto de sumas de las siguientes funciones :
a) f(x, y, z, u) = E(3, 4, 7, 8, 10, 11, 12, 13, 14)
b) f(x, y, z, u) = E(0, 4, 6, 7, 10, 12, 13, 14)
Solucin P9 .

a)
z xy

00

01

11

a
non
rol
ORO

01
11
10

nono
e
Enn

00

C4:110.

1
1

jlezOC

ro

f=(x+y+ z) (x+z+

f=yzu+xyz+xzu+xyz+xu
(y

+ z + u)

u)

(x+z+u)

(x + y + z + u)

b)
z xy

00

01

11

dan

01

11
0
10

uo

z xy

01 Lle

n0

11

n01

10

f=xzu+xyz+xyz+xz

00

01

11

01a

nl ,

111

f=(y+u)(x+y+z)(x+z+u)

(x+z+

(x+y+z)

DISEO DE CIRCUITOS COMBINACIONALES

61

Problema 10.- Simplifique f = E(1, 2, 7, 8, 19, 20, 25) + d(10, 11, 12, 13, 14, 15, 26, 27, 28)
Solucin P10 .
abc
d

110

00
01

11

10

CE

G
I-

111 101

100

17

0
0

f=abe+abcde+cde+
+acde+acde+abce+acde

Problema 11 .- Razone si una OR de dos entradas con inhibicin puede ser funcionalmente
completa si disponemos del "0" y del "1 ". Las variables se encuentran en nico ral . Implemente f=m 1 +m3+m 4+m 6 usando este tipo de puertas .
Solucin P11 .-La puerta OR opera de acuerdo con la siguiente tabla :
I
I
0
1

1
z

z
x+y
0 : Inhibicn

La puerta ser funcionalmente completa si podemos realizar las operaciones AND, OR


y NOT . En la figura siguiente se muestra cmo es posible implementar cada una de estas funciones contando slo con esta puerta, el "0" y el "1" . :
NOT:

AND :

OR :

.A

-1

A+B
?1

AB

Por tanto, la puerta es funcionalmente completa .


Para implementar f=m 1 +m 3 +m4+m6 obtenemos una expresin mnima en forma sp a
partir del mapa de Karnaugh y la implementamos directamente :

la
>_1

ac

`M
ffiffila
f = c+ ac

>_1

ac

0
1
>_1

62

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 12.- Un circuito que realiza la funcin z(a, b, c) est compuesto de dos subcircuitos
(ver figura) . La combinacin de entradas abc=001 nunca ocurre . La tabla de verdad del subcircuito N, es la mostrada . Es posible cambiar algunos valores de u, v, x a inespecificaciones sin modificar z(a, b, c)?. Si es as, indique todos ellos y realice un buen diseo de N 1 con
puertas NOR tras obtener todos los valores inespecificados .
abc
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
10 1
1 1 0
1 1 1

uvx
0 0 1
1 1 0
1 1 1
0 0 0
1 1 0
1 1 1
0 1 0
1 0 1

-----------------------------------N2

a
b
c

---------------------------------

Solucin P12 .-Llamemos P ala salida de la puerta EXOR : P = v O+ x . Busquemos las posibles inespecificaciones de u, v y x, de forma que no se modifique la funcin z, la cual toma el
siguiente valor : z = P u . De esta manera :
1) Si u=0, entonces z=0 independientemente del valor de P . De aqu que P pueda estar
inespecificado para u=0 .
2) Si P = 0, entonces z=0 independientemente del valor de u .
3) Si u=P=1, entonces z=1 .
Por otra parte, P = v O+ x , de forma que : P=0 si v=x ; P=1 si

v=x .

Las inespecificaciones pueden ocurrir en los siguientes casos :


a) Valores de entrada que nunca pueden ocurrir . En este caso en el enunciado del problema se dice que la combinacin de entrada abc = 001 nunca ocurre . Por tanto para esta combinacin de entrada u, v, x = d, d, d, siendo d inespecificacin .
b) Valores de entrada para los que u=0 . En este caso P puede tomar cualquier valor, de
forma que v y x pueden ser inespecificaciones . As, para abc = 0 0 0, 0 1 1, 1 1 0, u = 0 y
v,x=d,d .
c) Valores de entrada que hacen P=O . Esto es, valores para los que v=x . En este caso u
es inespecificacin . As, para abc = 010, 101, v=x y por tanto, u= d .
La tabla de verdad considerando las inespecificaciones sera la siguiente :
abc
uvx
0 0 0 0 d d
0 0 1 d d d
0 1 0 d 1 1
011
Odd
1 0 0 1 1 0
10 1 d l l
110
Odd
1 1 1
1 0 1

DISEO DE CIRCUITOS COMBINACIONALES

63

Para disear el circuito N 1 con puertas NOR vamos a construir los mapas de Karnaugh
y obtener las expresiones mnimas ps :

oma

arv~o
uo
u= a(b + c)

Mal- 0111

v= a+ b

>1

x=+c

>1

>1

>1

>1

>_1

Problema 13.- En la tabla representada aparecen todas las implicantes primas y todos los
mintrminos de una funcin f(a,b,c,d) que tambin tiene inespecificaciones . Determine cules
son los mintrminos (m, m) e implicantes (A, B) desconocidos, as como todas las inespecificaciones de la funcin .
3

ad
ac
bc
cd
A
B

X
X

8
X

12
X

m'
X
X

X
X
X

Solucin P13 .- La resolucin del problema puede efectuarse utilizando una representacin de
la informacin que aporta la tabla del enunciado sobre un mapa de Karnaugh . En esta tabla
aparecen expresiones de todas las implicantes primas menos dos (A y B) de la funcin y todos
los mintrminos menos dos (m y m') . En el siguiente mapa se muestra la informacin de partida acerca de la funcin :

64

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

u=
-u
=amo
Ramo
f
Implicante a d : Vale 1 cuando a = 1 y d = 0 . Son las posiciones 8, 10, 12 y 14 sobre un
mapa de Karnaugh . 8 y 12 son mintrminos de f . Como esta implicante cubre a m' este mintrmino solo puede ser el 10 el 14 . El que no sea m' ser una inespecificacin, d .
Implicante ac : Vale 1 cuando a = 1 y c = 1 . Son las posiciones 10, 11, 14 y 15 sobre un
mapa de Karnaugh . Esta implicante slo cubre a un mintrmino de f, m', por lo que posee tres
inespecificaciones . De la implicante anterior se sabe que m' o es el mintrmino 10 el 14 . De
esta forma las posiciones 11 y 15 del mapa son inespecificaciones, d .
Implicante Ec : Vale 1 cuando b = 0 y c = 1 . Son las posiciones 2, 3, 10 y 11 sobre un
mapa de Karnaugh . Esta implicante solo cubre al mintrmino 3 y al m . La posicin 11 ya sabemos que es inespecificacin por lo que m slo pueden ser la posicin 2 o la 10 . Ahora bien
de las anteriores implicantes sabemos que la posicin 10 es m' o inespecificacin . Esta implicante no cubre a m' por lo que la posicin 10 no puede ser el mintrmino m', sino inespecificacin . Por tanto el mintrmino m es el mintrmino 2 y el mintrmino m' es el mintrmino 14 .
Implicante c d : Vale 1 cuando c = 1 y d = 1 . Son las posiciones 3, 7, 11 y 15 sobre el
mapa de Karnaugh . 3 y 7 son mintrminos de f y 11 y 15 ya sabemos que son inespecificaciones por lo que esta implicante no aporta ms informacin .
Con lo que hemos obtenido hasta ahora, el mapa de karnaugh de la funcin f queda de
la siguiente manera :
b
d
00
01
11
10

00

01

11

10

..01a
.a- .
vpvR
=a .1
f

Falta por averiguar cules son la implicantes primas A y B .


Implicante A: cubre a los mintrminos m=2 y 8, que estn en esquinas opuestas . La nica posibilidad es que A sea la asociacin de las posiciones en las cuatro esquinas, esto es, las
posiciones 0, 2, 8 y 10. Como 8 y 2 son mintrminos, 10 es inespecificacin y, teniendo en
cuenta que A slo cubre a dos mintrminos, la posicin 0 es inespecificacin . La expresin
para A es b d

DISEO DE CIRCUITOS COMBINACIONALES

65

Cualquier otra posibilidad para A dara lugar a que alguna de las implicantes que ya conocemos, no fuese prima y sabemos que s lo son . Teniendo en cuenta que en la primera columna del mapa de Karnaugh, posiciones 0, 1, 3 y 2 todas son inespecificaciones o mintrminos menos el 1 y que no existe ninguna implicante prima que los cubra a todos (la implicante
B no posee al mintrmino m = 2) la posicin 1 tiene que ser un maxtrmino . Al igual le ocurre
a las posiciones 13, 6, 9 y 4 que son maxtrminos .
Implicante B : cubre a los mintrminos 5 y 7 . Las casillas adyacentes a 5 y 7 son maxtrminos (1, 6, 4, 13) por lo que B es la implicante prima que asocia exclusivamente a los mintrminos 5 y 7 . La expresin de B es bd .
Resumiendo, el mapa de Karnaugh de f queda de la siguiente manera :
ab
c\ 00

01 11

10

m=2

m` = 14

00

01

11

10

A=bd
B=bd

Problema 14.- El circuito de la figura ha sido diseado para comparar las magnitudes de dos
nmeros binarios de dos bits a 2 a l y b2 b 1 . Si z=1 e y=0, a 2 a l es el mayor. Si z=0 e y=1, b 2
b 1 es el mayor. Si z=y=0, los dos nmeros son iguales . Sin embargo el circuito propuesto no
cumple las especificaciones solicitadas . Compruebe este hecho y modifique el diseo para
que sea correcto .

- z

a2

b
a,
Solucin P14 .-Analicemos el circuito :

z=a,b 1 (a2b2 +a2b2)

a 1 b 1 a2b2 +a 1 b 1 a2 b2

y = a 1 b1 (a2b2 +a2b2)

a,b,a 2b2 +a,b 1 a 2b2

66

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Ahora vamos a construir los mapas de Karnaugh correspondientes a las funciones de z


e y que suministra el circuito y el mapa de Karnaugh correspondiente a la funcin especificada
en el enunciado :
FUNCIN

CIRCUITO

ESPECIFICADA

a2al

a 2a l

b 2\ 00

01

11

10

b2\ 00

01

11

10

00

00

10

00

00

00

00

10

10

10

01

01

00

00

00

01

01

00

10

10

11

00

00

00

01

11

01

01

00

01

10

01

01

10

00

10

00

00

10

00

zy

zy

Se observa que (zy)cir # (zy)func . En particular las diferencias estn en que tanto z como
y tienen 4 mintrminos ms en la funcin especificada que en el circuito suministrado en las
siguientes posiciones :

r
---DJ
-------

----

--

r a-.
al a-

----

EN
a 2b2

As, las funciones correctas sern :


Z zc + a2b2

Y = Yc + a2b2
La reforma del circuito consistir en aadir dos puertas OR para hacer las sumas ya que
los trminos productos ya estn en el circuito :

>1
Zfunc

>_1

a2 -a

C
Ycir

>1
- Yfunc

bl
al

DISEO DE CIRCUITOS COMBINACIONALES

67

Problema 15.- Las funciones del circuito de la figura dependen, en general, de las variables
(w,x,y,z) . Sabiendo que f = E (0, 4, 9, 10, 11, 12):
(incluyendo
funciones
f2 :# 0 y
f3 :# 0
completamente las
a)Determine
inespecificaciones) .
b)Realice los circuitos que proporcionan f2 y f3.
---------------w
x

z
f

-s

f
---------------

Solucin P15 .
a) Analizando el circuito de la figura se obtiene que f = H + f 3 , siendo H la salida de la
puerta AND . De aqu se deriva que los maxtrminos de f son maxtrminos de H y de f 3 , mientras que los mintrminos de f son mintrminos de H de f3 . Por tanto, los mapas de Karnaugh
de H y f 3 tienen los siguientes maxtrminos :
wx

wx

wx

Y\

00

01

11

10
Y\

00

01

11

10

\
y
00

01

11

10
0

00

00

01

01

01

11

11

11

10

10

10

00

f3

Por otra parte, H = f 1 f2 = (x0 z)f2 . De aqu que los mintrminos de H son mintrminos
de f 1 y de f2 , mientras que los maxtrminos de H son maxtrminos de f 1 de f2 .
Como f 1 es conocida, a partir de ella y de H se obtiene parte del mapa de f 2 :
wx
f2 est inespecificada
en aquellas celdas en las
queH=Oyf 1 = 0 .
Por otra parte, f2 = 0 en
celdas en las que H = 0
yf i =1 .

--------

Revisando los mapas de H, f2 , f3 y f podemos concluir lo siguiente :


- H vale 0 en las celdas 0 y 10 porque f 1 vale 0 en esas celdas . Como f = 1 en las celdas
0 y 10, f3 tiene que valer 1 en esas mismas celdas .
- Como f2 tiene que ser distinta de 0, tendr algn mintrmino en alguna de las celdas

68

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

donde no es ni inespecificacin ni 0 (celdas 4, 9, 11 12) . Como f = 1 en esas celdas, en aquellas en las que f2 = 0, f3 debe tomar el valor 1 . Por tanto, existen varias soluciones, dependiendo
de cules de las celdas 4,9,11 12 consideremos mintrminos de f 2 .
- Una de las posibles soluciones es la que se muestra a continuacin :
wx
00

Y\
00

=amo

000
000
0 0

01

11

10
0

01

11

10

f3

b) De los mapas de f2 y f3 obtenemos expresiones para f 2 y f3 :


wx

wx

00_1
000
000
0 0

Yz
00

00

01

10
0

01
= wY

11

11

10

n
111

f3=wyz+wxy

Problema 16.- En la figura se representa una funcin de 4 variables incompletamente especificada . Asigne valores a las inespecificaciones para conseguir especificar completamente la
funcin de la forma que se indica en cada uno de los casos siguientes :
ab
00 01 11 10
cd
00 1
d
d
d
01

a)
b)
c)
d)
e)

z
z
z
z
z

11 0

10 d

pasa a depender de slo dos variables .


tiene nicamente cinco mintrminos sin implicantes superiores .
tiene exactamente cuatro implicantes primas .
tiene una implicante prima no esencial .
tiene el mismo nmero de implicantes primas que de implicadas primas .

Solucin P16 .
a) Teniendo en cuenta que hay dos mintrminos en esquinas opuestas, para lograr que z
dependa slo de dos variables, las inespecificaciones de las otras esquinas deben sustituirse por

DISEO DE CIRCUITOS COMBINACIONALES

69

mintrminos . El resto de inespecificaciones se sustituirn por maxtrminos .El mapa de


Karnaugh y la expresin final de z quedan como sigue :
ab
cd

00

01

11

10
1

00

01

11

10

z=bd

b) Para que no haya implicantes superiores, no puede haber dos mintrminos adyacentes. El mapa quedara de la siguiente manera :
ab
00

01

11

10

00

01

11

0
0

0
0
0

cd

10

c) Una implicante prima es aquella implicante (agrupacin de mintrminos) que no est


incluida en una implicante de orden superior . Para conseguir 4 implicantes primas
exactamente debemos hacer las siguientes substituciones en el mapa :

d) Una implicante prima no esencial no posee ningn mintrmino distinguido :.


ab
00

01

11

00

1)

0 H-

01

11

10

cd

10

Implicante
prima
no esencial

70

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

e) En el siguiente mapa existen dos implicantes primas y dos implicadas primas (implicada como agrupacin de maxtrminos) :

J v~a -

u
w~w
I

Problema 17.- Realice la funcin f con puertas : a) NAND, b) NOR .


f = abcd + atice + acde + atice + bce

+ atic + abcd

+ abec

Solucin P17.

a) Para realizar la funcin con puertas NAND vamos a obtener la expresin mnima en
forma de suma de productos (sp) que nos da una forma directa de implementarla en dos niveles
de puertas NAND .
Para obtener la expresin mnima vamos a aplicar el mtodo de Quine-McCluskey . Este
mtodo parte de la expresin suma de mintrminos de la funcin . En primer lugar, obtenemos
esa expresin a partir de la que nos dan . Para ello construimos el mapa de Karnaugh :

000 001 011 010 110 111

101

100

00

01

11

10

f = 1 (4,6,9,11,12,13,17,19,21,22,23,25,27,29)
Una vez con la expresin suma de mintrminos, el mtodo consta de dos partes :
1) Obtencin de las implicantes primas .
El procedimiento de obtencin de las implicantes primas consta de los siguientes pasos :
1) Listar todos los mintrminos por su ndice (nmero de unos del cdigo
asociado a cada mintrmino) .
2) Comparar cada mintrmino de ndice j (empezando por j=0) con cada
mintrmino de ndice j+l . En el caso de ser adyacentes :
a) Formar una nueva pareja con ambos mintrminos e incluirla en una
nueva lista, dentro del grupo de ndice j . (Cada pareja es una implicante) .
b) Marcar ambos mintrminos .

DISEO DE CIRCUITOS COMBINACIONALES

71

3) Una vez comparados todos los mintrminos, proceder con la nueva lista
(la de implicantes) de forma similar al punto 2 . Esto es, comparar cada implicante de ndice j con cada implicante de ndice j+l . En caso de ser adyacentes, formar la nueva implicante que cubre a las implicantes anteriores y
aadirla a una nueva lista . Adems, marcar las implicantes agrupadas .
4) Una vez comparadas todas las implicantes de todas las listas generadas,
son implicantes primas todas aquellas que estn sin marcar al final del proceso .
El desarrollo de estos pasos, en este caso, es el siguiente :
Mintrminos listados
por su ndice

Implicantes de
dos mintrminos
(4,6)-2 J
(4,12)-8 1

ndice 1

4<

ndice 2

6<
9<
12<
17<

ndice 3

ndice 4

11<
13<
19<
21<
22<
25<
23<
27<
29<

(6,22)-16 H
(9,1l)-2<
(9,13)-4<
(9,25)-16<
(12,13)-1 G
(17,19)-2<
(17,21)-4<
(1 7,25)-8<
(11,27)-l6<
(13,29)-16<
(1 9,23)-4<
(19,27)-8<
(21,23)-2<
(21,29)-8<
(22,23)-1 F
(25,27)-2<
(25,29)-4<

Implicantes de
cuatro mintrminos
(9,11,25,27)-2,16 E
(9,13,25,29)-4,16 D
(17,19,21,23)-2,4 C
(17,19,25,27)-2,8 B
(17,21,25,29)-4,8 A
Expresin de cada implicante
a b c d e
A

1--01

ad

1-0-1

a e e

lo

abe

-1-01

bde

-10-1

b e e

1011-

abcd

0110-

abcd

-0110

bcd-e

0- 100

acde

001-0

asee

II) Cubrimiento mnimo .


El proceso de obtencin del cubrimiento mnimo consta de varios pasos :
1) Formar la tabla de implicantes primas . Para ello, poner los mintrminos
de la funcin como cabezas de columnas y las implicantes primas como cabezas de filas . Marcar los mintrminos cubiertos por cada implicante .
2) Determinar las columnas distinguidas seleccionando las implicantes primas esenciales para formar la expresin de la funcin . Eliminar todos los
mintrminos cubiertos por las implicantes esenciales .
3) Si hay mintrminos de la funcin que an no han sido cubiertos, simplificar la tabla . Para ello, eliminar las filas dominadas (si el coste es mayor o
igual que la dominante) y las columnas dominantes .

72 PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

4) Verificar si hay implicantes esenciales secundarias, en cuyo caso repetir


el proceso desde el paso 2 .
5) Si se llega a una tabla cclica, obtener el cubrimiento mnimo bien por el
mtodo exhaustivo, bien por el mtodo de Petrick .
6) La suma estrictamente mnima de la funcin se obtiene mediante la suma
de las implicantes seleccionadas en los pasos 2 y 5 .
Notas adicionales :
1 .- En funciones incompletamente especificadas, las inespecificaciones se toman como
"unos" a la hora de obtener las implicantes primas y no se tienen en cuenta a la hora de formar
la tabla de implicantes primas .
2 .- La obtencin del producto de sumas mnimo, sigue el mismo proceso tomando los
"ceros" de la funcin en vez de los "unos" .
Comenzamos construyendo la tabla de implicantes primas :
6

22
23
17
:19
21
A
~{


. . .. ... . . . . . . . . . ... . . . . . . . . . . .. . . . . . . . . . . . . . ....

x X . . . . . . . .... .
..... . .. . . . . . . . . . .... . . . . . . . . .. . .. . . . . . . . . . . . .. .. . . . . . . . . . . . . . ..... . . . . . . . .l. .x . . . . . . . .. . . . . . . . . . . .... . .. . . . .
C... .
.
D
X
E
X
X
F
X
X
G
X
X
H
X
X
I
X
X
J
X
9

11

12

13

25
t{
... . X . . . .

,.

27

29
X

x ....... .. ..
A
X

t{
X

'

Columnas distinguidas : 11 . Por tanto, E es implicante prima esencial y se selecciona . Se


eliminan de la tabla de cubrimiento los mintrminos de E : 9, 11, 25, 27 .
Filas dominadas : B es dominada por C . Por tanto, eliminamos B .
Columnas dominantes : la columna 17 domina a 19 y 21 . Se elimina 17 .
Columnas distinguidas secundarias : 19 . Por tanto, C Implicante prima esencial secundaria y se selecciona . Se eliminan sus mintrminos : 19, 21, 23 .
En este punto, por claridad, reescribimos la tabla eliminando las columnas y filas ya
tachadas .
4
6
12
13
22
29
A ; ;~ . .
. . . . . . . . . . .. .. . . . . . . . . . . . ..... . . . . . . . . . . . . . . . .

0 0

. . . .. . . . . . . . . . . . . ..

V. . . . .

c.... . . . . . . .. . . . . . . .. . . . . . . . . .

-. . . .

DISEO DE CIRCUITOS COMBINACIONALES

73

Filas dominadas : A es dominada por D . Por tanto, eliminamos A ; F es dominada por H,


de aqu que eliminemos F .
Columnas distinguidas secundarias : 22 . Por tanto, H es esencial secundaria y se selecciona . Columna 29 . Por tanto, D es esencial y se selecciona . Eliminamos los mintrminos 6,
22 (de H), 13, 29 (de D) .
Filas dominadas : G es dominada por 1 y J es dominada por 1 . Eliminamos G y J .
Columnas distinguidas secundarias : 4 -* 1 es esencial y con ella la funcin queda completamente cubierta . Por tanto :

f = E+C+H+D+I = bce+abe+bcd+bde+cd
b) Con puertas NOR, el procedimiento es similar con las diferencias de que hay que obtener una expresin mnima en forma producto de sumas (ps) y, por tanto, hay que partir de la
expresin producto de maxtrminos de f . A partir de esta expresin, el mtodo de Quine-McCluskey se aplica exactamente igual que en el caso anterior . La solucin que se obtiene es la
siguiente :

f = ( c+e) (a+b+) (a+d+e) (b+c+d)


Problema 18.- Florencio va a ir a una fiesta esta noche, pero no solo . Tiene cuatro nombres
en su agenda : Ana, Bea, Carmen y Diana . Puede invitar a ms de una chica pero no a las
cuatro . Para no romper corazones, ha establecido las siguientes normas :
- Si invita a Bea, debe invitar tambin a Carmen .
- Si invita a Ana y a Carmen, deber tambin invitar a Bea o a Diana .
- Si invita a Carmen o a Diana, o no invita a Ana, deber invitar tambin a Bea .
Antes de llamarlas por telfono, quiere utilizar un circuito que le indique cundo una
eleccin no es correcta . Aydele a disear el circuito ptimo en dos niveles con puertas
NAND . (Utilice el mtodo de Quine-McCluskey) .
Solucin P18 .- Descripcin de las variables :
Vamos a asociar una variable de conmutacin a cada persona : A, a Ana ; B, a Bea ; C, a
Carmen y D, a Diana . Cada variable puede valer 0 1 con el siguiente significado :
- Si vale 0 significa que la persona NO va a la fiesta .
- Si vale 1 significa que S va a la fiesta .
Vamos a disear un circuito con una salida F que tomar los siguientes valores :
- F=0 si la eleccin es correcta (cumple todas las normas) .
- F=1 si la eleccin es incorrecta .
OBTENCIN DE LA FUNCIN :
En el enunciado se indican 5 condiciones a cumplir :
- C 1 : Que no va solo .
- C 2 : Que no van las 4 chicas juntas .
- C 3 : Si va B (Bea), debe ir C (Carmen) .

- C4 : Si van A y C, debe ir B D .

- C 5 : Si va C D no va A, debe ir B .
F valdr 1 cuando la eleccin sea incorrecta, esto es, cuando se incumpla alguna de las
condiciones . Podemos expresar F como una suma de productos donde cada trmino producto
representa una condicin :

F = C1 + C2 + C3 + C4 + C5

Debemos encontrar los trminos productos asociados a cada condicin, teniendo en


cuenta lo siguiente :

74

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

CX = 1 si no se cumple la condicin .
CX = 0 si se cumple la condicin .
La condicin C 1 se incumple en el caso de que no vaya ninguna chica, esto es, en el caso
de que A=0 B=0 C=0 y D=0 . En este caso C 1 =1 . El trmino producto asociado a esta condicin
es el mintrmino 0 : C 1 =A B C D .
La condicin C2 se incumple en el caso de que vayan todas las chicas, esto es, en el caso
de que A=1 B=1 C=1 y D=1 . En este caso C 2=1 . El trmino producto asociado a esta condicin
es el mintrmino 15 : C 2=A B C D .
La condicin C3 se incumple en el caso de que vaya B y no vaya C . Esto es, si B=1 y
C=0 . En este caso C3=1 . El trmino producto asociado a esta condicin es : C3=B C .
La condicin C4 se incumple en el caso de que vayan A y C y no vayan ni B ni D . Esto
es, si A=1 C=1 B=0 y D=0 . En este caso C4=1 . El trmino producto asociado a esta condicin
es : C4=A C B D.
La condicin C 5 se incumple en el caso de que vayan C o D o no vaya A y no vaya B .
Esto es, si (C=1 D=1 A=0) y B=O . En este caso C 5 =1 . El trmino asociado a esta condicin
es : C 5 = (C+D+A)B= A B+ B C+ B D .
De esta forma se obtiene que F=ABCD+ABCD+BC+ACBD+AB+BC+BD
En forma de suma de mintrminos queda : F = E (0,1,2,3,4,5,9,10,11,12,13,15)
A continuacin, vamos a obtener una expresin ptima de F mediante el mtodo de
Quine-McCluskey que consta de dos partes .
1) Obtencin de las implicantes primas . En nuestro caso, se obtiene :
Mintrminos listados
por su ndice
ndice 0

0<

ndice 1

1<
2<
4<

ndice 2

3<
5<
9<
10<
12<

Implicantes de
dos mntrminos
(0,1)-1<
(0,2)-2<
(0,4)-2<
(1,3)-2<
(1,5)-4<
(1,9)-8<
(2,3)-1<
(2,10)-8<
(4,5)-1 <
(4,12)-8<

Implicantes de
cuatro mntrminos
(0,1,2,3)-2,1
(0,1,4,5)-4,1
(1,3,9,11)-8,2
(1,5,9,13)-8,4
(2,3,10,11)-8,1
(4,5,12,13)-8,1

11
12
13
14
15

16

(9,11,13,15)-4,2 17

Expresin de cada implicante


ABCD

ndice 3
ndice 4

11 <
13<
15<

(3,11)-8<
(5,13)-8<
(9,11)-2<
(9,13)-4<
(10,11)-1<
(12,13)-1<
(11,15)-4<
(13,15)-2<

11

00--

12

0-0-

AC

13

-0-1

BD

14

--01

CD

15

-01-

BC

16

-10-

BC

17

1--1

AD

DISEO DE CIRCUITOS COMBINACIONALES

75

I I) Cubrimiento mnimo
Construimos la tabla de implicantes :
0

X
C
D
X
E . . ... .

F . . . . . . . . . . . . .

10

11

12

X
k
. . .

- -----

.
.-

. . . . . K . . . . ..x

. . . . . .. . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . .. . . . . . . . . . . . . . . . . .

15

X
. . . . .

. . . . . . .

13


... . . .

.... .

.. . . . . . . . . . . . . . . -. . . .i . .

....
.

.....

a
. . . . . . .;
.....

10 es una columna distinguida, entonces, IE es una implicante prima esencial . Se eliminan los mintrminos de IE : 2, 3, 10, 1 . Lo mismo ocurre con la columna 12 y la implicante IF,
y se eliminarn los mintrminos de IF : 4, 5, 12, 13 .
15 tambin es una columna distinguida e IG es una implicante prima esencial . En este
caso se eliminan los mintrminos de IG : 9, 11, 11, 15 .
En este punto reescribimos la tabla eliminando las columnas y filas ya tachadas .
1

B
. . . .e
...... ....

1
X

Filas dominadas : C y D son dominadas por A y B, entonces eliminaremos C y D .


En la tabla resultante tras eliminar C y D, tanto IA como IB cubren todos los mintrminos que faltan y adems tienen el mismo coste, por lo que se puede elegir cualquiera de ellas .
Por tanto :

AB
F = IE+IF+IG+ IA = BC+BC+AD+
IB
AC

Problema 19 .- Una empresa distribuye un cierto producto en ocho pueblos (A, B, C, D, E,


F, G, H) de una comarca, comunicados entre s como indica la tabla siguiente (cada X seala
dos pueblos vecinos):
A

x
x
x xx
x
x
x
B

D
xE

F
G

La empresa quiere construir almacenes de forma que cada pueblo, o bien tenga un
almacn o bien un pueblo vecino lo tenga .

76

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

a) Determine todas las formas de hacerlo construyendo el menor nmero de almacenes


posibles .
b) Si en los pueblos A y G se ponen almacenes por tener mayor nmero de habitantes,
determine todas las soluciones ms econmicas .
Solucin P19 .- a) Este es un problema de cubrimiento : con el menor nmero de almacenes,
cubrir todos los pueblos . Vamos a aplicar la segunda parte del mtodo de Quine-McCluskey,
que consiste en hacer un cubrimiento ptimo . Si bien en el caso del mtodo de Quine-McCluskey el problema consiste en obtener un "conjunto de implicantes mnimo" que cubra a todos
los "mintrminos", en nuestro caso vamos a aplicarlo a obtener un "conjunto mnimo de almacenes instalados en los pueblos" de forma que cubramos a todos los "pueblos" . Existe una
equivalencia entre implicantes y almacenes en los pueblos y entre mintrminos y pueblos .
Vamos a construir una tabla de cubrimiento donde vamos a tener una fila por cada
almacn que se pueda instalar en un pueblo y una columna por cada pueblo :
A

X
X

c
d

e
f

g
h

X
X

X
X

X
X
X

donde a, b, c, d, e, f, g y h representan un almacn en los pueblos A, B, C, D, E, F, G y H respectivamente . Cada uno de ellos cubre al pueblo donde est instalado y a los pueblos vecinos .
Por ejemplo, un almacn en el pueblo A, fila a, cubre a los pueblos A, B, D y F .
A partir de aqu el procedimiento es igual al del cubrimiento en Quine-McCluskey :
Columnas distinguidas ; filas dominadas y columnas dominantes . No obstante, en el enunciado

todas las soluciones mnimas . Cuando se pretende obtener


todos los cubrimientos mnimos, no se debe aplicar el criterio de eliminar filas dominadas, ya
nos dicen que se deben obtener

que este criterio elimina algunas soluciones mnimas .


Cubrimiento mnimo de la tabla .
Eliminamos las columnas dominantes : D (domina a B) y C y H (dominan a G) .
El resultado de eliminar estas columnas, es la siguiente tabla cclica :
A

X
X

c
d

e
f
g

X
X
X
X

X
X
X

DISEO DE CIRCUITOS COMBINACIONALES

77

Para resolver esta tabla vamos a aplicar el mtodo exhaustivo .


En este mtodo se parte de una columna con el mnimo nmero de marcas . En este caso
la columna E .
Para cubrir el pueblo E hay tres opciones : Instalar almacn en d en e en f . Analicemos cada una de ellas :
1 .- Eligiendo d : Eliminamos las filas que cubre d : A, B, y E quedando la siguiente tabla :
F
X

a
b
c
e
f
g

X
X
X
X
X

Con h se cubre el resto de la tabla . As pues con d y h se cubren todas las columnas .
2.- Eligiendo e : Eliminamos las filas que cubre e : E y F quedando la siguiente tabla :
a
b
c
d
f
g
h

X
X

X
X
X
X

X
X

X
X

Adems de e se necesitan al menos dos ms (por ejemplo : a y c b y g) . En total tres,


con lo que no son soluciones mnimas .
3.- Eligiendo f: Eliminamos las filas que cubre f: A, E y F quedando la siguiente tabla :
a
b
c
d
g
h

B
X
X
X
X

X
X

Con c se cubre el resto de la tabla . As pues con f y c se cubre todas las columnas .
Existen por tanto dos soluciones mnimas : Poner almacenes en los pueblos D y H
ponerlos en los pueblos C y F .
Existe otra forma de resolver la tabla de cubrimiento, aplicando el mtodo de Petrick .
Este es un mtodo que consiste en lo siguiente :
1 .- Se forma la funcin de Petrick como expresin producto de sumas, donde cada trmino suma corresponde a las formas de cubrir una columna mediante filas . P.ej ., A se cubre
con (a+b+d+f) :

78

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

P = (a+b+d+f)(a+b+c+d)(b+c+d+g+h)(a+b+d+e)(d+e+f)(a+e+f+h)(c+g+h)(c+f+g+h) .
2 .- Se obtiene la funcin de Petrick como suma de productos . El cubrimiento mnimo se
obtiene con las filas que aparecen en los trminos producto con menor nmero de literales :
P = cf+dh+acd+ace+ (el resto de trminos producto tiene 3 o ms literales) .
Por tanto, las soluciones mnimas son construir en C y F en D y H .
b) Si en A y G se colocan almacenes estn cubiertos todos los pueblos vecinos de A y
G junto con ellos . Se pueden eliminar de la tabla de cubrimiento inicial . Al eliminar estos pueblos la tabla queda como sigue :
1

d
e

E
X
X

Hay, pues, tres soluciones mnimas en esta caso son : { A,G,E }, { A,G,D } y { A,G,F } .

Problema 20.- Disee, con el menor nmero posible de puertas, un divisor por 2 de un dgito
BCD . D el resultado con una cifra decimal (tambin en BCD) .
Solucin P20 .-Debemos disear un circuito con 4 seales de entrada representando un dgito
BCD y que genere a la salida el resultado de dividir ese dgito por 2 . El resultado deber tener
una cifra decimal y estar representado en BCD . Por tanto la salida tendr 8 seales : z3 z 2z 1 _
z0 .u3u2u1u0, donde z3z2z1z0 representa el dgito BCD de la parte entera de la divisin y
u3u2u1u0 el dgito BCD de la parte fraccionaria .
La tabla de verdad del circuito es la siguiente :

N
0
1
2
3
4
5
6
7
8
9

ENTRADAS
a b c d
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0101
0 1 1 0
01 1 1
1 0 0 0
1001

SALIDAS
N/2
0 .0
0 .5
1 .0
1 .5
2 .0
2 .5
3 .0
3 .5
4 .0
4 .5

z3z2z1z0 .u3u2u1u0

0 0 0 0 .0 0 0 0
0 0 0 0 .0 1 0 1
0 0 0 1. 0 0 0 0
0 0 0 1 .0 1 0 1
0 0 1 0 .0 0 0 0
0010 .0101
0 0 1 1 .0 0 0 0
001 1 .0101
0 1 0 0 .0 0 0 0
0100 .0101

De la tabla de verdad se obtiene una expresin para cada salida sin ms que comparar
las columnas de cada salida con las columnas de las entradas . As se tiene :
z3=0,z2=a,z1=b, z0=c,u3=0,u2=d,u1=0,up=d .

DISEO DE CIRCUITOS COMBINACIONALES

79

Problema 21 .- Una cierta puerta de cuatro entradas llamada LIMON realiza la funcin
siguiente:
L IMON(A, B, C, D)=BC(A+D)
Suponiendo entradas en doble ral:
a) Realice la funcin:
f (v, x, y, z) = E (0, 1, 6, 9, 10, 11, 14, 15)
con slo tres LIMON y una OR .
b) Puede realizarse cualquier funcin en lgica LIMON/OR? .

Solucin P21 .- La puerta LIMON realiza la siguiente funcin :


LIMON(A,B,C,D)=L(A,B,C,D)=BC(A+D) = ABC + BCD .
Es posible realizar trminos productos de 1 variable (por ejemplo con L(1,x,1,1)=x), de
dos variables (por ejemplo L(l,x,y,1) = xy), de 3 variables (por ejemplo L(O,x,y,z) = xyz)
una suma de 2 trminos productos de 3 variables con al menos dos literales comunes . Teniendo
en cuenta esto vamos a obtener una expresin en forma de suma de productos para f y posteriormente veremos si es posible implementarla con puertas LIMON .
Del mapa de Kamaugh se obtiene la siguiente expresin para f :

n
090

f = vy + v x y + v x z + xyz (1)
x y z (2)
f

Tenemos dos opciones para f, (1) y (2) . Como slo disponemos de tres puertas LIMON
y la funcin f tiene 4 trminos productos, debemos usar una puerta LIMON para implementar
dos de los 4 trminos productos . Para ellos necesitamos dos trminos productos que compartan
dos variables . Esto es posible en la opcin (2), con los trminos productos v x y y x y z. La
suma de estos trminos productos la podemos implementar con la siguiente puerta LIMON :
LIMON (v,x,y,z) = v x y + x y z = L 1
Los otros dos trminos productos los implementamos cada uno de ellos con una puerta
LIMON de la siguiente manera :
LIMON (l ,v,y,1) = v y = L 2.
LIMON (v,x,z,0) =v x z = L3.
Por tanto :
f= L, +L2 +L3
b) Con la puerta LIMON podemos implementar trminos productos de hasta tres variables . Para implementar un trmino producto de ms de tres variables necesitamos ms de una
puerta LIMON pero es posible implementarlo . Por tanto s es posible implementar cualquier
funcin utilizando exclusivamente puertas 'LIMON (con tantos niveles como se necesiten) y
puertas OR.

80

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 22.- Se desea enviar mensajes de tres bits de una estacin a otra y, para evitar en
lo posible los errores, se ha decidido aadirle al mensaje un bit de paridad impar . Disponiendo
nicamente de puertas EXOR y NO-EXOR de dos entradas :
a) Disee el circuito que genere ese bit de paridad impar en la estacin emisora .
b) Disee tambin el circuito que compruebe en la estacin receptora que el mensaje
recibido es correcto.
c) Generalice ambos apartados para n bits.
Solucin P22 .
a) El enunciado nos pide disear un circuito que genere un bit de paridad impar a un cdigo de 3bits :

b
P = 0 si el n- de "1"
en abc es impar
CIRCUITO
COMBINACIONAL

P = 1 si el n2 de "I"
en abc es par

Hay que disear el circuito usando exclusivamente puertas EXOR y NO-EXOR .


La funcin EXOR se hace 1 cuando el nmero de 1 `s en sus variables es impar . Entonces, teniend o en cuenta la definicin de P, P es el complemento de la funcin EXOR de a, b y c :
P = a$b(Dc = (a$b) c
As, el circuito combinacional que genera P es el siguiente :

b) El enunciado nos pide disear un circuito que detecte un error de paridad impar en un
cdigo de 4 bits :

CIRCUITO
COMBINACIONAL

E = 0 si el n4 de "1"
en abcP es impar
E = 1 si el n - de "1"
E en abcP es par

Teniendo en cuenta la definicin de la funcin EXOR que se vio en el apartado a y la


definicin de E, E es el complemento de la funcin EXOR de a, b, c y P :
E = aObOcOP = (aOb) O (cOP)

DISEO DE CIRCUITOS COMBINACIONALES

81

As, el circuito combinacional que genera P es el siguiente :


a _
b

=1

o- E

c) Generalizacin al caso de n bits : xn-1,xn-2 x1 ,x0.


En el caso del generador de bit de paridad impar, la funcin del bit de paridad P es :
P = 1 si el nmero de 1 `s en los n bits es impar
P = 0 si el nmero de 1 `s en los n bits es par
De esta forma teniendo en cuenta la definicin de la funcin EXOR, la funcin P es el
complemento de la operacin EXOR de los n bits :
P = x- 1 O+xi-20+ O+ x 1 Ox o
El circuito se puede hacer encadenando puertas EXOR de dos entradas y una ltima
puerta NEXOR .
En el caso del detector de bit de paridad impar, la funcin se define exactamente igual
incluyendo un bit ms que es el bit de paridad P :
E = 1 si el nmero de l's en los n+l bits es impar
E = 0 si el nmero de l's en los n+l bits es par
De esta forma teniendo en cuenta la definicin de la funcin EXOR, la funcin P es el
complemento de la operacin EXOR de los n bits :
E = POxi _ 1 O+x,i-20+ (D XI +O X 0
Problema 23.- La expresin algebraica
Co =Ao
k = 1, 2, . . .
Ck = (A o + A 1 + . . . + Ak_ 1) O+ A k
proporciona el valor de la salida Ck de un circuito en funcin de las entradas A0, ., Ak-1, Ak.
(a) Disee el circuito correspondiente a cuatro bits de entrada .
(b) Describa verbalmente qu tarea realiza dicho circuito .
(c) Utilizando como mdulo el circuito diseado en (a), realice un nuevo circuito para 12
bits de entrada, indicando las nuevas entradas y salidas que hay que aadir al mdulo diseado en (a), para que el nuevo circuito de 12 bits pueda operar correctamente .

Solucin P23 .- Para 4 bits de entrada existen 4 bits de salida cuyas expresiones y el circuito
final son las siguientes :

82

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

A2

Ao

Co = Ao
?1

>1

C 1 =A o +OA 1
C2 = (Ao+A1) OA2
C3 = ( Ao+A 1 +A 2 ) +OA 3
C3

C2

C1

Co

b) Analizando la tabla de verdad de las funciones C ; (i = 0, 1, 2, 3) se comprueba que se


obtiene el complemento a 2 del nmero de 4 bits de entrada :
A 3 A2 Al Ao C 3 C 2 C 1 C o
0
0
0

0
0
0

0
0
1

1
0

1
1

1
1

1
0

0
1

0
0

1
1

0
0

0
1

1
1

0
1

0
1

0
0

1
1

1
1

0
1

0
1

0
0

1
1
1
1

0
0
1

1
1
1

0
1

1
0

0
1
0

1
1

0
1

1
0

1
1

0
0

1
1

0
0

0
0

0
0
0

1
1

1
0

0
1

0
0

1
0

0
1

0
1

0
0

1
0

0
1

C 3 - o= Ca 2 (A3-o)

c) Para obtener la variable C k hay que ir arrastrando la operacin OR de las K variables


de entrada anteriores (A0 hasta Ak _ 1 ) . Para ello hay que aadir al mdulo de 4 bits diseado en
el apartado a) una seal de entrada, Kin, que permita introducir la operacin OR de las variables de entrada de los mdulos que se coloquen antes, y una seal de salida, Kout, que transmita la operacin OR de las variables de entrada anteriores al siguiente mdulo . De esta manera la primera variable de salida del modulo, C0, no se obtiene directamente de la entrada A o
sino como la operacin EXOR de Ao y Kin (en Kin se conectar la seal Kout del mdulo anterior o bien un 0 si es el primer mdulo de la cadena) .

DISEO DE CIRCUITOS COMBINACIONALES

83

Mdulo de 4 entradas y 4 salidas


A3

A1

A2
>_1

_1

Ao
>_1

>_1

Kout-

Kin

1
=1

=1

=1

=1

Bo

c,

C3

Conexin entre mdulos


A 11

A jo A9 A 8

A7

A6

A5

A4

A3

A2

Al

Ao

A3
Kout

A2

Al

Ao
Kin

A3
A2
Kout

A1

Ao
Kin

C3

C2

Cl

A3 A 2
Kout
C3
C2

C10

C9

C11

Al

Ao
Kin
CO

C8

C7

C6

Cl

Co

C3

C2

C1

Co

C5

C4

C3

C2

C1

Co

PROBLEMAS CON SOLUCIN RESUMIDA

Problema 24 .- Dada la funcin de la figura, obtenga la mnima expresin en forma de suma


de productos .
c
b a

oo0 001 011 ojo 110 111 101 100


00 0

01

11

lo 0

0
0

Solucin P24.-f=abe+abcd+acde+abde

Problema 25.- Disee de forma ptima, un circuito que genere la funcin f(a,b,c,d,e) y cuya
realizacin sea en dos niveles :
a) f = E ( 0, 1, 5, 6, 9) + d (10, 11, 12, 13, 14, 15) .
b) f = 1 ( 0, 2, 5, 7, 13, 15, 18, 26, 29, 31) + d(20, 24, 28) .

84 PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P25 .
a)fsp =bc+bcd+cd
fps =(+d) (b+c) (c+d) (b+c+d)
b)fsp =ace+bce+atice+acde
fps =(c+ e) (c + e) (a + b + c) (a + d + e) (a+ b + e)
Problema 26.- Sea F una funcin de un dgito BCD y de una entrada de control X. F vale "1 "
en los siguientes casos :
1) Si X= 1 y el n BCD es mltiplo de 3.
2) Si X=0 y el n BCD tiene un n 2 impar de unos.
Implemente F como un circuito en dos niveles utilizando puertas NAND .

Solucin P26 .- El mapa de Karnaugh y una expresin en forma sp (para implementar la funcin en dos niveles NAND-NAND) son los siguientes :
Xab
000 001 011 010 110 111

d
00
01
11
10

Lp
R Ei
,v

d
C~
1 o ~4\

101

100

0
f

f = Xbcd+Xbcd+Xbcd+Xbcd+Xad+Xad+Xbcd+Xbcd+Xbcd

Problema 27.- Redisee el circuito de la figura con puertas NAND solamente .

x
y
z
Solucin P27 .- Primero hay que analizarlo, para posteriormente buscar una expresin en suma
de productos :

f = xy+ (y+z) = x+y+yz = x+y(1 +z) = x+y = xy

DISEO DE CIRCUITOS COMBINACIONALES

85

Problema 28.- Disee un circuito cuya salida sea el resto de la divisin de un nmero A de
tres bits entre un nmero B de dos . El nmero B nunca puede ser cero .
Solucin P28 .- El mapa de Karnaugh y las expresiones en forma sp para las dos salidas que
forman el "resto" de la divisin son los siguientes :

011

010 110

111

101

100

dd

dd

dd

dd

dd

dd

dd

dd

00

00

00

00

00

00

00

00

00

01

00

10

00

01

10

01

00

01

01

00

00

01

01

00

r a ro

r, =a 2 a,a o b l b o +a 2 a,a o b,b o


r o = ao b o +a 2 a,ao b 1 +a 2 a 1 a ob 1 +a 2 a,a o b l b o

Problema 29.- Un sistema sencillo para hacer votacin secreta es utilizar un circuito combinacional cuyas entradas estn controladas por interruptores que puedan accionar los miembros del jurado . Cada miembro votar con un S o un NO (no hay abstenciones) .
El sistema que queremos realizar es el siguiente . Hay dos tribunales : A y B. El tribunal
A tiene 4 miembros (a,b,c, y d) y el tribunal B tres (e,f, y g). El veredicto deber ser..
- El del tribunal A en el caso de que no se produzca empate .
-4 Si se produce empate en el tribunal A, el veredicto ser el del tribunal B .
Disee el circuito segn el diagrama de bloques de la figura:

CIRCUITO A
CIRCUITO C

CIRCUITO B

Solucin P29 .- Codificacin de las variables :


Entradas :
a, b, c, d, e, f, g = 0 si el voto es NO .
a, b, c, d, e, f, g = 1 si el voto es S .
Salidas :
Del circuito A :
F 1 F2 = 0 0 cuando gana NO en la votacin del tribunal A .
F 1 F2 = 0 1 cuando gana S en la votacin del tribunal A .
F1F2 = 1- cuando se empata en la votacin del tribunal A .

86

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Del circuito B :
F 3 = 0 cuando gana NO en la votacin del tribunal B .
F 3 = 1 cuando gana S en la votacin del tribunal B .
Del circuito C :
S = 0 cuando gana NO en la votacin global .
S = 1 cuando gana S en la votacin global .
Los mapas de Karnaugh para cada una de las funciones y sus expresiones en forma sp
son los siguientes :
ab
cd\ 00 01 11 10

ab
cd\ 00 01 11 10

00

00

01

01

11

11

10

10

F I = bcd+bcd+bcd+ a b e d + a b c d + a b c d

F2= a b + c d

u
aoo

S= F 1 F2 + F 1 F 3

F3 =ef+eg+fg

Problema 30.- Se desean visualizar las siguientes representaciones utilizando un visualizador de 7 segmentos . Disee un circuito de tres entradas que encienda correctamente el segmento g .
REPRESENTACIONES
El
1

I_
1

IC

uLUL

VISUALIZADOR

Solucin P30 .- La funcin de salida toma los siguientes valores :


g = 0 si no se enciende el LED .
g = 1 si se enciende el LED .

7 SEGMENTOS

DISEO DE CIRCUITOS COMBINACIONALES

87

Son 6 casos los que hay que representar por lo que se necesitan tres entradas : x, y, z :
REPRESENTACIONES

x y z

> 000

u
r
L
>

U

C
L
C >

001

010

011

1
g=y+z

100

101

Problema 31 .- Una caja de seguridad dispone de 5 cerrojos (V,W,X,Y,Z) los cuales deben
ser desbloqueados para abrirla caja . Las llaves de la caja estn distribuidas entre 5 ejecutivos
de la siguiente manera : A tiene llaves para los cerrojos V, X; B para V, Y; C para W, Y; D para
X, Z; E para V, ZZ
a) Determine todas las combinaciones mnimas de ejecutivos requeridos para abrir la
caja .
b) Determine el ejecutivo "esencial".
Solucin P31 .- Es un problema de cubrimiento mnimo y para resolverlo se debe aplicar el
mtodo ya conocido (vanse los Problemas 17 y 19) . La tabla de cubrimiento es :

A
B

x
x

C
E

x
x

x
x

x
x

Las combinaciones de ejecutivos mnimas que se obtienen con esta tabla de cubrimiento
son : (A, C, E } ( A, C, D ) { B, C, D } {C, D, E } . El ejecutivo "esencial" es el C .

Problema 32.- Dada una palabra "A" de n bits y una seal de control "C", disear un circuito
combinacional cuya salida sea el complemento a 1 (Ca 1) el complemento a 2 (Ca2), segn
el valor de C . Utilice exclusivamente puertas EXOR y OR .
Solucin P32 .- Sea A=An_1An_2 A 1 Ap el nmero de entrada y B = Bn_1Bn_2 B 1 Bp el
nmero de salida . El circuito que obtiene el complemento a 1 a 2 en funcin del valor de C
es el siguiente :

88

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Si C = 0, B = Ca2(A)
Si C = 1, B = Cal (A)
A

n-

_1

An-

?l

>1

=1

B n-1 -

Problema 33.- Una luz se enciende cuando su seal de excitacin est en nivel bajo . Esta
seal est controlada por un circuito de cuatro entradas : x, ->orden de encender la luz, activa
en bajo ; x2 ->orden de inhibir la luz, activa en bajo ; x3 ->orden de emergencia, activa en bajo;
x4 ->aviso del estado de la luz en la calle : "1" si es de da, "0" si es de noche . La luz se debe
iluminar cuando haya orden de encenderla, el estado de la luz exterior sea el apropiado y no
haya inhibicin, excepto si hay emergencia, en cuyo caso la luz se enciende independientemente de las otras seales .
De una tabla de verdad del circuito que controla la luz disendolo con los elementos
que estime oportunos .
Solucin P33.- Funcin de salida :
L=0 luz encendida .
L = 1
luz apagada .
Variables de entrada :
x1 = 0 encender luz .
x 1 = 1 no encender luz .
x 2 = 0 inhibir luz .
x 2 = 1 no inhibir luz .
x 3 = 0 emergencia .
x3 = 1 no emergencia .
x4 = 0 es de noche .
X4= 1 es de da.
Funcin L :
L = 0 si se enciende y es de noche y no hay inhibicin : (xt+x 4+x2) .
L = 0 si hay emergencia : x 3
L = (x 1+x4+x2)x3 .

Captulo 5
SUBSISTEMAS COMBINACIONALES

En este Captulo se abordan los subsistemas combinacionales . Con este nombre se agrupa a
una gran diversidad de circuitos que, a nivel estructural son generalmente mucho ms
complejos que las puertas estando integrados en al menos la escala MSI y cuya funcionalidad
va mucho ms all de las meras operaciones algebraicas . Una clasificacin atendiendo a dicha
funcionalidad los divide en subsistemas de propsito especfico y subsistemas de propsito
general . Los primeros realizan funciones fijas, mientras que los segundos realizan cualquier
funcin lgica mediante una "programacin" interna o de sus entradas y salidas . Antes de
conocer uno a uno los dispositivos que componen ambos grupos, destacamos algunas
caractersticas comunes .
En cuanto a los tipos de entradas se pueden distinguir dos : las de control y las de datos .
Las primeras controlan la operacin del dispositivo y suelen recibir nombres como el de seal
de habilitacin (Enable) . Y las segundas corresponden a las variables independientes de las
funciones que desarrollan . Es importante destacar que en estos dispositivos, cada entrada de
dato suele poseer un peso asociado, de modo que las entradas no son intercambiables como
ocurra en las puertas . Respecto a las salidas, tambin existen las de control, que avisan de determinadas situaciones o estados en el que se encuentra el dispositivo, y las de datos, que son
realmente las que dan respuesta al conjunto de entradas en cada instante .
Respecto a la actividad de las seales, estas pueden ser activas en bajo o en alto . Si por
ejemplo, nos referimos a la entrada de habilitacin (EN), que sea activa en alta significa que
cuando sta tenga el valor lgico 1 1 , el dispositivo realiza la funcin para la cual est diseado,
y si est a 0, el dispositivo no est habilitado para desarrollar su funcin . En los circuitos de
esta obra, las seales activas en bajo se representan con un "crculo" (por ej ., las seales de
habilitacin 1 y 2 del problema 6) y las que son en alto, sin l (por ej ., E 3 en el problema 6) .
Cuando un dispositivo no est habilitado, sus salidas estarn fijas a un valor determinado que, segn su diseo, puede ser 0, 1 o un tercer estado de alta impedancia (HI) .

1 Recordemos

que usamos lgica positiva (L * 0, H -* 1) .

89

90

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

SUBSISTEMAS DE PROPSITO ESPECFICO


Decodificador :
Se trata de un dispositivo con n entradas y 2n salidas donde en funcin de la combinacin binaria de sus entradas, una y slo una de las salidas se activa . Es decir, convierte un cdigo binario de entrada en cdigo "1-entre-n" . Su smbolo para el caso DEC 2 :4 con habilitacin y
salidas activa en alta, su tabla de verdad y sus ecuaciones de cada salida se muestran a continuacin :

EN
1

EN
3 - d3

xo

do

dl

d2

d3

.xo EN
= x 1 .x oEN
0 - d o = x 1 .xo EN
2- d 2
1 - d1

x1

= x 1 .x o EN
= x1

DEC 2 :4

DEC 2 :4 con salidas activas en alto

Si el decodificador posee m salidas, donde m <

2n, se denomina decodificador no com-

pleto .
Codificador :
Realiza la operacin contraria al decodificador . Es decir, convierte el cdigo "l-entre-n" en
cdigo binario . Un codificador completo posee 2" entradas, de las que slo una puede estar
activa, y n salidas que ofrecen la combinacin binaria asociada a dicha entrada . Su smbolo,
tabla y ecuaciones de salida son las siguientes :

C3

C2

C1

Co

Yl

Yo

Y1= c3+c2

2
1

Co

Y0= C3+c 1

COD 4 :2

Si el codificador posee m entradas y n salidas, con m < 2", se denomina codificador no


completo .
Por otro lado, existe el llamado codificador de prioridad . Se trata de un dispositivo equivalente al anterior . La diferencia es que sus entradas no necesitan estar en cdigo "1-entre-n"
ya que cada una de ellas tiene una prioridad sobre las otras, de forma que la salida es la codificacin binaria asociada a la entrada de mayor prioridad que tenga el valor activo . Su tabla de
verdad es :

SUBSISTEMAS COMBINACIONALES

c3

c2

1
0 1
0 0 1

c0

Yi

91

Yo

Convertidor de cdigos :
Consiste en un subsistema combinacional que convierte un cdigo de entrada en otro de salida .
El nmero de lneas de entrada y de salida depende de los cdigos que se convierten . Los casos
particulares en los que uno de los cdigos sea "1-entre-n" son los dispositivos antes vistos .
Comparador de magnitudes :
Es un dispositivo que compara las magnitudes de dos datos A y B de n bits, para dar como
resultado si A<B, A=B o A<B . El smbolo y la tabla son :
A -,>
n

-2o( -A

A>B
A=B

A<B - L

Datos G E L
A>B 1 0 0
A=B 0 1 0
A<B 0 0 1

SUBSISTEMAS DE PROPSITO GENERAL


Multiplexor :
Un MUX-n o MUX 2" :1 es un dispositivo de 2" canales de entrada (datos), n entradas de seleccin de canal y 1 salida . Su funcionalidad es dejar pasar hacia la salida la informacin que
entra por uno de sus canales de entrada, aquel que est seleccionado en funcin de la codificacin binaria de las seales de seleccin . Un MUX-n es un mdulo lgico universal de
n variables o de n+l variables si algunas de ellas est en doble ral . El smbolo que se utilizar
en este Captulo y su tabla de verdad son :
Co
C1
C2
C3

F=C 0S 1 So+C 1 S 1 S o+C2 S 1 So +C3 S 1 S O

S I SO

S i So

0 0
0 1
1 0
1 1

Co
C1
C2
C3

Demultiplexor :
Realiza la funcin inversa al multiplexor . Un DEMUX-n o DEMUX 1 :2", posee una entrada
de dato, n entradas de seleccin y 2n lneas o canales de salida . Su funcin consiste en pasar la
informacin de entrada de dato a una de las lneas de salida, la determinada por la combinacin

92

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

binaria de las seales de seleccin . El smbolo que se utilizar en el Captulo y su tabla se


muestran a continuacin :

C 0=
C1=
C2=
C3=

Din

Din S 1 Sp
Din S 1 S o
Din S, -90
Din S 1 -So

siso

S 1 So

Co C1 C2

C3

0
0
1
1

Din 0 0
0 Din 0
0 0 Din

0
0
0

0
1
0
1

Din

Analizando la tabla se puede comprobar que el dispositivo es equivalente a un decodificador con seal de habilitacin EN= Din .
Dispositivos Lgicos Programables (PLD's) :
Su estructura general es la siguiente :

plano AND
----

plano OR
lneas AND

entradas

salidas

Atendiendo a la posible programacin de cada plano podemos hacer la clasificacin siguiente :

plano AND

plano OR

No programable

Programable

ROM

Programable

Programable

PLA

Programable

No programable

PAL

ROM :
Una ROM(2 n xm) posee n entradas de direccin y m salidas, que puede verse como un dispositivo que almacena 2n palabras de m bits, de forma que para cada combinacin binaria de sus
n entradas se selecciona una de sus 2n palabras . En las m lneas de salida se lee la palabra almacenada . Del plano AND de una ROM se obtienen todos los mintrminos de las n variables
de entrada, y en funcin de la programacin del plano OR, se eligen los que interesen para realizar la funcin lgica que se desee . Por tanto, una ROM es un dispositivo lgico universal de
n variables para m funciones .

SUBSISTEMAS COMBINACIONALES

93

PLA :
Un PLA(n,p,m) es un subsistema con n entradas, m salidas y p trminos productos (salidas del
plano AND) . Mediante este dispositivo pueden implementarse m funciones lgicas de n variables expresadas en sumas de productos si para ello no se superan los p trminos ANDs disponibles .
PAL:
En este dispositivo cada salida es la OR de un conjunto determinado de lneas AND, no estando compartidas ninguna de ellas por otra salida . La implementacin de una funcin con este
dispositivo es similar al caso anterior, salvo que en el PAL cada funcin de salida se trata independientemente de las otras .
ndice del Captulo
Este Captulo desarrolla problemas de las siguientes materias :
- Anlisis de circuitos con subsistemas .
- Diseo de subsistemas .
- Diseo de funciones lgicas con subsistemas .
PROBLEMAS RESUELTOS
Problema 1.-Describa con palabras el funcionamiento del circuito :
x>y
xo

yl

x=y E
x<y
2
3
4
5
6

Solucin Pl .- Si las entradas x t xo son mayores o igual a y yo, se activarn las salidas G o E,
respectivamente, del comparador de magnitudes . Estas salidas, gracias a la puerta OR, provocan que la entrada del demultiplexor sea un 1 lgico . Habr, ahora, que determinar cul es el
canal de salida, en funcin de las seales de seleccin . Puesto que x>y, la salida L del comparador es 0, por tanto los canales seleccionados dependen slo de y t (canal 1 o canal 5) . Como
puede verse, estos canales estn unidos mediante una OR, por lo que la salida ser 1 .
Cuando x<y, la salida L del comparador est activa . La entrada del DMUX se encuentra
a 0 e, independientemente de las entradas de seleccin, los canales valdrn todos 0, y la salida
tambin .
En resumen, si x>y, f=1 y si x<y, f=0 .

94

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 2.-Redisee en dos niveles el circuito de la figura :


A2 A 1 Ao D4 D 3 D 2 D I D o
ROM
D4
xA2 D
Y- Al
D
zAo DI
D

do
0
di 1
d2
d 2
1

F
0

u v

0 0 0
0 0 1
0 1 0
0 1 1
1 0 0

0 0 0 0
1 1 - 1
0 1 - 0
1 1 1 1
0 0 0 0

0
0
0
1
0

1 0 1
1 1 0
1 1 1

1 1 - 1 0
0 1 0 0 0
1 0 - 0 1

Solucin P2 .- Los canales de entrada del multiplexor responden a la siguiente tabla :


d2 d3

x y z

do d

0
0
0
0
1
1
1
1

0 0 0 0
1 1 1 0
0 1 - 0
1 1 1 1
0 0 0 0
1 1 1 0
0 1 0 0
1 0 - 1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

que, junto con las seales de seleccin de canal, podemos construir el K-mapa siguiente :
xyz
000 001 011 010 110 111 101 100

uv
00

01

11

10

f
de donde obtenemos la expresin mnima :

f = v
Problema 3.-

z + y . z+u y -z+ v y z + x z

Interprete la utilidad del sistema mostrado en la figura .

D
A3
A2
Al
Ao

D3
D2

convertidor
binario/BCD

conv
BCD
7 seg

b
c
e
f
g

el

ROM
D
D

conv
BCD
7 seg

b'
e'
f

l c
d
a'

fl

e l

l b,
l c'

d'

SUBSISTEMAS COMBINACIONALES

95

Solucin P3 .- Dada una entrada (A3,A 2,A 1 ,A0), se selecciona una posicin de la ROM cuyo
contenido se vuelca en el bus de datos (D 4-0) . El convertidor binario a BCD, transforma el nmero binario en su correspondiente BCD de 2 dgitos . Estos dgitos BCD, se muestran en sendos displays de 7 segmentos . Por tanto, el sistema de la figura, sirve para mostrar el contenido
de una memoria ROM en formato decimal .
Problema 4 .-Disee un codificador de prioridad de 4 entradas activas en el nivel bajo . Aada
una salida que indique cundo no hay ninguna entrada activa .

Solucin P4 .
EO
EO
El .
E2
E

El

E2

E3

0
A1
AO

c 1

2
C

Y
COD

A1

Ao

0
1

Las entradas al codificador son activas en baja, siendo E O la de mayor prioridad y E 3 la


de menor.
La salida Y seala cuando no hay ninguna entrada activa en el codificador de prioridad .
Y = E0-E1-E2-E3
las restantes ecuaciones algebraicas para las dems salidas :
A1 = E O E 1
A0 = EO. ( 1 + E2)
,

Problema 5 .- Se tienen dos codificadores de prioridad 4 a 2 como el de la figura . Este dispositivo dispone de una entrada de habilitacin El y dos salidas EO y GS . EO se activa cuando
el codificador est habilitado pero no hay ninguna entrada de datos activa, mientras que GS
se activa cuando el codificador est habilitado y hay alguna entrada activa . Disee un codificador de prioridad de 8 a 3 de las mismas caractersticas de los anteriores . Adems de los
dos codificadores, se pueden emplear hasta un mximo de ocho puertas de Jos entradas .

96 PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

EO

El
13
12
I1
lo

GS
COD

Ql
Qo

Solucin P5.- De acuerdo con la descripcin del enunciado y suponiendo que la entrada del
dispositivo con mayor prioridad es 1 3 , la tabla de verdad es :
El

EO

GS

Qo
No habilitado

Habilitado con alguna


entrada activa

Habilitado, pero no activo

El dispositivo a realizar es una extensin de ste . Llamando con minsculas a sus variables, nuestro objetivo es realizar el siguiente circuito :

COD 8 :3

i7

i6

i5

i4

i3

i2

il

eo

gs

q2

q1

q0

eo

gs

q2

q1

q0

SUBSISTEMAS COMBINACIONALES

97

Para realizar el codificador de ocho entradas necesitamos dos codificadores de cuatro


entradas, uno recibir las entradas ms significativas (i7 - i4) y el otro las menos significativas
(i 3 - i o) . Las variables de entrada y salida correspondientes al primer codificador las notaremos
con el superndice "H" y a las correspondientes al segundo codificador con el superndice "L" .
Para dar la solucin adoptamos una de las posibles alternativas de conexionado mostrada en la siguiente figura:
------------------------------------------------------e

EO >

El

i7

C 13

i6

c 12

GS

T ea
g

Ql

lo
COD

Qo
Circuito
q2

combinacional
qi
q0
-C

'3
2
it

io

-~ I 1

Ql
Qo
COD

COD 8 :3
-----------------------------------------------------En esta solucin, la habilitacin externa acta sobre el codificador H (ms prioritario)
y, slo cuando no hay peticin en i 7 ,
o i 4 , se habilitar el codificador L (menos prioritario) . Para ello, conectamos EO H con EIL .
Adems existe un circuito combinacional que, recibiendo como entradas las salidas de
los codificadores, genera las salidas deseadas .
Para nuestra solucin se cumplen las siguientes relaciones :
El = e;
EIL = EOH
La tabla siguiente muestra los valores de entradas del codificador completo y el valor
de las seales intermedias que sern las salidas de cada uno de los dos codificadores que estamos utilizando .

98

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

e;

17

16

15

14

11

13

10

EO H GSH QIH QO

EO

GS L QI L. Q0

1-

A partir de la tabla anterior se pueden obtener las relaciones algebraicas para las cinco
funciones de salidas . Se han especificado a O las inespecificaciones en las salidas de los codificadores .
eo = EOL

gs = GS H

GSL

q2 = EOH

q1 = Q1 H + QI L

q0 = QOH + Q0L

Si pasamos a la implementacin de estas ecuaciones mediante puertas, el circuito global


sera el que se muestra a continuacion :
EO )---

El
13
12

co

GS
H
gs

I,

Ql

CODQ0

El

EO

i3 c I
GS
i2
1 L

I1

q1

Ql

io

q0

COD

Problema 6.- Utilizando decodificadores 74138 (mostrados en la figura) y el menor nmero


de puertas posible, cmo disearas . . .
a) un decodificador 4 a 16 .
b) un decodificador 5 a 32? .

SUBSISTEMAS COMBINACIONALES

99

Solucin P6.- El decodificador del que disponemos, el Cl 74138, es el que se muestra a continuacin :

A2
Al
A0

2
1
0

Oo
O1
02
03
04
05
06

07

A partir de l, se quiere conseguir un decodificador 4 :16 . Para ello se requiere dos decodificadores y una de las posibles formas de asociarlos es como se ve en la siguiente figura,
donde la nueva variable de entrada elige, segn su valor lgico, cul de los dos decodificadores
estar habilitado . Para ello, de las tres entradas de habilitacin que tiene cada uno de los decodificadores, dos de ellas la fijamos al valor lgico correcto para habilitar al decodificador, y la
tercera entrada de enable es con la que se pretende ir habilitando uno u otro .

Oo
O1
2
04
05
06
O6

089
0 10

Oll
0,2
0,3
0 14
X15

Como comentario del decodificador obtenido se puede decir que no posee seales de habilitacin como tena el inicial . Si se hubiera querido conservar stas, se tenan que haber utilizado mayor nmero de decodificadores .
Procediendo del mismo modo se puede conseguir un decodificador 5 :32 asociando cuatro decodificadores y un inversor . Se muestra en la siguiente figura :

100

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

-----------------------------------

EA
1 r-~1
0
a4

4
5

1
2
3
04
5

07

a3 -

11
, E2E, J_
1
a2 -`
al a0

2
1

E, E2 E3
2
1
0

2T- ~

~r

4
Ser
6 !7 --

8
9
10
11
12
13
0 14
0 15

`16
1 ~-~17
2>-r
18
3~-~19
4
20
5r- ` 0 21
822
T
23
01

E 30-24
1>~ 25
2>
26
!
2
3)
.
27
1
1
4'
28
5 >- :- 029
0 30
7Yr 0 31

DEC 5 :3L
1

Problema 7.-La figura muestra un comparador de dos nmeros de 1 bit y su tabla de verdad .
Se desea obtener un comparador de nmeros de 6 bits, utilizando exclusivamente comparadores de 1 bit. El diseo debe contemplar que el tiempo de retraso no supere 4T, donde T es
el retraso asociado al comparador de 1 bit .

SUBSISTEMAS COMBINACIONALES

101

Bi

A;
Ci Ai B i Ei
0
1
1
1
1

0
0
1
1

0
1
0
1

0
1
0
0
1

Ei

Solucin P7 .- Para comparar dos nmeros de 6 bits, con estos dispositivos, necesitaremos
comparar, bit a bit, los dos nmeros . Utilizaremos, por ello, 6 comparadores :
A2
C

B2

C2

A5

El
A4

EO

B5

E2
A3

B4

B3

E5

E4

E3

Supongamos que los bits A 0 y B 0 son distintos . La salida E0 , evaluar 0 . Esto implica
que, independientemente de que los restantes bits sean iguales, la salida global debe evaluar 0 .
Nos podemos valer de las entradas de habilitacin de los restantes comparadores para que sus
salidas tambin sean 0 . Extendemos este concepto a slo 3 comparadores, para no superar las
restricciones temporales .
Ao

Al

BO

B1

A2

B2

C2

A4
C5

B4

C3

C4

E5

A3

E4

E3

Para las estructuras anteriores, E 2 y E3 sern distintos de 0, cuando los bits de los nmeros A y B sean iguales . Si alguno de ellos vara, E 2 o/y E 3 , pero al menos uno de los dos, ser
0 . En efecto, supongamos que A 1 es distinto de B 1 , y todos los bits restantes son iguales . La
salida del primer comparador, E 0 , ser 1 (en el supuesto de que la entrada de habilitacin sea
1) . Esto habilita la comparacin del segundo comparador que, como sus bits de entrada difieren, generar un 0 en su salida E 1 . Esta salida inhibe al siguiente comparador, cuya salida tambin ser 0, independientemente de A 2 y B 2 .

102

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Es importante destacar el hecho de que las respuestas de E 2 y E3 , se obtienen con un


tiempo de retraso de 3T .
Vamos a aadir, ahora, la seal de habilitacin del comparador de 6 bits . Por la estructura y funcionamiento mostrados anteriormente, podemos pensar que la mejor forma de introducir esta seal, es utilizando las entradas de habilitacin de los comparadores 0 y 5 . De esta
forma se inhibe la operacin de los 6 comparadores, generando, las dos ramas de comparadores, un 0 lgico, en sus salidas respectivas (E 2 y E3)A0

Bo

A1

Co

B1

A2

C1

C2

E o E l
A5

E2

A4

C5

B4

A3

C4

E5

B2

B3

C3

E4

E3

Nos falta, por ltimo, generar una nica salida E del comparador partir de E 2 y E3 y utilizando, como mucho, un comparador ms, para no superar el tiempo de respuesta (4T) . Se han
tabulado las posibles respuestas de E 2 , E 3 y de la salida a generar, E, en funcin de los bits de
datos y habilitacin
C
0

A,B

xxxxxxxxxxxx

E2 E 3

0 0

A0-2 = B0-2 y A 3-5 = B3-5

A0-2 = B0-2 y A3-5#B3-5

A0-2#B0-2 y A3-5 = B3-5

A0-2#B0-2 y A3-5#B3-5

0 0

Podemos pensar que la forma de obtener la salida E, a partir de E2 y E3 , es introduciendo


stas en la entrada de un nuevo comparador, sin ms . Esto no sera del todo correcto, porque
como vemos en la tabla, cuando E 2 y E 3 valen 0, la salida debe ser 0 . Esto se puede resolver,
simplemente, utilizando como seal de habilitacin cualquiera de las seales de entrada del
comparador, (E2 y E 3 ), como muestra la figura :

SUBSISTEMAS COMBINACIONALES

E2

103

E3

C
1 E
Cuando E 2=0 (ver tabla), la salida E vale 0, por estar este comparador inhabilitado .
Cuando E2 =1, el comparador est habilitado y slo tenemos dos posibilidades, E 3 =1 y E3 =0 .
En la primera, el comparador activa su salida (E= 1) y en la segunda no .

Problema 8.-Realice las siguientes funciones haciendo uso de los dispositivos que se dan en
cada uno de los apartados:
a) Utilizando un decodificador con salidas activas en nivel alto y puertas OR .
Utilizando un decodificador con salidas activas en nivel bajo y puertas AND .
Utilizando un decodificador con salidas activas en alto y puertas NOR.
Utilizando un decodificador con salidas activas en bajo y puertas NAND .
E(0,9,11,15) + d(1,2,3)
F= JJ (0, 3,5) d(1,2)
Solucin P8 .- Si se dispone de un decodificador con salidas activas en alta, la expresin
algebraica que define cada una de stas ser el mintrmino correspondiente al nmero de
entradas que tenga dicho decodificador . Por tanto, si usamos en cada apartado un
decodificador con tantas entradas como variables tiene la funcin a disear, se dispondr de
todos los mintrminos de ese nmero de variables, en cuyo caso slo nos queda elegir entre
todas las salidas cules son los mintrminos de la funcin y realizar la operacin OR de todos .
De forma anloga, si el decodificador tiene las salidas activas en baja, la expresin para cada
un de ellas sern los maxtrminos del nmero de variables que posea el decodificador en su
entrada . Siguiendo el mismo procedimiento, podemos conseguir todos los maxtrminos
distintos del nmero de variables que posea la funcin y elegir los que sean maxtrminos de
sta . Bastara despus realizar la operacin AND de stos .
Pasamos a resolver el problema para cada una de las funciones del enunciado
F (a,b,c,d) = E(0,9,11,15) + d(1,2,3)
a) utilizando decodificador salidas activas nivel alto y puertas OR :
0
1
2

b
c

4
5
6
7
8

2
1

9
10

DEC
4 :16

1
11
13
14
15

104

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

b) utilizando decodificador salidas activas nivel bajo y puertas AND .

2
1

0
a

DEC
4 :16
c) utilizando decodificador salidas activas nivel alto y puertas NOR .
Para este caso, dado que disponemos de una puerta NOR, tomaremos los maxtrminos
de la funcin complementaria a la que queremos disear . De esta forma, a la salida de la puerta
se obtiene la funcin del enunciado .

2
1

d
DEC
4 :16

0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

d) utilizando decodificador salidas activas nivel bajo y puertas NAND .


Aplicando un razonamiento anlogo al anterior dado que disponemos de una puerta
NAND, damos la siguiente solucin al problema :

d
DEC
4 :16

0
1
2
3
4
5
6
7
8
9
10
11
12
13

15

Para todos los apartados hemos despreciado la existencia de inespecificaciones .

SUBSISTEMAS COMBINACIONALES

105

Repetimos el mismo razonamiento para cada una de las funciones siguientes :


F = n (0,3,5) d(1,2)
a) utilizando decodificador salidas activas nivel alto y puertas OR :

0
1
2
3

1
0

DEC
3 :8

6
7

b) utilizando decodificador salidas activas nivel bajo y puertas AND :


0
1
2
3

2
1
0

4
DEC
3 :8

6
7

c) utilizando decodificador salidas activas nivel alto y puertas NOR :


0
1
2
3

I0 DEC
3 :8

d) utilizando decodificador salidas activas nivel bajo y puertas NAND :

Problema 9.-Encuentre un diseo mnimo para cada una de las siguientes funciones si slo
disponemos de un decodificador 3 :8 y de puertas de dos entradas .
a) F= E(0,9,11,15) + d(1,2,3)
b) F= fi (0, 3,5) - d(1,2)
c) F = n (1, 3,4, 6, 9,11) d(7,12,14)
d) F = n (1,2,3,7,8,9)
Solucin P9.- Para dar solucin a este problema, en el apartado b) se sigue el mismo procedimiento que en el Problema 8, pero para los otros tres apartados, las funciones son de cuatro
variables y el decodificador del que se dispone slo posee tres entradas . Con ello, de las salidas
de ste, se obtienen los mintrminos o maxtrminos de tres variables (dependiendo del tipo de
salida del decodificador), y aadiendo la cuarta variable (bien mediante operador AND u OR)
se consiguen los mintrminos o maxtrminos de la funcin que se necesiten .

106

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

a) F(a,b,c,d) = E(0,9,11,15) + d(1,2,3) :


abcd
1

a
b
c

abcd
b) F(a,b,c,d) = 11 (0,3,5) d(1,2) = a .(b + c) :

d
m6 + m7

c) F(a,b,c,d) = I1 (1,3,4,6,9,11) d(7,12,14):

2
1
0
DEC
3 :8

d) F (a,b,c,d) = [1 (1,2,3,7,8,9) :

a
b

2
1

0
DEC
3 :8

4
5
6
7

SUBSISTEMAS COMBINACIONALES

107

Problema 10.- Un circuito tiene como entradas dos nmeros binarios de dos bits cada uno :
Y= y,yo; X= x,xo . Se desea que tenga salidas 11 si Y=X, 10 si Y>X y 01 si Y<X . Disee un
circuito con un decodificador de 3 a 8 con salidas activas en alto, un nmero no determinado
de puertas NAND de dos entradas y dos puertas NAND de un nmero de entradas no limitado .
Aada una seal de habilitacin (enable) . Las entradas estn en nico ral . Utilice obligatoriamente el decodificador.

Solucin P10 .-Las funciones del circuito, considerando E como seal de habilitacin, estn
representadas en la siguiente tabla :
X

Zi

Zo

X=Y

X>Y

X<Y

Hemos elegido la salida Z 1 Z0 = 0 0 para indicar que el circuito est no activo puesto que
era la combinacin de salida no utilizada en el enunciado .
Para disear el circuito, primero consideraremos que no tenemos seal de habilitacin,
y como segundo paso aadiremos sta al circuito ya diseado previamente .
Para cada uno de los casos posibles de valores en las entradas X e Y conocemos los valores para las seales de salida . Mostramos este resultado en el siguiente mapa de Karnaugh :
00

01

11

10

Y
00

11

01

01

01

01

10

11

01

01

11

10

10

11

10

10

10

10

01

11

Z1 Zo
A partir del mapa anterior podemos dar las expresiones de cada una de las funciones de
salida .
(0,1,2,3,5,6,7,10,11,15)=fl (4,8,9,12,13,14) .
Z1 (x1 ,x0 ,y1 ,yo) =
(0,4,5,8,9,10,12,13,14,15) =11(1,2,3,6,7,11) .
Zo (x1 ,x0 , y1 ,yo) =
Ambas funciones dependen de cuatro variables . Como el decodificador es de tres entradas y ocho salidas activas en alta, en cada salida aparece uno de los ocho mintrminos de las
tres variables que actan como entradas en el decodificador . Esto es, dado que las salidas del
decodificador no proporcionan directamente los mintrminos de las funciones, tendremos que
realizar la operacin AND de la salida del decodificador con la cuarta variable con o sin complementar, segn corresponda . As tendremos:

108

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

xl'xo'Y , Yo = mo

Yo
xl'x0

Yl
1'

xl'x0'Y]

x1

Xo '

x0'Y1'Yo -M i

Yl

2
x1'xo'Y]

xo

x1

Xo'

Yi

Yl

5
6

xl'x0'Yi
xl'xo . Y 1

DEC3 :8

o -

Y1

Yo -

m14

xo " Y F Yo =

m15

xl . x0' Y1'

Yo

Yo

Yo

Al disponer de puertas NAND de dos entradas, si las puertas AND anteriores son sustituidas por puertas NAND, a la salida de stas dispondremos del complemento del mintrmino,
es decir, maxtrmino correspondiente de cuatro variables .
Adems, en el problema disponemos de dos puertas NAND de un nmero no limitado
de entradas . Podemos realizar las dos funciones descritas por producto de sus maxtrminos .
Como para ello tenemos dichas puertas NAND, este producto es negado a la salida, por lo que
podemos usar una puerta NAND de dos entradas actuando como inversor para conseguir la
funcin final .

miMi . . . M k

mi Mi . . . M k

Mi +mj + . . .mk

Como se puede ver en el grfico anterior, a la salida de la primera puerta NAND podemos obtener la funcin como suma (operacin OR) de sus mintrminos, y a la salida de la segunda puerta NAND se expresara la funcin como producto (operacin AND) de los maxtrminos .
Si pasamos a dar la solucin para cada una de las funciones obtenindolas como salidas
de las puertas NAND de nmero variable de entradas, tendras os que elegir como entradas de
dicha puerta los maxtrminos de cuatro variables, cuyo subndice sean las combinaciones binarias que hacen 1 a la funcin, as quedara expresada sta como suma de sus mintrminos .

SUBSISTEMAS COMBINACIONALES 109

Yo - &

Mo

Yo -

Yo

Yo
l
2

XI
1

M1

3
4
5

Y1

DEC
3 :8

Yo

M1

6
M1
M1
M1

Yo

[&

Yo

Yo

M oiM 1
M2M 3
M5
M M7
M10M11
M15

Si elegimos expresar la funcin como producto (operacin AND) de sus maxtrminos


y utilizamos una puerta NAND actuando como inversor a la salida, el circuito final sera el
mostrado a continuacin :
Yo

xl
xo
Y1

M .M
M

1
0

M1
DEC
3 :8

M
M8 M9
_J
Yo

Yo

M12 M 13

ZI

M1
Yo

Si incluimos la seal de habilitacin E que se defini en una tabla anterior, una de las
opciones para resolverlo sera aadirle al decodificador una entrada de habilitacin definida de
la siguiente forma :

110

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

O ; (cada salida del decodificador)

todas las salidas a 0

X1
Xo

slo una de las salidas activa

y1

1
2
3
4
5
DEC
3 :8

00
01
02
03
04
05
06
07

Problema 11 .- Disee un circuito de 4 entradas y 3 salidas, z0, z,, z2 que realice las siguientes
funciones :
zo vale 1 cuando tres o ms entradas sean 1 .
z, vale 1 cuando haya el mismo nmero de unos que de ceros .
z2 vale 0 cuando dos o ms entradas sean 1 .
Para ello se dispone de :
a) Un decodificador con salidas activas en nivel alto y puertas NOR .
b) Un decodificador con salidas activas en bajo y puertas NAND .
Solucin P11 .- El mapa de Karnaugh para las tres funciones de salidas y el circuito final se
muestra a continuacin :

00

01

11

10

00

001

001

010

001

01

001

010

100

010

11

010

100

100

100

10

001

010

100

010

zO=E (7,11,13,14,15)
z 1 = E (3,5,6,9,10,12)
z 2 = E (0,1,2,4,8)

zo z t z2
a) Se dispone de decodificador con salidas activas nivel alto y puertas NOR .
z0 = II (0,1,2,3,4,5,6,8,9,10,12) .
z 1 = 11 (0,1,2,4,7,8,11,13,14,15) .
Z2 = fI (3,5,6,7,9,10,11,12,13,14,15) .

SUBSISTEMAS COMBINACIONALES

DEC
4 :16

m58

m10

m6
m
7

m3

mp
ml
m2
m3
m4
m5

1
2
3
4
5
6

m1

m
m2
m4
6
m9

zp

12

m2

7
11
m14

8 -- m8
9
m9
mip
10
11
mil
12
m12
13
M13
14
m14
15
m 15

m10
m12

m14

zl

m6
m9

z2

11
M l3
15

a) Se dispone de decodificador con salidas activas nivel bajo y puertas NAND .


z0 =1(7,11,13,14,15) .

z l = 11 (3,5,6,9,10,12) .
z2 = II (0,1,2,4,8) .
M7

M il

Mo

b
c

2
1

DEC
4:16

M1
2 r--- M2
M3
3 )
4
M4
5 )
5
M6
6
M7
7 ,
8
M8
9
M9
10
Mi0
11 '
M11
12 '
M12
13 ~-- M13
14' -- M14
15 ,
M15

M13
M14
M15

M5
z1
M9
M12
M
M1

M2
M4
M8

Problema 12.- Disee los siguientes convertidores de cdigo :


a) BCD - EXCESO-3.
b) BCD -2de5 .

zp

z2

111

112

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P12 .
a) Estructura general :
0
DECOD
A
B

2
1

C
D

1
2
3
5

6
7

BCD/Decimal 8

do
d1
d2
d3
d4
d5
d6
d,
dR
d9

1
2
3

CODIF
Z

2
Decimal/ 1

Exceso3 0

6
7

Z2
zi
Zo

Convertidor BCD/ Exceso-3

BCD

Exceso -3

ABCD

Z3

Z2

0
1

Zo
1

7
8

1
1

0
0

1
1

z1

Z3 = E (5,6,7,8,9) = d5 +d 6+d 7+d8 +d9


Z 2 = E (1,2,3,4,9) = d l +d 2 +d 3 +d4 +d9
Z 1 = E (0,3,4,7,8) = d0+d3 +d4+d 7 +d8

Zo = E (0,2,4,6,8) = d0+d 2 +d4+d 6+d8

b) Estructura general :
o
DECOD
AB

C
D

1
2

3
2
1

4
5

6
7

BCD/Decimal 9

do ,
d,
d2
d3
d4
d5

d6
d7
dR
d

CODIF

2
3

4
5

Z
3
Decimal/ 2

6
7

Convertidor BCD/ 2 de 5

2de5

1
0

Z3
Z2
ZI
Z

SUBSISTEMAS COMBINACIONALES

BCD
ABCD
0
1
2
3

Z4
0
0
0
0

Z3
0
0
0
1

2 de 5
Z2
0
1
1
0

Z1
1
0
1
0

ZO
1
1
0
1

5
6
7
8
9

0
1
1
1
1

1
0
0
0
1

1
0
0
1
0

0
0
1
0
0

0
1
0
0
0

113

Z 4 = E (6,7,8,9) = d6+d7 +d 8+d9

Z3 = E (3,4,5,9)= d 3+d4+d5+d9
Z 2 = E (1,2,5,8)= d 1 +d2+d5+d8
Z 1 = E (0,2,4,7)= d0+d2+d4+d7
ZO = E (0,1,3,6)= d0+d 1+d3+d6

Cada una de las funciones de salida se realizaran con puertas OR cuyas entradas seran
las salidas correspondientes del decodificador BCD/Decimal .
Problema 13 .- En un determinado sistema microcomputador, existen 3 subsistemas que
procesan la informacin de forma independiente a travs de cuatro fases de operacin . Por
propsitos de control, es necesario conocer :
a) Cundo dos o ms subsistemas estn en la misma fase .
b) Cundo exactamente dos subsistemas estn en la misma fase .
Cada subsistema genera una seal de dos bits para indicar en qu fase se encuentra
(00, 01,10,11) . Disee un circuito que permita conocer cundo el conjunto de subsistemas se
encuentra en alguna de las situaciones a) y b) .

Solucin P13 .- Sean A, B y C los tres subsistemas que generan las seales A 1 A0, B1B0 y
C 1 CO, que indican la fase de operacin de los tres subsistemas respectivamente .
El circuito a realizar debe tener dos salidas F y G que tomarn los siguientes valores :
F=1 si hay 2 o 3 subsistemas en la misma fase .
G=1 si hay exactamente dos subsistemas en la misma fase .
G=F=O en otros casos .
Para conocer si dos sistemas estn en fase o no, utilizamos un comparador de
magnitudes de 2 bits :
Al
AO
B,
BO

IAB

Si la salida 'AB =1 , los subsitemas A y B estn en fase .


Necesitaremos un total de 3 comparadores, a cuyas salidas las llamaremos
IAB, I AC e IBC. Con estas salidas, diseamos un circuito con puertas lgicas que genere las

114

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

salidas F y G . En el siguiente K-mapa vienen representadas las salidas F y G en funcin de las


salidas de los comparadores :
IAB 'AC

ama
EN a
10

FG

Tan slo comentar que existen casos que no pueden darse como entrada del circuito a
disear con puertas . Uno de estos casos es IAB IAC e I BC = 011 . En efecto, si el subsistema A
est en fase con el C (I AC = 1), y el subsistema C est, a su vez, en fase con el subsitema B
(I BC = 1) es imposible que el subsistema A est en desfase con B .
Las ecuaciones para las funciones F y G son :
F = IAB +IAC + IBC

G =

IAB - IAC

+ IAC

IAB + IBC IAB

A
Ao

IA

BI
B0
AI Ao

CI
CO C,
Co

G
IB

B,B0

Problema 14 .- Disee un circuito que a la salida de un multiplexor 8 :1 realice la funcin:


F=1 (3,4,5,11,12,13,14,15,16,17,24,26,28,29,31) .
Para el diseo se pueden usar, adems de dicho multiplexor, un mximo de 8 puertas
de 2 entradas.
Solucin P14.- Dada la funcin a implementar, el proceso es el siguiente . Basta con elegir tres
variables de la funcin para las seales de seleccin del multiplexor . De esta forma, los residuos que deben entrar por cada uno de los canales de ste son funciones de las otras dos variables, y estos se implementarn con las puertas que disponemos . Una de las posibles soluciones
es la que se muestra :

SUBSISTEMAS COMBINACIONALES

F=

115

E (3,4,5,11,12,13,14,15,16,17,24,26,28,29,31)

00
01
10
11

000
0
0
0
1

001
1
1
0
0

010
0
0
0
1

011
1
1
1
1

100
1
1
0
0

101
0
0
0
0

110
1
0
1
0

111
1
1
0
1

Problema 15.- Un desplazador a la derecha de n bits, es un circuito combinacional que tiene


como entrada un nmero A, de n bits, m seales de control s m_ 1 , . . .s o que indican el nmero
de posiciones que se desplazar a la derecha el nmero de entrada A, y genera la salida Z
de n bits, correspondiente al nmero A desplazado . As por ejemplo, para un desplazador de
8 bits, cuya entrada sea 10010101 y las seales de control s 2 s 1 so = 0 1 0, se genera un
desplazamiento de dos posiciones a la derecha dando, como resultado, la salida XX100101 .
Si s2 s, so = 0 0 0, no hay desplazamiento .
a) Disee un desplazador a la derecha de n=4 bits y m=2 bits, utilizando 4 MUX's de 4
canales . Suponga que los bits ms significativos del resultado, X. ., se llenan con 0's .
b) Dibuje las formas de onda de las salidas, cuando A 3 A 2 A, A o = 1011 y las seales
s, s o cambian segn la secuencia 00,01,00,11,00,10 con una frecuencia de 1kHz .
c) Indique una aplicacin aritmtica para el desplazador.
Solucin P15 .

a) Dibujamos el diagrama de bloque del desplazador combinacional y su tabla de


verdad :
A3 A2 A 1 Ao

1
Si
SO

y
Z3 Z 2 Z 1 Zp

SI

SO

0
0
1
1

0
1
0
1

Z3
A3
0
0
0

Z2
A2
A3
0
0

Z1
A1
A2
A3
0

Zp
Ao
Al
A2
A3

116

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Cada Zi se obtiene de un MUX-2, donde cada fila s1 s 0 es un canal de entrada . Realizando el diseo quedara :
A3A2A I A 0

0 A3A2A 1

SI
So

0 0 A3A 2

0 0 0 A3

I J

Z3

Zo

b) Para el caso A3 A 2 A 1 A 0 = 1 0 1 1 y las lneas s 1 s 0 cambiando a una frecuencia de


1 KHz segn la secuencia :
s 1 s o : 00 01 00 11 00 10
Se obtienen las siguientes formas de ondas :
lms= 1/1KHz
S I
so

00

01

00

11

00

10

Z3
z2 J

ZI
zo
1011

0101 1011 0001 1011

0010

c) La operacin aritmtica que realiza el desplazador es la divisin por potencias de 2 .


En este caso concreto se pierden los bits menos significativos, resultando :

donde s es el nmero s 1 s 0

y Lx]

es el entero por defecto de x .

Problema 16.- Redisee el circuito de la figura, utilizando slo MUX s 2 :1 . Deber reducirse
en los posible el nmero de multiplexores . La nica entrada disponible en doble ral es e .
1
0
e
0
e
1
e
1

0
1
2
3
4
5
6
7

1 s
c

2 1 0
1 I I
a b d

SUBSISTEMAS COMBINACIONALES

117

Solucin P16.- Para resolver este problema determinaremos, en primer lugar, el K-mapa de
la funcin F .

00
01
11
10
F
Para obtener el circuito de menor coste que implemente la funcin F, utilizando
multiplexores de 2 canales, slo podemos ir probando las diferentes realizaciones que surgan
de suponer a, b, d e, como variables de seleccin del primer multiplexor ( el que genera F
en su salida) . De todas ellas, la mejor es :

1 s
i

Z
ls
1

a -

ls
1

Problema 17.- Empleando un multiplexor de tres entradas de seleccin y todos los


multiplexores que hagan falta de dos entradas de seleccin, realice la funcin lgica
f(x 1 , x2 , . . .x6) que se caracteriza por tomar el valor '1' si y slo si se cumple :
x1+x2 +x3 +2x4 +2x5+3x6 _> 4
donde x; = (0,1) para i = (1,2, . .,6) y las operaciones de adicin y multiplicacin indicadas son
aritmticas .

Solucin P17 .- La desigualdad del enunciado describe una funcin booleana de 6 variables
f(x1,x2,x3,x4,x 5 ,x 6 ), que toma el valor 1 cuando las asignaciones binarias de las variables
(x 1 , . .x6 ) , satisfacen la expresin anterior, y 0, cuando no . Esta funcin booleana puede
desarrollarse segn el teorema de expansin de Shannon :
f(x1,x2,x3,x4,x5,x6) =x4 x5 x6 f(x1 , x2 ,x3 ,0,0,0 ) + x4 x5 x6 f(x1 , x2, x3 ,0,0,1 ) +
+ x4 x5 x6 f(x1,x2,x3,0,1,0) + x4 x5 x6 f(x1,x2,x3,0,1,1) +
+ x4 x5 x6 f(x1,x2,x3,1,0,0) + x4 x5 x6 f(x 1 ,x2 ,x 3 ,1,0,1) +
+ x4 x5 x6 f(x1,x2,x3,1,1,0) + x4 x5 x6 f(x1,x2,x3,1,1,1)

Hemos expandido las variables x4 , x 5 y x 6 , por ser las ms relevantes de la desigualdad .


Esta expansin se puede implementar con un multiplexor, cuyas seales de control son x4, x 5
y x6 , y los canales, las funciones residuo . A partir de ahora, tenemos que determinar la
expresin de las funciones residuo .

118

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Si en la desigualdad, sustituimos x 4, x 5 y x 6 por 0, 0, 0, podemos comprobar que,


independientemente de los valores binarios que tomen las variables x1, x 2 y x3 , la desigualdad
no se cumple . Por tanto, la funcin booleana vale 0 .

f (XI, x2 , x 3 , 0, 0, 0) = 0
Las siguientes funciones residuo, evalan siempre 1, ya que la desigualdad se cumple
siempre, independientemente de los valores de x 1 , x 2 y x 3 .

f (x 1 , x2 , x 3 , 1, 1, 1) = 1
f (x 1 , x 2 , x 3 , 0, 1, 1) = 1
f (XI, x 2 , x3 , 1, 0, 1) = 1
f (x 1 , x 2 , x 3 , 1, 1, 0) = 1
De las restantes 3 funciones residuo, podemos deducir que :

f (X1, X2, X3, 0, 1, 0) = f ( x 1, X2, X 3, 1 , 0 , 0 )


por lo que slo tenemos que obtener las funciones residuo f(x 1 , x2 , x 3 , 0, 0, 1)

f(x 1 , x 2 , x3 , 0, 1, 0) . Los mapas correspondientes son :

--x _1x2 00
X3

01

11

10

2 00

01

11

10

f(x l , x2 , x3 , 0, 0, 1)

f(x 1 , x2 , x3 , 0, 1, 0)

Para implementar estas funciones residuo, podemos utilizar multiplexores de 4 canales


escogiendo, como seales de control, las variables (x1, x 2 ) . A continuacin se muestra el
circuito resultante :
x3

1
1

f(x1, x2, x3, x4, x5, x6)

0
x3
x3

01
SUBSISTEMAS COMBINACIONALES

119

Problema 18.- Realice la funcin F=E (1,2,3,4,6,7,8,9,14), mediante la PAL de la figura : .

~>
- 1

D - < >

F-

IG

L >1

L
F-

Solucin P18 .- Sea F(a,b,c,d) la funcin a implementar cuyo mapa se muestra a continuacin :
ab
c d

00

00
01
11
10

01

11

10

La expresin algebraica de F y de la funcin complementaria F ser :


F = a c + a bd + bd + b cd + a b c
F = a .b c .d + b . -.d + ab c+ acd + a .b.c

Para realizar esta funcin con el PAL de la figura tenemos que resolver tres problemas :
1) El nmero de entradas del PAL son tres y la funcin F necesita cuatro variables .
Para solucionar el problema se usa una de las entrada-salidas del PAL como entrada .
Esto se consigue poniendo un "0" (a travs del producto x.x) sobre la lnea de control
del inversor 3-estados correspondiente :

120

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

X X

&

= 0

&

L >1

E}<> d

X X

2) El PAL del que se dispone realiza la operacin AND-OR-INV . Para resolver el problema se escoger a la funcin F como suma de productos y, al invertirse a la salida, se obtiene
la funcin F . Para que en la salida se obtenga F, el control del inversor 3-estados debe estar a
" 1 " (para ello basta con no programar ningn fusible de la AND que proporciona dicho control :

o F

3) EL PAL slo puede sumar (operacin OR) tres trminos productos y F tiene cinco .
Para resolver el problema se descompone F en dos subfunciones de forma que, en cada una de
ellas, slo se sumen tres trminos :
F = (a.bcd + b.c .d + a .b .c) + acd + a b c = G + a c d + a bc .
donde G = b cd + b cd + a bc .
De esta forma, G se obtiene por una de las salidas de la PAL y es reintroducida para formar F.

.9

G
Finalmente, tras las consideraciones anteriores se muestra la configuracin final del
PAL:

SUBSISTEMAS COMBINACIONALES 121

ccbbaaddGG
C .C

= 0

bcd
bcd
ac

G
acd

>F

abc

Problema 19.- Se desea disear un circuito que tenga como entradas dos nmeros de dos
bits a=(a, a 0) b=(b, b 0) y un bit de paridad par correspondiente a los cuatro bits anteriores . El
circuito indicar en una salida si a>b, y en otra si se ha producido una entrada ilegal (con el
bit de paridad mal) . El circuito deber realizarse con multiplexores de dos entradas de seleccin y una ROM de 8 posiciones de memoria .
Solucin P19 .- El circuito a disear posee cinco entradas, P, a1, a0, b 1 , b0 y dos salidas . Llamaremos G a la salida que indica cundo el nmero a = al a0 es mayor o igual que el b = b 1 b o,
y salida 1 cuando se produce una entrada con el bit de paridad P errneo .

122

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

a > b

G=1

a<b

G=0

error en P

I=1

P correcto

1 = 0

Para resolver el problema disponemos de dos multiplexores de dos entradas de seleccin


y una ROM de 8 posiciones de memoria . El proceso ser el siguiente : de la salida de cada uno
de los multiplexores se obtendr cada una de las dos funciones . Dado que las funciones dependen de cinco variables, al ser multiplexores de dos entradas de seleccin, sus residuos son funciones de tres variables . Estas ocho funciones que componen los residuos sern implementadas
con la memoria, que al ser un mdulo lgico universal, en este caso de tres entradas, podemos
implementar cualquier funcin de tres variables nada ms que rellenando su contenido de forma adecuada .
Por tanto, se comenzar haciendo el mapa de Karnaugh de las cinco variables para las
dos funciones, G e 1, y sobre l se marcarn los residuos correspondientes a cada multiplexor .

001

010

011

100

101

110

111

Go

G1

G2

G3

o
001

010

011

100

101

110

111

00

01

lo
II

10

12

11

13

b e bo

I
Eligiendo b 1 y b0 como entradas de seleccin de los multiplexores, y por tanto, P a l a0
como entrada de la memoria, se observa que algunos de los residuos de las funciones de salida
tienen una expresin muy simplificada, bien una constante o una variable de entrada . En esos
casos, pueden ser conectadas directamente a las entradas de los multiplexores pudindose ahorrar en el tamao de la memoria ya que no se necesita implementar esas funciones como salidas
de la ROM . Estas son :
G0=1

'G2=a1

1 3 =10

1 1= 12

SUBSISTEMAS COMBINACIONALES

123

A continuacin se muestra el contenido de la memoria y el esquema final del circuito :

al
a0

[$1
1

o ROM

2 3 x4

al
a0

3 2 1 0

1111
G IG31 0 1 2

0
1

al- 2
1

2
1
0 ROM 0
23x4

lo

G
G3
I0

b Ib0

12

o
1- 2
3

I1
b Ib0

Problema 20.- Se desea realizar un convertidor de cdigo, de entrada 2-out-of-5 y de salida


BCD. Adems, este circuito deber poseer otra salida que detecte un error en la entrada . En
el caso de que ocurra tal error, las salidas BCD se pondrn en alta impedancia .
a) Realice el detector de error usando un MUX 8 :1 y puertas.
b) Realice el convertidor 2-out-of-5 a BCD usando un PLA de no ms de 10 trminos
producto (AND).
c) Dibuje el circuito completo .
Solucin P20.- Se organizar el circuito en bloques, y se resolver cada uno por independiente . El circuito global dispone de cinco variables de entrada X4 - X, , por donde se expresa el
cdigo 2 de 5, y cinco salidas, cuatro de las cuales Z 3 - Z0 expresan el cdigo de salida, cdigo
BCD, y la quinta seal, E, detecta cuando hay un error en la combinacin de entrada .

X 4 - X0

Convertidor de cdigo
2de5/BCD

Buffers
3-estados ~-4-r

Detector de error

Z3 - Z0

a) Definimos la seal de error E de la siguiente forma :


E = 0 si X 4 - X 0 es cdigo correcto .
E = 1 si X4 - X0 es cdigo incorrecto .
De esta forma se puede presentar el mapa de Karnaugh para la funcin E :
X4X3X 2
XIXO

000

00

001

010

011

100

0
1

01

10

11

1
E

101

110

111

124

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Una vez conocido el mapa de la funcin E, dado que se dispone de un multiplexor de


3 canales de seleccin, los residuos de la funcin sern dependientes de 2 variables de entrada .
Por tanto, a partir del mapa anterior se deducen cada una de las funciones residuo y se muestra
el circuito resultante .
X

xo
o

XI -

2
3
4
5
6
7 2 1 0

Xo X

X 4 X 3X2

b) Para realizar el convertidor de cdigo 2 de 5 a cdigo BCD natural, se muestra la tabla


de conversin para cada una de las diez combinaciones :
X4

X3

X2

x1

0
0

0
0

1
1

0
1

1
1

0
1

0
0
0

Xo
1

03
0

02
0

01

00

0
0

0
1

0
1

0
0

1
0

1
0

0
1

0
0

0
1

0
0

1
1

0
1

1
0

0
0

1
1

0
1

0
0

1
1

0
0

0
0

0
1

0
1
1

Una vez conocidas las cuatro funciones de salida basta implementar el circuito utilizando un PLA . Suponiendo que todas las combinaciones de entrada que no correspondan a cdigo
2 de 5 no ocurren nunca, y asignando un trmino producto para cada una de las combinaciones
de entrada obtendramos diez trminos producto para realizar en el plano AND del PLA .
A continuacin, para cada funcin de salida se har la operacin OR de aquellos trminos producto de los que participa . Siendo P0, P 1 , P 2 , . . .P 9 cada uno de estos trminos, las funciones
sern :
03 = P8 + P9
02 = P4 + P5 + P6 + P7
0 1 = P2 + P3 + P6 + P7
00=P1 +P3 +P5 +P7 +P 9
El esquema del PLA ser el que se muestra :

SUBSISTEMAS COMBINACIONALES

125

PI
.
P,)

P3
P4
P5
P6

P7
PA

Pq

X4 X3 X2 X 1 XO

03 02 0 1 00

c) El circuito completo ser el siguiente, donde el resultado de los apartados anteriores


quedan representados simplemente por un diagrama de bloque :

X4
X3
X2
xl
xo

z3

PLA

MERIMIO
Convertidor cdigo

~
E

2de5/BCD

~EN

z2
zl

zo

ILL

Detector de error

Problema 21 .- Analice el circuito de la figura describiendo con palabras la funcin que realiza. Puede disearse con una ROM un circuito que realice la misma tarea? En caso afirmativo, indique cmo se hara, as como el contenido de la ROM para los siguientes valores en
hexadecimal de X e Y:
XY: 10, 11, 12, 67, 84, AA,DF

z3
Y3
Y2

Yo
X3
x2
xl
xO

A3
A2
A1
Ao
B3
B2
B1
BO

A>B
A=B
A<B
zO

126

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P21 .- Si el nmero X>Y, la salida A<B del comparador de magnitudes, se activa .
Esto provoca que, en la batera de multiplexores, se escoja el canal 1 . Por tanto, el conjunto de
las salidas de estos multiplexores, contiene el nmero x . La salida del sistema depender de la
salida A=B del comparador . Como sta se encuentra a 0 lgico, las salidas Z 3 _0 contienen el
nmero X .
De igual modo razonamos cuando el nmero X<Y . La salida A<B del comparador se
encuentra a O lgico, lo que provoca que en la batera de multiplexores se escoja el canal 0 .
Las salidas Z 3 _ 0 , contienen, en este caso, el nmero Y .
Por ltimo, cuando X=Y, la salida A=B del comparador se encuentra a 1 lgico, por lo
que el inversor provocar que las salidas Z 3 _ 0 se encuentren a O lgico .
La funcin de salida de este circuito puede representarse mediante una ROM, que se
dimensiona con 8 lneas en su bus de direcciones (correspondientes a los 4 bits de los 2
nmeros), y 4 bits por palabra . En la tabla siguiente, se han representado los contenidos de la
ROM para las direcciones indicadas en el enunciado :
POS CONT
Y3
Y2
Yl
Yo

XI

A7
A6
A5
A4
A3
A2
Al

xo

Ao ROM

X3
x2

$10
$11
$12
$67
$84
$AA
$CB
$DF
$FF

D3
D2
D1
Do

1
0
2
7
8
0
B
F
0

De los 2 dgitos que forma la direccin, el primero hace referencia al nmero A, y el


segundo, al B . En el caso de que los dgitos sean iguales, la salida es 0, si son distintos, la salida
es el mayor de los dos .

Problema 22.- Necesitamos un circuito lgico con cuatro entradas que genere una salida z
que se activa cuando se satisface una de las dos condiciones siguientes, pero no las dos :
1) Ambas entradas, a y b, son activas .
2) o bien c o d o ambas son activas .
Disee este circuito en cada uno de los casos siguientes :
a) Con MUX s de 4 canales, suponiendo que a y b son activas en nivel alto, c y d activas
en bajo y z activa en bajo .
b) Con un DEC 3 :8 con salidas activas en alto, una puerta NAND de 6 entradas y un
nmero no mayor de 8 puertas NAND de dos entradas, suponiendo que todas las entradas y
salidas son activas en alto .
Solucin P22 .-

Definamos las variables booleanas C ; (i = 1, 2), de forma que tomen el valor

lgico 1 cuando la condicin i se cumple y 0, en caso contrario . La salida z se puede expresar


en funcin de estas variables booleanas, como :
z = C1 O C2

SUBSISTEMAS COMBINACIONALES 127

en el caso de que la salida sea activa en alto, o como :


z = C 1 00C2
en el caso de que sea activa en bajo .
a) Debemos obtener, ahora, la relacin entre las variables C ; y las entradas a, b, c, d .
Condicin 1 : C, tomar el valor lgico 1 cuando a y b estn activas ( en alto ) :
C 1 = a b
Condicin 2 : C 2 tomar el valor lgico 1, cuando c o d estn activas ( c = 0 o d = 0) :
C2 = c+d
La salida z vendr dada por la expresin z= (a - b) (c + d), y su K-mapa es:
cdab00 01 11 10
0
00 0
0
0
0
1
01
0
1
1
1
11
1
0
10 0 0
Z
Si implementamos la funcin Z con multiplexores de 4 canales, nos queda :
0
0
0
1
1
1
1
0
d
b) Ahora, todas las variables de entrada y la salida, son activas en alto . Procediendo de
forma similar al apartado anterior, obtendremos :
z = (a - b) (c + d) cuyo K-mapa es :
cdab00 01 11 10

00
00
0
00
00 0

Z
Esta funcin la implementaremos como producto de maxtrminos . Si escogemos a, b, c,
como entradas del decodificador y las salidas de este se llaman O ;, tenemos que :
M o = a+b+c+d = b .

d = 00 . d

M 4 = a+b+c+d = b .J .d = 0 2 d

128

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

M8
M13

= +b+c+d = a .b .c .d = 04 d
= +b+c+d = a b c d = 0 6 d

14 = +b+c+d = a b c d =

15 = +b+c+d = a b c d = 0 7 d

07 .d

El producto de los maxtrminos M14 Y M15 puede simplificarse


= +b+c = 5 7
M14 M 15
El circuito queda como :
M

a
b
c

1
2
3
4
5
6

M
M1

DEC3 :8

3--

~M M 15 1 -

PROBLEMAS CON SOLUCIN RESUMIDA


Problema 23.- Represente las salidas del siguiente circuito como suma de productos .

b- A
a - Al
c- A

POS CONT
0
A

ROM
D3
D2
D1

1
2
sis

~- fi
f2

2
3
4
5
6
7

02
B
C
7
3
7

Solucin P23.- Las salidas f1 y f2, tienen las siguientes expresiones, donde se han sustituido
las seales de seleccin del demultiplexor, sl y s o, por las salidas de la ROM D 1 , y D o, respectivamente.
f1 = D 3 s 1 so +D 3 s 1 so +D 3 s 1 s o = D3+D1 Do
y
f3 =

D 3 ' SI ' SO

= D3 ' D1 . Do

Nos falta, por tanto, determinar las relaciones entre las salidas de la ROM (D3 , D 1 y D o),
y las variables de entrada a, b y c . De la tabla de programacin de la ROM, deducimos los
siguientes K-mapas para D 3, D 1 y D o:

SUBSISTEMAS COMBINACIONALES

11

ama
ama

a
una

DI

Do

A partir de estos K-mapas , podemos obtener los K-mapas de las funciones f l y f2 :


00

01

11

10

f,

f2

De donde deducimos que :


f, = a+cyf2 = b a c

Problema 24 .- Analice el circuito de la figura.


s

fo
s

d
y

1
2
3

is

d2
0

fi

Solucin P24 .- Las ecuaciones de salida del multiplexor son :

do = y+x+E d, =

y+x+E

d2

y+x+E

d3

y+x+E

donde el enable E, se expresa como :

E = y +u x
Sustituyendo en las expresiones anteriores y simplificando, queda :

do = 1
d, = y+x+
d2 = y+x+u
Por otro lado, las funciones f 1 y fo se expresan como :
fo = do -d2 = y+x+u
f, = d i x d 3 = x y

d3

y+x

Por ltimo, la funcin de salida f que se obtiene, una vez reducida, es :

f = y +x Y

129

130

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 25.- Disee un convertidor de cdigo Gray a binario natural de 4 bits utilizando
slo tres puertas EXOR de tres entradas .
Solucin P25 .- Llamaremos g3 g2 g1 go a las variables de entrada (cdigo Gray) y b 3 b 2 b 1 b o
a las de salida (binario natural) . La tabla de verdad que muestra el comportamiento del convertidor es la siguiente :

g3

g2

g1

g0

b3

b2

b1

bo

g3

g2

g1

g0

b3

b2

b1

bo

A partir de esta tabla pueden deducirse las relaciones :


b3 = 93
Por simple inspeccin observamos que b 2 vale 0 si g 3 = g 2 y vale 1 en caso contrario,
de donde :
b2 = g3 E+ 92
Por otra parte, recordemos que z = x E+ y p v se hace 1 si y slo si el nmero total de
unos en x, y, v es impar . Observamos que b 1 vale 1 cuando g 3 g2 g1 tiene un nmero impar de
unos, de donde :
b1 = g3EOg2Og1
O bien, b 1 se hace 1 cuando g 1 :# b 2 y se hace 0 cuando g 1 = b 2 de donde :
b1 = g1 E+ b2 = g30g20g1
Anlogamente, b o es 1 cuando es impar el nmero de unos en 93929190 o, alternativamente, cuando go :# b 1 , de donde :
bo = goOb1 = g3 +Eg20g10g0
Para hacer el diseo con puertas EXOR de tres entradas, basta tener en cuenta que
x O+ y = x p y Ep 0, con lo que se muestra un posible diseo :

SUBSISTEMAS COMBINACIONALES

g3
92-

o -

131

b
91o-al-a0-0

go -

- bo

0 -

Problema 26.- Se dispone de decodificadores 2 a 4 con seal de habilitacin activa en nivel


alto. Disee, con las mismas caractersticas:
a) Un decodificador 1 :2 .
b) Un decodificador 3:8 .
c) Un decodificador de 4 :16 .
Solucin P26.
a) Se quiere disear un decodificador 1 :2 con seal de habilitacin activa en alta. Para
ello se dispone de uno de esas caractersticas pero con dos entradas y cuatro salidas . Una de
las posibles soluciones sera elegir una de las dos variables de entradas que tiene el decodificador dado y fijarla a un valor constante . De esta forma slo dos de las salidas podrn activarse,
y sern stas las salidas del decodificador que buscamos .
Disponemos del siguiente decodificador :
E

m0
1
m1
2
0
m2
m3
DEC2 :4 3
Si fijamos una de las entradas (por ejemplo la de mayor peso asociado) a "0" quedara :
E

} ;

ao

1
2

DEC2 :4 3
=

DEC :21---

m0
m1

132

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

b) Se quiere conseguir ahora un decodificador 3 :8 a partir de decodificadores 2 :4 .


Tendremos que introducir una nueva variable de entrada, y en funcin de la combinacin
binaria de las tres entradas se activar una y slo una de las ocho salidas de las que dispone el
decodificador (si ste est habilitado, E = 1) .
Para ello, asociamos tres decodificadores de forma que las salidas de uno de ellos sean
cuatro de las del nuevo decodificador, por ejemplo, las cuatro menos significativas, las cuatro
salidas del segundo formarn las otras cuatro salidas, y el tercer decodificador servir para seleccionar a uno u otro de los anteriores segn el valor lgico de la tercera variable de entrada
que hemos incorporado . A continuacin se muestra el esquema :
E

------

m0

a2

MI

m2

3
DEC 2 :4

m3

1
2
3
DEC 2 :4

m4

al

m5

ao

m6
3
DEC 2 :4

m7

DEC 3 :8

c)

'

Con un razonamiento

anlogo al del apartado anterior, se quiere un


decodificador 4 :16 . Para ello asociaremos cinco decodificadores 2 :4, uno de ellos ir seleccionando uno a uno los cuatro decodificadores restantes, proporcionando cada uno cuatro de las
diecisis salidas que tiene el decodificador que se busca . En la siguiente figura se muestra el
esquema :

SUBSISTEMAS COMBINACIONALES

133

E
--------------------------------------------

mo

1
0

1
MI
2 -'r-- m2
m3

DEC2 :4 3
a3
a2 -

DEC 2 :43
al
ao

m4
m5
m6
m7

DEC 2 :4

M8

1 Y m9
2
m10
Mil

DEC2 :4

1
0

1
2

DEC 2 :4

m12
m13
m14
m15

DEC 4 :16
'

Problema 27.- Utilizando multiplexores de menos entradas de seleccin que el dado, se pide :
a) Cmo implementara un MUX de 3 entradas de seleccin?
b) Cmo implementara un MUX de 2 entradas de seleccin?
c) Cmo implementara un MUX de 4 entradas de seleccin?

134

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P27 .- Multiplexor de 3 entradas de seleccin a partir de MUX-2 y MUX- 1 :


Co
C1
C2
C3
C4
C5
C6

C7
S iso
Multiplexor de 2 entradas de seleccin a partir de MUX-1 :
C
C
C
C

Multiplexor de 4 entradas de seleccin a partir de MUX-2 :


Co
C1
C2
C3
C4
C5
C6
C7
Cg
C9
C 10
C11
C12
C13
C14
C15

S I SO

Problema 28.- Se dispone de ROMs den lneas de direccin y m bits por palabra, todas ellas
con CS. Disee una ROM con CS, n lneas de direccin y 2m bits por palabra.

SUBSISTEMAS COMBINACIONALES

135

Solucin P28.- Disponemos de dispositivos como los que se muestran a continuacin :


cs

A(n-1) - 0

y se desea obtener una memoria del mismo nmero de lneas en el bus de direccin pero con
el doble nmero de lneas en el bus de datos, es decir, que cada palabra contenga 2m bits . Para
ello asociamos en paralelo dos memorias de las iniciales . De esta forma, cuando ambas sean
seleccionadas simultneamente, para una misma direccin de palabra, (el bus de direcciones
es comn para ambas), se accede a una palabra de cada memoria leyendo su contenido . Basta
slo reunir los m bits de cada uno de los contenidos en un bus comn que ser el de datos de
la memoria final que se busca . A continuacin se muestra el esquema de conexionado :
cs
cs
A(n-1)-0- , :\ ',
n
n

cs

ROM
2"* m

ROM
2n *m

m
1

. $2m

Problema 29.- Se dispone de circuitos comparadores de magnitud de 4 bits y puertas


lgicas . Disese un comparador de nmeros de 16 bits .
Solucin P29 .- Este problema puede tener diferentes soluciones . Presentamos, aqu, la
solucin ms simple . Para consturir un comparador de 16 bits haremos comparaciones a
grupos de 4 bits . Comenzaremos por los 4 bits de mayor peso de los nmeros A y B :
A15-12

B15-12

A>B
A=B
A<B

136

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Si de este grupo de 4, los bits del nmero A son mayores que los del B, no hara falta
comparar los restantes bits ; el nmero A es mayor que el B . De igual modo razonamos cuando
los 4 bits del nmero B son mayores que los respectivos del A . Podemos ver, entonces, que las
salidas de este primer comparador, pueden utilizarse como salidas del comparador de 16 bits .
Slo en el caso en que los 4 bits ms significativos de los dos nmeros sean iguales, se
necesitar comparar los restantes bits .
4
A11-8 -x-30 A
G'

4
A15-12 -/_ A
G'

G
E
L

E
B11-8 -1 -> B
4

B15-12

f~ B

A>B
A=B
A<B

Si los bits A15-12, son iguales a los bits B15-12 , Y los bits A 11 - 8 son mayores que B11-8 ,
la salida G del segundo comparador, est activa, y provocar que se active la salida G del
comparador primero . De igual modo, si los bits A15-12 son iguales a los bits B15-12 Y los bits
A 11 - 8 son menores a los bits B 11 - 8 , la salida L del comprador nmero 2, se encontrar activa,
y provocara la activacin de la salida L del comparador 1 . Slo en el caso de que el conjunto
de los 8 bits A15-8 y B 15-8 sean iguales, habr que comparar el siguiente grupo de 4bits de los
2 nmeros . La estructura del comparador de 16 bits se obtendra extendiendo el esquema
anterior para el grupo de 8 bits restante .
A11-s

A15-12 > A

A
G'
E'
L'
B 2

E
L
B 15-12

E
L

A>B
A=B
A<B

A7-4

A
G'
E'
L'
B 4

G'
E'
L'
B 1

E
L

DI A
G'
E'
E
L'
L
B7-4 -~ B 3

Se resalta el hecho de que el comparador 4 ( el que acta sobre los bits de menor peso),
tiene en sus entradas G',E', L', la terna (0,1,0) . Esto es necesario para el caso en que los dos
nmeros, A y B, sean iguales, para activar la salida A=B .

Problema 30.- Sea F =

E (1,3,11,13,21,23,25,31)

+ d(5,19,27) . Implemente esta funcin con


un nico demultiplexor 1 :8, una puerta NAND de ocho entradas y puertas NAND de dos entradas .

SUBSISTEMAS COMBINACIONALES

137

Solucin P30.- F(a,b,c,d,e) = E (1,3,11,13,21,23,25,3 1) + d(5,19,27)


L&
o
1
2

e 34

5
6
2107
III

abc

Problema 31 .- Una llamada de telfono puede dirigirse a cuatro secretarias. (Nunca hay ms
de una llamada simultneamente) . La recepcionista distribuir las llamadas segn el siguiente
criterio:
Si la llamada procede de empresas de alimentacin o de ropa, se pasa a la secretaria
nmero 4.
Si procede de una empresa de venta de ordenadores, o de un banco, se pasar a la
secretaria nmero 3.
Si se trata de una llamada procedente de una empresa de viajes o del aeropuerto, deber sonar el telfono de la secretaria nmero 2 .
En cualquier otro caso se enviar a la secretaria nmero 1 .
Disee un circuito que indique el nmero de la secretaria que deber recibir la llamada,
utilizando un nico codificador 8 :3, una NOR de 2 entradas y una NOR de 6 entradas.

Solucin P31 .- Interpretamos cules sern las variables de entrada y de salida del problema .
Variables de salida:
Z 1Zp: indican la secretaria a la que va dirigida la llamada :
Z1 Zo
0 0
0 1
1 0
1 1

secretaria
secretaria
secretaria
secretaria

n4 1
n - 2
n - 3
n - 4

Variables de entradas : Se nombrarn con X0, X 1 , ... a las distintas llamadas de empresas .
Xk = 1 indicar que hay llamada de la empresa "k" (ver tabla) .
Hay siete procedencias distintas de las llamadas, organizadas en cuatro grupos dependiendo de la secretaria que la reciba . Utilizando un codificador 8 :3 se transforman estos 8 casos
en cdigo de tres variables de las que dependern las variables de salida . A continuacin se
muestra la tabla :

138

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Llamada

Xo

XI

X2

X3

X4

X5

X6

X7

C2

C1

C0

Z1

Z0

Alimentacin

Ropa

Ordenadores

Banco

Viajes

Aeropuerto

Otras

Por ltimo basta obtener las expresiones de Z 1 y Z0 en funcin de las salidas del codificador .
C2 C 1

C C
00

1 1

O1

10

00 01

11

10

1
1

Zo

Z1 = U2

Zo = C1

La realizacin del circuito utilizando los dispositivos de los que disponemos quedara
como se muestra a continuacin :

X0
X1
X2

>1

X3
X4
X5
X6

NC

7 COD 8 :3

C
C
0
NC : no conectada

Problema 32.- Un sistema que mide peridicamente la temperatura de un experimento de


laboratorio, da la informacin utilizando nmeros de 4 bits en notacin complemento a dos .
Disee un circuito que detecte el intervalo cerrado de cdigos [-5,41, utilizando,
exclusivamente, comparadores de magnitud de cualquier nmero de bits y puertas de dos
entradas que no sean operadores lgicos universales .

SUBSISTEMAS COMBINACIONALES

1 39

Solucin P32.
Sistema
de
medida

1 3-0

C.C .

Todas las salidas que genera el sistema de medida, se representan en la siguiente tabla .
Asimismo, se ha representado el equivalente en notacin Ca2, y la salida Z .
T
+0
+1
+2
+3
+4
+5
+6
+7

1 3 12 1 1 10
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0111

Z
1
1
1
1
1
0
0
0

13 12 11 10

-8
-7
-6
-5
-4
-3
-2
-1

1 0 0 0
1 0 0 1
1010
1 0 1 1
1 1 0 0
1 1 0 1
1 1 1 0
1 1 1 1

0
0
0
1
1
1
1
1

Para el diseo con comparadores, procedemos de la siguiente manera:


a) Todas las magnitudes menores de 0101, activarn la salida .
b) Las magnitudes mayores de 1010 activarn, tambin, la salida .
c) La unin de los casos a) y b), genera la salida Z .
El circuito resultante es :
4
0101 -

' 1A

1 3-0 '90 B
4
1010 f) A
1 3-0

A>B A=B A<B


Z
A>B
A=B A<B -

Como puede observarse, el operador utilizado, el OR, no es universal, puesto que no se


puede generar cualquier funcin utilizando, exclusivamente, este tipo de puerta .
Problema 33.- El bloque A de la figura pone su salida yk=1 s y slo si hay k entradas a 1 .
Disee la unidad B de forma que el bloque completo C ponga z=1 si y slo si hay j entradas
a 1 . Utilice slo MUX 2 :1 .
--------------------------------------C
XO
X1
X2 -

>

YO

1 -

X 3 _

.J- > ZO
B

Z1
Z2
> Z3
> Z4

140

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P33.- Construyamos la tabla de verdad para este problema :

Xo

XI
0

X2

Yi
0

Y3

X3

zo

Z2

Z3

Z4

Yo
1

Y2

De aqu se deduce que :


X3 =0=Z i =

(i = 0, 1,2,3 y Z4

0)

X3 - 1 = Zi = Y-1
(i = 1 , 2 , 3 ,4 y Z0 = 0)
con lo que se obtiene el circuito que se muestra a continuacin :

X3

Captulo 6
CIRCUITOS ARITMTICOS

ARITMTICA BINARIA
La suma de dos magnitudes A y B en base 2 se realiza de forma similar a la suma en base 10 .
En cada columna se suman los bits de esa columna (A i y Bi) y el acarreo generado previamente
o carry, (Ci); del resultado de la suma (A i+Bi+Ci = 0,1,2 3) se genera el bit del resultado de
esa columna (F i ) y el acarreo a la siguiente columna (Ci+1) : Ci+1Fi = 00, 01, 10 o 11,
respectivamente . En el siguiente ejemplo se representa la suma de dos nmeros y los acarreos
que se generan 1 :
11 111
Acarreos
01101110
A=110 00
00100011
B=35(10
10010001
F=A+13=145 (10
La resta de dos magnitudes binarias, A-B, tambin es similar al caso decimal . En cada
columna existe un bit de pedir prestado (borrow, Bw i ) generado en la etapa previa y cuyo
significado para Bwi = 1 es el cotidiano "me llevo uno" . En cada columna se hace la operacin
Ai - (B1 + Bwi) generndose Bwi+1 = 0, o (2 + A i ) - (B i + Bwi ) generndose Bw1+ ,=1 .
A continuacin se presentan dos ejemplos . En el primero se cumple que A>B y en F se obtiene
el resultado correcto A-B . En el segundo, A<B, se genera Bwn =1 y en F no est el resultado
correcto :
11 1
Borrows
1
Borrows
11010 A=26
000100 A=4
1101 B=13
- 110000 B=48
01101 F=13
110100 F=52
No presentaremos, en esta introduccin, otras operaciones aritmticas como la
multiplicacin y divisin entre nmeros binarios . Remitimos al lector a los problemas 1, 4, 5
y 15 de este Captulo .
1 . En adelante se sobreentender que los nmeros como A, B o F estn en base 10 sin necesidad de
explicarlo, tal como aparecen en las operaciones de ms abajo .

141

142

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Suma de nmeros en notacincomplemento a 1 (Cal) y a 2 (Ca2)


Se puede demostrar que con el empleo de la notacin Cal o Ca2 para la representacin de
nmeros con signo, la suma y resta binarias puede obtenerse usando, exclusivamente, circuitos
sumadores de magnitud . A continuacin se presenta esta operacin .
La suma de dos nmeros binarios con signo en notacin Cal y en notacin Ca2 se basa
en la suma de magnitudes binarias . En el caso de que A y B estn en Cal, a la suma de A y B,
como si fueran magnitudes, se le suma el bit de acarreo de salida que haya sido generado . El
resultado ltimo es el valor de la suma F=A+B escrito en notacin Cal . Anlogamente se
realiza la suma en el caso del Ca2, salvo que aqu el resultado final se obtiene directamente
tras la primera suma .
Cal

Ca2

5t AB

F
F
Desbordamiento (overflow )
La suma de dos nmeros binarios con signo de n bits, expresados en cualquier notacin, puede
tener un resultado errneo en el caso de que ambos tengan el mismo signo y el valor de la suma
no pueda ser expresado en n bits . En estos casos diremos que se ha generado un
desbordamiento (overflow) . En el siguiente ejemplo se muestran dos casos de overflow . En el
primero, tenemos dos nmeros en Cal positivos de magnitudes 13 y 8 . El resultado de la suma
es un nmero negativo lo cual es incorrecto . El segundo ejemplo representa la suma de dos
nmeros negativos expresados en Ca2 . De idntica forma, el resultado obtenido es positivo, lo
cual no representa el valor correcto :
Cal

Ca2

01101+13
01000+8
10101 -10

10001 -15
11000 - 8
01001 +9

DISEO DE CIRCUITOS ARITMTICOS


Las celdas bsicas de los circuitos sumadores habituales realizan la suma de dos bits . Existen
dos tipos : los semisumadores (Half Adder, HA) y los sumadores completos (Full Adder, FA) .
Semisumadores
Tienen dos entradas A ; y B ;, y dos salidas S ; y C ; +1 que se corresponden con el bit de suma y
de acarreo, respectivamente . El esquema de un semisumador- HA-, su tabla de verdad y su
estructura interna son :

CIRCUITOS ARITMTICOS

Ai

A i Bi Si Ci+l
00
00
01
10
10
10
11
01

Bi

HA
Ci+I Si
y

143

i
1

Sumadores completos
Tienen tres entradas A; , B i y Ci que se corresponden, las dos primeras, con los bits de los
nmeros A y B y, la ltima, a la entrada de acarreo, y dos salidas, S i y C i+l , con idntico
significado que en los semisumadores . El esquema, tabla de verdad y estructura interna de un
sumador completo son : .
A i B i C i Si Ci+l
000
001
010
011
100
101
110
111

00
10
10
01
10
01
01
11

Ci
Bi
Ai

Ci+I

Sumador de n bits
Los semisumadores y sumadores completos pueden unirse para formar sumadores de
2 nmeros de n bits . Esto se consigue mediante el empleo de n sumadores completos en los
que la entrada de acarreo del sumador j+1 se conecta a la salida de acarreo del sumador j . En
la siguiente figura se muestra el esquema de bloques y constitucin interna de un sumador de
4 bits .
B3-0
14

Cout

A3-0
14

Sumador
de 4 bits
4
Z3-0

Ci n

Cout

A3 B3

A2 B2

Al

B1

A0 B 0

1 1

1 1

1 1

1 1

a b
FA
Ci+l Ci
S.

a b
FA
Ci+l Ci
S

a b
FA
Ci+l Ci
S.

a b
FA
Ci+l Ci
S-

Cin

Z
3

Sumador BCD
Un tipo particular de sumadores binarios lo constituyen aquellos que aceptan nmeros BCD
en sus entradas y generan el resultado tambin en BCD . El sumador BCD ms bsico es el que
realiza la suma de dos dgitos BCD, A y B, junto con un posible acarreo de entrada, K ;,, y
genera un acarreo de salida, K ot, t , y el resultado BCD de la suma, Z . Su estructura interna est
basada en sumadores binarios de 4 bits . Existe un circuito combinacional que detecta si el
resultado del primer sumador es un nmero BCD y un segundo sumador, que aade la
magnitud 6 0, segn corresponda, para convertir la suma binaria al valor BCD de la salida :

144

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Kont <
i

Kout

0 0
1 r1

Sumador
K'n EBCD

Sumador c,,,, -0
de 4 bits CinE0

E-,

Cout

Sumador
de 4 bits -in n:-,-

in

Detector error
BCD

iz
Encadenando en serie "K" de estos sumadores, se construyen sumadores BCD paralelos
de K dgitos decimales .
Sumador-restador de nmeros con signo en Ca2
Un circuito sumador-restador de nmeros con signo en Ca2 consta, bsicamente, de un
sumador binario de magnitud y un circuito que deja pasar, o complementa, el dato que acta
de sustraendo, segn se muestra en la figura . Si se ordena la suma (s/r=0), Y=B y F=A+B ; si
se ordena la resta
Y = B y F = A + B + 1 = A + Ca2(B) = A - B . El desbordamiento se
representa mediante el bit V y se puede implementar de varias formas como, por ejemplo,
V = Exor(C n ,Cn- 1) :

Transfiere/ F
Complementa

4Y
c o t Sumador
binario
V

s/r
in

UNIDAD ARITMTICO-LGICA (ALU)


Una ALU de n bits es un circuito combinacional que realiza operaciones lgicas y aritmticas
sobre 2 datos de entrada de n bits cada uno . En la siguiente figura se presenta el esquema y
tabla funcional de una ALU de 4 bits, donde existen 3 seales de seleccin que permiten
escoger entre 4 operaciones lgicas y 4 operaciones aritmticas, adems de acarreo de entrada
y salida para las operaciones aritmticas :
A3s2
SI
SO

s2 s1

B3-0

SO

000
001
010
011
100
101
110
111

Operacin
F = AND(A,B)
F = OR(A,B)
F = EXOR(A,B)
F = NOT(A)
F = A+B+C n
F = A- B+C n
F=A-1+ C; n
F=A+C;n

CIRCUITOS ARITMTICOS 145

ndice del Captulo


Este Captulo desarrolla problemas de las siguientes materias :
- Aritmtica binaria .
- Diseo de subsistemas aritmticos .
- Manejo de circuitos y subsistemas aritmticos .
PROBLEMAS RESUELTOS
Problema 1 .-Sean A y B dos nmeros binarios . a) Determinar en funcin del nmero de bits
de A y B el mayor nmeros de bits de A + B y A*B . b) Realice en binario las sumas 110 + 35
y 110 +73 suponiendo que se dispone de un solo byte .
Solucin Pl .

a) Supongamos que los nmeros A y B tienen el mismo nmero de bits na n b=n.


Pongmonos, a su vez, en el peor de los casos a la hora de realizar la suma, esto es, los nmeros
A y B son todo 1's . El resultado de la suma provocar un acarreo en los bits ms significativos,
por lo que necesitaremos 1 bit ms para almacenar el resultado, n+1 .
1 1 1 1 1
1 1 1 1 1
1 1 1 1 1 0

A
B

Si el nmero de bits de A es mayor que el de B, en el peor de los casos, se puede generar


un acarreo en el ltimo bit del nmero A que provoque un resultado de n a+1 bits.
1 1 1 1 1 A
111 B
100 110
En general, podemos concluir que el nmero de bits del resultado vendr dado por la
siguiente expresin :
+ 1
na+b = max (n a , n b )

Esta misma expresin se obtiene razonando sobre los valores mximos : A<B<-2 nb -1 ->A+B<-2 n a+2 nh -2<2

n
2 a -

1 y

max(n a +nb+l)

-1
Para la multiplicacin tambin debemos ponernos en el peor de los casos : todos los bits
de A y B son 1's .
11 . ..11 A
1 .. .11 B
11 . ..11 S O
11 . . .110 s i
1 1 .. . 1 1 0

0 0 0 snb-I
. .. 0 1

Supongamos que el nmero A tiene ms bits que el B (n a > nb). Llamemos S i , a los

146

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

resultados parciales procedentes de multiplicar el bit i del nmero B, por el nmero A (ver
figura anterior) .
El nmero de bits del resultado parcial S 0 es de n a ; el de S 1,n a +1 ; en general, el del S i ,
es n a + i. El resultado parcial con mayor nmero de bits es Snb-1, con n a + nb - 1 bits .
Por el apartado a) sabemos que la suma de los resultados parciales S 0 y SI, necesitarn
un resultado de n a +2 bits . Este resultado, sumado con S 2 ( que tiene n a +2 bits), producir un
nuevo resultado que necesitar n a+3 bits . De forma sucesiva, llegamos sumando las resultados
parciales, hasta el ltimo, Snb-1 . El tamao del resultado de esta ltima suma, se necesitar
almacenar con un bit ms de los que posee Snb-1, es decir, n a + n b -1 + 1 .
En resumen, el resultado de la multiplicacin de dos nmeros A y B de n a y nb bits,
respectivamentes es :
na x n = n a + n,

b) Convertimos los nmeros 110 (10, 35 (1 0 y 73 (10 en binario


110 12
Jb 5 5 12
j 2712
131 2
1
6 ( 2
v,312

112.

v 0

110 (10 = 1101110 (2 , o bien , si utilizamos un byte para almacenar el nmero


110(10 = 01101110 (2-

73 12
`D 3 6 12
`0 181 2
`0
91 2
j
412
`0 2 2
`0
11 2
j 0

73 (10 =

01001001 (2 .

Por ltimo :
35 12.
,U 17 12

v v81 2
v

41 2

2 1 2
1

`0

35 10 = 00100011(2
Las sumas son :

v 0

CIRCUITOS ARITMTICOS 147

Problema 2.-

01101110
00100011
10010001

110
35
145

01101110
01001001
10110111

110
73
183

Realice la substraccin de los siguientes nmeros binarios usando

(i) el complemento a dos


(fi) el complemento a 1
Comprubese la respuesta por substraccin directa .
a) 11010- 1101
b)11010-10000
c) 10010 - 10011
d) 100 - 110000
Solucin P2 .

a) Por substraccin directa


1 1010
1101
01101

26
13
13

01 1010
+ 110010
1001100
L--> + 1
001101

+26
-13

Por el complemento a 1

+13

Por el complemento a 2
+ 011010 +26
110011 -13
.00 1 1 0 1 +13

b) Por substraccin directa


11010
- 1 0000
01010

+26
-16
+10

011010
+ 101111
1001001
L--> + 1
001010

+26
-16

Por el complemento a 1

+10

148

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Por el complemento a 2
01 1010 +26
+ 110000 -16
>40010l0 +10

c) Por substraccin directa . En este caso se genera un acarreo de salida ya que el


substraendo es mayor que el minuendo . Sabemos que el resultado debe ser un nmero negativo
cuya magnitud se puede obtener restando al nmero mayor, el menor .
Existe Borrow final
1
10010 18
10011 19

10011 19 - 10010 18
1 1 1 1 1 =9
0 0 0 0 1 1

Resultado -1

Por el complemento a 1
010010
+ 101100
111110

+18
-19
-1

010010
+ 101101
111111

+18
-19
-1

Por el complemento a 2

d) Por substraccin directa


Existe Borrow 1
_ 000100
4
final .
1 10000 48
1 1 0 1 0 0 =?

110000 48
000100
4
1 0 1 1 0 0 44 Resultado -44

Por el complemento a 1
0000100
+ 1001111
1010011

+4
-48
-44

0000100
+ 1010000
1010100

+4
-48
-44

Por el complemento a 2

Problema 3 .- Sea una ALU de 8 bits que entre otras operaciones realiza la suma sin signo
(SSS) y la suma en complemento a dos (SC2) . Indique justificadamente :
a) Dados dos nmeros positivos A y B, da igual sumarlos mediante SSS que mediante
SC2?.
b) En qu consisten y cmo se reconocen los errores de desbordamiento (oven7ow)?
En su caso, cmo puede obtenerse el resultado correcto? .
c) Realice, si es posible, las siguientes operaciones indicando si es con SSS o SC2 .
1 . (-75) + 125
2. (-75) +(-125)
3. 75 + (-125)
4 .75+125

CIRCUITOS ARITMTICOS

149

Solucin P3 .
a) Disponemos de dos nmeros positivos A y B . En primer lugar determinaremos la
estructura de estos nmeros en ambas notaciones . En SSS, los nmeros son la representacin
binaria de una magnitud . El rango oscila entre 0 y 255 para los 8 bits de la ALU . En SC2, los
datos estn representados segn el convenio basado en el complemento a 2 . Esto es, A y B
representan valores positivos y negativos, existe un bit de signo y sus valores van del -128
al +127 .
Para la comparacin de las operaciones SSS y SC2 cabe distinguir varios rangos :
1) A+B < 127, esto es, la magnitud que representa la suma de los dos nmeros es menor
que 128 . En este caso, tanto SSS como SC2 dan el mismo resultado .
2) 127 < A+B < 255 . En este caso el resultado que da SSS es correcto, pero no as SC2,
ya que la representacin en esta notacin necesitara un bit ms . El resultado sera interpretado
como un nmero negativo .
3) A+B > 255 . Este caso es absurdo para SC2, ya que, como mximo, la suma de dos
nmeros positivos en Ca2 es de 254 . Para SSS existe un error en el resultado, porque las
8 salidas de la ALU son insuficientes para representar la magnitud de la suma .
b) Los errores de desbordamiento ocurren cuando se sobrepasa la capacidad de
representacin de los sistemas, produciendo estos, resultados incorrectos . Para reconocer el
desbordamiento, disponemos de la seal de acarreo C 8 y de overflow

V, que

ofrecen la mayora

de las ALU's . El bit de overflow es til para operaciones en las que intervengan nmeros
expresados en notacin Ca2 . Se obtiene realizando la operacin Exor entre el acarreo de la
columna de signo C 7 y el acarreo de salida C 8 : V=Exor(C 7 ,C 8) . Aclaremos este aspecto .
Supongamos que tenemos dos nmeros positivos de 8 bits expresados en Ca2 . Si la suma de
los bits A6-0 y B6-0, es menor de 128, no se produce ningn acarreo C 7 =C8=O, y el resultado
es correcto, tal como muestra la figura :
C 8 =O C7=O

- --------------0 A6 A5 .. .
0 : B6 B5 .

0 : F6 F5 . . .
----------- ----------Si la suma de los bits A6-0 y B6-0 es mayor de 127, se produce un acarreo C 7 =1 que
provocar que el resultado se interprete como un nmero negativo . Existe overflow o
desbordamiento . En este caso, como se puede observar, no existe acarreo de salida C 8=O .
C 8=0 C7=1

0 A6 A5 . . .
0 B6 B5 ...
0

F6

F5

...

Cuando los dos nmeros son negativos, se produce siempre un acarreo de salida que se
desprecia . En tal situacin se producir un overflow cuando, al producirse un C 7 =O, se obtiene
un resultado positivo :

150

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

C8=1
:

C7=0
111, 1
0' A6 A 5 . . .
0 B6 B5 ...
0 F6

F5

.. .

La situacin siguiente es correcta y en ella no se produce overflow :


C8=1 C7 =1

`~` r- -1~
0 A6 A5 . . .
0 B6 B5 ...

0 F 6 F5 . . .
-----------------------Si damos un rpido repaso a las condiciones que producen desbordamiento V=1 en
funcin de C 7 y C8, comprobaremos que se cumple la expresin dada para V .
Dicho todo esto tenemos que :
1 ) En SSS sabemos que existe un desbordamiento cuando el bit de acarreo C 8 se
encuentre a 1 lgico, independientemente del bit V .
2) En SC2 sabemos que existe overflow cuando el bit V se encuentre a 1 lgico .
No obstante, en ambas notaciones es posible recuperar la suma correcta, utilizando
como noveno bit el de acarrero C 8 :
C 8F7F6F 5F4F3 F Z F 1 Fo
Mostremos a continuacin la validez de esta solucin para SC2 (para SSS es evidente) .
La suma A + B genera desbordamiento slo en dos casos : si ambos sumandos son positivos
(A 7 = B7 = 0) o si ambos son negativos (A 7 = B 7 = 1) . Como el desbordamiento se elimina al
contar con suficiente nmero de bits, imaginemos que existe un noveno bit en la posicin ms
significativa (columna 8) . El valor de este hipottico bit ser el del signo de los nmeros
A 8 = B 8 = 0 en el primer caso y A 8 = B 8 = 1 en el segundo . Entonces, al sumar la columna 8
se tendr 0 + 0 + C8 o 1 + 1 + C 8 , por lo que en ambos casos el hipottico bit de signo del
resultado correcto es F 8 = C8 .
c) Los tres primeros casos slo pueden realizarse con SC2 ya que se trata de nmeros
con signo, mientras que el ltimo se realizar con SSS .
1) SC2(101 10101 + 01111101) . Las salidas de la ALU son 00110010, que representan
el nmero +50 . Se produce acarreo, C 8 = 1 que se desprecia en la aritmtica en Ca2, y el bit de
overflow, V, se encuentra a 0 porque los acarreos C 8 y C 7 estn a 1 .
2) SC2(10110101 + 10000011) . Las salidas de la ALU son 00111000, que representan
el nmero +56 . Pero el bit V est a 1 (C 7 = 0, C 8 = 1) indicando que existe un error de
desbordamiento . El resultado correcto se puede obtener formando un nmero de 9 bits,
constituido por el bit de acarreo C8 como bit de signo, y los 8 bits del resultado,
A+B = 100111000 . Esto representa el nmero -200, lo cual es correcto .

CIRCUITOS ARITMTICOS 151

3) SC2(01001011 + 10000011) . Las salidas de la ALU son 1100 1110, que representan
el nmero -50 . No se produce acarreo C8 y V=O .
4) SSS(01001011 + 01111101) . Las salidas de la ALU son 11001000, que representan
la magnitud correcta, 200 . No se produce acarreo de salida C 8=0 . Si se hubiese realizado
SC2(01001011 + 01111101) las salidas de la ALU tambin son 11001000, pero V = 1
indicando desbordamiento .
Problema 4.-Muestre la palabra de 8 bits que representan los nmeros +36 y -36 en las tres
notaciones (S-M, Cal, Ca2) . Represente tambin el resultado de multiplicar por dos y de
dividir por dos, esos nmeros . Qu relacin hay entre la palabra inicial y la final?
Solucin P4 .

a) Para nmeros positivos:


En las tres notaciones se representan de igual forma los nmeros positivos . Por tanto :
(+36) x 2 = +72 - 01001000
+36 - 00100100
(+36) _ 2 = +18 -3 00010010 .
En general, la multiplicacin por 2 equivale a desplazar el nmero hacia la izquierda
introduciendo un 0 como bit menos significativo y conservando el bit de signo ; esto puede
comprobarse comparando las representaciones de +36 y +72 . Anlogamente, la divisin por 2
equivale a desplazar hacia la derecha introduciendo un 0 como bit ms significativo de la
magnitud y conservando el bit de signo ; se puede comprobar con +36 y +18 . Grficamente
estas operaciones admiten la siguiente ilustracin :
x2

=2

S?

b) Para nmeros negativos :


La representacin de los nmeros negativos vara de una notacin a otra . En este
problema tenemos :
bl) Signo-magnitud : -36 - 10100100
0
x
2
x
2
=
-72
11001000
;
en
general,
x
2
(-36)
U
o
= 2
(-36) - 2 =-18 - 10010010 ; en general,- 2
UN
b2) Complemento a : -36 -> 11011011
(-36) x 2 = -72 --> 10110111 ; en general, x 2

(-36) - 2 = -18 - 11101101 ; en general, - 2

S
Ur
1
= 2 S~
U,,
2

c1

152

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

b3) Complento a 2 : -36 -* 11011100


0
(-36) x 2 = -72 -> 10111000 ; en general, x 2

x 2

(-36) --.2=-18 - 11101110 ; en general, - 2

--.2

S
1

Ur
Problema 5.- Realice las siguientes operaciones en binario, comprobando el resultado :
22 x 18
b) 75 x 8
18x40
d)61=16
168 x-14
0168--. 20
Solucin P5.
a) 22 x 18 = 396
10110
x10010

22
18

101100
101100000
110001100

396

b) Desplazamos a la izquierda tres veces los bits del nmero 75 e introducimos un 0 por
la derecha cada vez que realicemos un desplazamiento .
75 = 1001011 ; 75 x 8 = 1001011000
c) 18 x 40 = 720
101000
x10010

40
18

1010000
1010000000
1011010000

720

d) Dividir un nmero A entre una potencia de dos equivale a desplazar hacia la derecha
los bits del nmero A . As 61 _ 16 = 111101 - 10000 = 11 .1101 . Este resultado representa el
nmero 3 .8125 .
e) 168 _ 14 = 12
1010100011110
-1110
1100
01110
- 1110
0

CIRCUITOS ARITMTICOS 153

f) 168=20=8 .4
10101000 110100
-10100
1000 .0110 . . .
100000
- 10100
11000
-10100
01000

El resultado exacto es 8 .4 ; el obtenido hasta el cuarto dgito decimal es 8 .375 .


Problema 6.-Las sumas y restas en complemento a 10 tienen las mismas reglas que las
sumas y restas en complemento a 2 .
a) Represente +149 y -178 en complemento a 10 con 4 dgitos, el ms significativo de
los cuales acta como "dgito de signo" .
b) Sume (+ 149) +(-178) en complemento a 10 .
c) Represente+ 149 y -179 en BCD bajo complemento a 10, usando un bit como signo .
d) Sume en BCD y complemento a 10 (+ 149) + (-178), interpretando la respuesta .
Solucin P6.
a) El complemento a 10 de un nmero A, para n dgitos, viene determinado por la
expresin CalO(A) = 10 - A . Por ejemplo, para n = 4 el Cal0(9876) = 104 - 9876 = 0124 ; y
el Cal0(4342) = 5658 . Para representar nmeros decimales con signo en el convenio basado
en el Cal 0 se procede como en el caso del Ca2 ; esto es, +N se representa como N(10 y -N, como
CalO(N) . En consecuencia :
+ 149 -* 0 1 4 9 : el dgito 0 se corresponde con el signo +
- 178 - Cal 0(0178) = 9 8 2 2 : el dgito 9 corresponde con el signo b) Realizamos, ahora, la suma . Con idntico criterio que en Ca2, si se produce un
acarreo, se desprecia .
0149
+9822
9971

En nuestro caso el primer dgito es 9 lo que significa que el resultado es negativo . Para
conocer la magnitud del resultado aplicamos, nuevamente, la definicin del Calo :
Cal 0(9971) = 0 0 2 9 . El resultado, por tanto, es el -29 .
c) Si representamos los valores anteriores codificados en BCD, utilizando un nico bit
para el signo (0 para los positivos y 1 seguido del Calo para los negativos), nos queda :
+ 149 - 0(149) B C D = 0000101001001
- 178 -* 1 Ca 10(178) BCD = 1(822) = 1100000100010

154

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

d) La suma de los valores anteriores ser :


1<
0 0001 0100 1001
+ 1
1

1000 0010 0010


1001 0111 1011
0110
0001

Obsrvese que, al sumar los 4 bits del dgito menos significativo, se produce un
resultado que no es BCD . La aritmtica BCD exige en estos casos que se aada la cantidad 6
para obtener el resultado correcto . Esto provoca un acarreo hacia el siguiente dgito BCD . El
resultado final es 1100 10 111000 1, que corresponde a -029 (el primer bit 1 indica que es
negativo y los otros 12 bits, en BCD, equivalen a 971 por lo que el resultado es
-Ca 10(971) = -29 .

Problema 7.-La substraccin binaria directa F=A-B produce una diferencia correcta si A es
mayor o igual que B. Cul podra ser e/ resultado s A es menor que B ? . Determine la relacin
entre el resultado obtenido en F y el bit de borrow en la posicin ms significativa .
Solucin P7 .- Para determinar el resultado, investiguemos con un ejemplo sencillo qu
nmero obtenemos al realizar la resta binaria F = A - B . Supongamos que realizamos la
siguiente operacin (A = 10 (10 y B = 15 (1 0) :

Borrow final=l
1010 A
-1111 B
1011

Como se observa, se produce un acarreo final o borrow y el resultado F de la operacin


(F = 11 (10 ) no se corresponde con el valor correcto de la diferencia (-5) . Supongamos que
realizamos la operacin 2 + A - B, donde n representa el nmero de bits de los nmeros A y
B . La nueva operacin sera :

Borrow final=0
11010 A
-01111 B
01011 : F
En este caso no se genera borrow y el resultado es el correcto ( 26 - 15 = 11) . Como se
puede observar, el resultado F de esta operacin es el mismo que el de la anterior, con la
salvedad que la cantidad 2" es suficiente para cubir el arrastre final . Entonces, F = 2 n - (B - A)
lo que significa que el resultado del restador de magnitud proporciona el nmero A - B en la
notacin Ca2 . As, 1011 como nmero con signo en Ca2 es -Ca2(1011) = -(0101) = -5 .
Por ltimo podemos decir que el nmero formado por el borrow y los bits del resultado,
representa siempre A-B en Ca2 . Si A>B, no se produce arrastre, por lo que tenemos un bit de
signo positivo (borrow=0), y si A<B, se produce arrastre (borrow=l), por lo que tenemos un
nmero negativo expresado en notacin de complemento a 2 .

CIRCUITOS ARITMTICOS

155

Problema 8.-Disee a nivel de puertas un sumador completo de tres bits (adems de posibles
acarreos) . Utilizando el diseo anterior, realice un sumador paralelo de 3 nmeros de n bits .

Solucin P8 .- El sumador completo a disear debe tener como entradas en cada etapa los bits
de los tres nmeros y el acarreo generado en la etapa anterior . Debe generar la suma de las
entradas anteriores y el acarreo de salida hacia la siguiente etapa . Para determinar el nmero
de bits que ser necesario utilizar para el acarreo, vamos a realizar una suma de tres nmeros
que son todos 1's :
Acarreo

2221
11111
11111
. ..11101
..

Como puede observarse, en el peor de los casos cada celda sumadora de 3 bits debe
sumar los tres l's de los nmeros, y aadirles un acarreo de como mucho 2 . Para codificar los
posibles acarreos, necesitaremos dos bits . Por tanto la celda a disear tiene 5 entradas y
3 salidas . Ntese que es imposible que se genere, en ningn momento, como acarreo, la
magnitud 3 :
Xj

F;

yi
Sumador

zl
co
cl

Co
Cl

completo

La salida Fp responde al siguiente K-mapa :


Xi

Yj Zi
000 001 011 010 110 111 101 100

c lco
00

01

Fj

11
10

En este caso, en lugar de minimizar la salida, vamos a acomodarla a las expresiones de


salida que conocemos para los sumadores convencionales . Como puede observarse en el
K-mapa, las ecuacin de salida es la funcin Exor de las variables de entrada, salvo c l que, si
escogemos adecuadamente las inespecificaciones, no afecta :
Fj = x, O+y, z,

(D c o

156

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Para el acarreo de salida, tenemos el siguiente K-mapa :


xi yi Zi
000 001 011 010 110 111 101 100
CICO

00

00 00 01 00 01 01 01 00

01

00 01 01 01 01

10 01 01
C' Co

11
10

01 01

10 01

10 10 10 01

de donde se obtienen las siguientes ecuaciones :

C, = y_Zi C 1

+-iy C~ +X-i Z f C~

Co =

+x1 z1 c 1 +y~z1 c 1 +xA C0+xj z1c0+y~z1c0+X c1c0+x1z1 c 1 C 0 +yj z1 c 1 C 0


A

AC1

+x, y zi C o

b) Para el sumador paralelo de n bits, utilizaremos n unidades sumadoras, realizando una


conexin de acarreo en serie entre ellas .
Zn-1 yn-1 xn-1

Z1 Y1 X1

ZO Yo x0

Problema 9.-La ALU de 4 bits de la figura se incluye dentro de un Cl . Muestre las conexiones
entre 3 Cl pra formar una ALU de 12 bits . Asigne los arrastres de entrada y salida en la ALU
de 12 bits .
1111
A 3 A2 A Ao
Co E

1111
B B B, B o

ALU
F 3 F2 F I Fo
W W

s2
SI
SO
Ci

Solucin P9 .- Para formar una ALU de 12 bits nos basta con 3 ALU's de 4 bits . En ellas
conectaremos los distintos grupos de 4 bits de las entradas A y B de 12 bits . Por otro lado, las
seales de control de las tres deben ser idnticas, por lo que irn interconectadas . Por ltimo,
cuando se realicen operaciones aritmticas, ser necesario que cada ALU conozca si la ALU
anterior ha generado un acarreo o no para aadrselo a su suma parcial . Por tanto se sugiere
una estructura de acarreo en serie . El circuito resultante sera :

157

CIRCUITOS ARITMTICOS

A11-8

A7-

B11-8

Cout

S2

S2

2
S

1111 1111

1111 1111

1111 1111
ALU

ALU

SI

So

SO

Ci

Ci

ALU

SI
SO

C,

1111

1111

1111

F11-8

F7-4

F3-o

S2
S1
So
Cin

Problema 10.- Disee un circuito aritmtico con dos variables de seleccin s, ys o que realice
las siguientes operaciones aritmticas . Indique una solucin para una etapa tpica .
S 1 SO

0
0
1
1

0
1
0
1

Ci 0

C '=1

F=A+B
F=A
F=B
F=A+B

F=A+B+1
F=A+ 1
F=B+1
F=A+B+1

Solucin P10.- Daremos una solucin basada en un sumador de n bits con entrada de acarreo,
a cuyas entradas a y b habr que conectar los datos adecuados en funcin de s1 y s o . En
concreto, si sls0 = 00, las entradas a y b tendrn los nmeros A y B respectivamente ; si
s1s0=01,a=Ayb=0 ; si s1s0=10,a=Oyb=B ;ysislso=11,a=Ayb=B .
Una solucin con subsistema consiste en utiliza dos grupos de multiplexores de
4 canales cuyas salidas se conectarn con la entrada a o b y, en funcin de sus seales de
control, se escoger el canal que tenga el dato apropiado para la operacin :
AAO A

B OB B

S1
SO

F
A continuacin haremos el diseo interno de la etapa tpica de un sumador que responda
al conjunto de operaciones especificadas . Mediante la repeticin e interconexin de etapas
tpicas se obtendr el sumador entero . Utilizaremos como base del diseo un sumador

158

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

completo (FA) . La estructura de la etapa viene representada en la siguiente figura:


Bi
SI
SO

Hay que disear el circuito combinacional (C .C .) de modo que, en funcin de los valores
de control s i s 0 , y los bits i de los nmeros A y B, permita suministrar las entradas adecuadas
a; y b i del sumador completo, para que su salida se corresponda con la operacin especificada .
Podemos obtener, sin mayor dificultad, el K-mapa del C .C . :
Bi
s 1 s0

00

01

11

10

00

00

01

11

10

a;=A;

b ;=B

01

00

00

10

10

a;=A;

b 1 =0

11

01

00

10

11

a ;=A ;

b ;=B1

10

01

00

00

01

a ;=0

b1 =Bi

al b i
de donde obtenemos las siguientes ecuaciones :

a = A i s, +A is 0

b . = B i s l s + b i s 1
Problema 11 .- Se desea obtener el valor de un nmero binario sin signo A, de 8 bits (A=A7_ 0),
multiplicado por 129.
a) Obtenga un circuito que lo realice . No pueden utilizarse circuitos aritmticos de n bits
(n > 1), pero s semisumadores (HA), sumadores completos(FA) y puertas .
b) Repita para Ax40 .
Solucin P11 .
a) Realizaremos, en primer lugar, la multiplicacin entre los dos nmeros :
A7 A6 A5 A4 A 3 A 2 A l A0
1 0 0 0 0 0 0 1
A7 A6 A5 A4 A 3 A 2 A l A0
A7 A 6 A 5 A 4 A 3 A 2 A l A0
Z15 Z14Z13Z12Z11 Z10Z9 z8 Z7 Z6 Z5 Z4 Z3 Z2 Z1 ZO

CIRCUITOS ARITMTICOS

159

Comprobamos que los 7 bits menos significativos del resultado se obtienen


directamente de los 7 bits menos significativos del nmero A . A partir de aqu, el siguiente bit
del resultado, Z 8 , debe calcularse sumando A 7 con A0 . Si esta suma genera un acarreo, se
deber aadir al siguiente bit (A 1 ) para obtener Z g . As se procede sucesivamente . Para ello,
como estas sumas son slo entre dos bits, bastar usar semisumadores (HA) . El acarreo de la
ltima unidad, es el bit de mayor peso del resultado, Z 15 . El circuito resultante es :

Z15

Z14

Z13

b) Operamos de forma similar al apartado anterior . Realicemos en primer lugar la


multiplicacin para conocer qu elementos debemos utilizar en el circuito .
A 7 A 6 A5 A4 A3 A2 A l
1 0 1 0 0
A 7 A6 A5 A4 A 3 A 2 A l A0 0 0
A7 A6 A5 A4 A3 A 2 A 1 A 0
Z13 Z12ZIIZIOZ9 Z 8 Z7 Z6 Z5Z4 Z3 0 0

Ao
0
0
0

Los tres bits menos significativos del resultado son 0 . Los dos bits siguientes, coinciden
con los bits menos significativos del nmero A . A partir de aqu, el bit Z5 debe obtenerse
sumando A 0 con A 2, lo cual puede obtenerse con semisumador ; el bit Z6 , sumando A 1 con A 3
ms el posible acarreo anterior, lo cual debe hacerse con un sumador completo . Utilizaremos
sumadores completos para obtener los bits Z 5 hasta Z10 . Los bits Z11 y Z12 pueden obtenerse
con semisumadores y el bit Z 13 corresponder con el acarreo del ltimo semisumador . El
circuito resultante es :
A7 A
b

HA
Co
s

HA
Co
s

b
FA
Co Ci
s

A6 A4
b

A5 A3 A4 A 2 A3
b

A1 A2 A 0 A 1 A0
b

FA _ FA
FA
FA
HA
Co Ci Co Ci _ Co Ci Co Ci - Co
s
s
s
s
s

0 0 0

III
Z13

Z12

Z11

Z10

Z7

Z6

Z5

Z4 Z3 Z 2 Z I Z 0

160

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 12.- Se dispone de una ALU de 8 bits muy simple, ya que slo hace las
operaciones de "suma " y " transfiere el complemento", como se indica en la figura adjunta :
A

F
Cout

A+B
A+B+1
A

F
Considere dos nmeros con signo de 16 bits (K y L), representados en complemento
a dos . Cada uno est escrito en dos palabras de 8 bits, una con la parte ms significativa (H)
y otra con la menos significativa (L), es decir, (K= KHKL y L=LHLD.
a) Utilizando una sola AL U, indique justificadamente, qu hay que realizar para obtener
M=K+L (M=MHM incluyendo la posibilidad de desbordamiento (overflow) . No hay que
explicar cmo se almacenan los resultados intermedios, sino que, simplemente, hay que decir
que se almacenan .
b) Repita el apartado anterior para obtener M=K-L .
c) Disee la ALU con puertas y sumadores completos (FA) de 1 bit.
Solucin P12 .
a) Para realizar la suma de los dos nmeros de 16 bits, tendremos que hacerlo en dos
etapas : primero la parte menos significativa y, segundo, la parte ms significativa . Los
nmeros pueden ser positivos o negativos, puesto que estn representados en Ca2 . Por tanto es
la salida V de la suma ms significativa la que nos determinar la existencia o no de un
overflow .
1) Introducimos por las entradas A y B los bytes K L y L L , respectivamente. Las seales
de control de la ALU deben ser XC in = 00 . Por la salida F, obtendremos el byte menos
significativo del resultado, M L que se almacenar. Lo mismo se har con el acarreo de salida
generado Cout = C82) Introducimos por las entradas A y B los bytes K H y LH , respectivamente . Las seales
de control de la ALU son XCin = OC 8 , de forma que si en la etapa anterior se gener acarreo,
C 8 = 1, se calcula la operacin A+B+1 y en caso contrario, A+B . La salida F corresponder
con el byte significativo del resultado M H . Llamemos C 16 , al acarreo que se haya generado en
Cout .
3) Es en este momento cuando debemos evaluar la salida V de la ALU para determinar
la existencia de overflow :
Si V=0, no existe overflow y el resultado correcto de la suma K+L est en M .
Si V=1, existe overflow y el resultado correcto de la suma K+L est en el nmero de 17
bits formado por C 16M .
b) Para realizar la diferencia K-L, calculamos previamente el complemento a 2 del
nmero L, el cual se lo sumamos a K . La ALU no permite calcular el Ca2 de un nmero, slo

CIRCUITOS ARITMTICOS

161

dispone de la operacin A, que se corresponde con el complemento a 1 del nmero A . Sabemos


que el complemento a dos puede ser obtenido fcilmente a partir del complemento a 1, sin ms
que aadirle la unidad . Por tanto, primero procederemos a calcular el complemento a 1 del
nmero L y posteriormente, realizaremos la operacin K+L+1 . Esto determina la diferencia
K-L.
1) Introducimos el byte menos significativo de L (L L ), por la entrada A . Las seales de
control de ALU deben ser XC i =1- . Por la salida F, obtenemos EL y se almacena.
2) Introducimos ahora el byte significativo, L H , por la entrada A . Las seales de control
de la ALU deben ser XC i 1- . A la salida obtenemos F=L H y se almacena .
3) Introducimos K L y LL por las entradas A y B de la ALU . Las seales de control deben
estar a XC in =01 . Por la salida F, obtenemos M L y se almacena .
4) Repetimos, por ltimo, los pasos 2 y 3 del apartado anterior .
c) Los sumadores permiten realizar las operaciones F=A+B cuando el acarreo de
entrada est a 0 lgico, y F=A+B+l, cuando est a 1 lgico . Construimos, por tanto', un
sumador de 8 bits utilizando sumadores completos de 1 bit . Por otro lado utilizaremos
inversores para implementar la nica funcin lgica de esta ALU, F=A . La unin entre la parte
lgica y la aritmtica se puede realizar mediante multiplexores controlados por la variable X .
La seal de overflow, puede ser obtenida mediante la Exor del acarreo de salida (C 8) y el
acarreo de la etapa anterior (C 7).

Cout
V

Problema 13 .- Sean X e Y dos nmeros binarios positivos expresados en notacin


complemento a 2. Utilizando la ALU de la siguiente figura, indique las operaciones a realizar
en la ALU para que sus salidas representen el mdulo del resultado de la diferencia entre los
nmeros X e Y.
3

SI
SO

S I SO

Operacin

00
01
10
11

F
F
F
F

= AND(A,B)
= A+Ci n
= A+B+C in
= A+B+C in

162

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P13 .- El valor pedido, IX - YI, se obtiene con X - Y si X > Y y con Y - X = -(X -Y)
si X < Y . Para obtenerlo hacemos A = X, B = Y, C i = 1 y s i so = 11 . As se realiza la
operacin F = X + Y + 1 = X + Ca2(Y) = X - Y . Como ambos nmeros son positivos no hay
desbordamiento aunque existen dos opciones : X > Y, en cuyo caso F muestra IX - YI, y
C0,t = 1 ; y X < Y, en cuyo caso F = X - Y es un nmero negativo y C ot = 0. Por tanto, si
C0,t = 1, la salida F proporciona directamente el mdulo de la diferencia y si C ot = 0 habr
que realizar algunos pasos adicionales para obtener la salida deseada . Aqu presentamos dos
opciones :
a) Repetir el proceso anterior pero cambiando las entradas, esto es, A = Y, B = X,
;,,=1ys 1 so =11 .
C
b) Reintroducir el resultado anterior F = X - Y por A y aplicar las entradas C i = 1 y
s 1 so = 01, en cuyo caso obtendremos a la salida F = A+1 = Ca2(A) = Y - X.
PROBLEMAS CON SOLUCIN RESUMIDA

Problema 14.- Realice las siguientes sumas sin pasar a la base decimal :
a)1110(2 +1001 (2
b) 100.1(2 + 111 (2
c) F02B( 16 +1021 (16
d) 1230(4 + 23(4
Solucin P14.
a)

b)

1011 .1
c)
1
F02B(16
+ 1021 (16
1 0 0 4 C06
d)

1
wn
1230 (4
23 (4
1313 (4

CIRCUITOS ARITMTICOS 163

Problema 15.- Multiplique los nmeros del problema anterior sin pasar a la base decimal .
Solucin P15 .

a)

b)
100 .1
x 111
1001
1001
1001
11111 .1
c) Debe utilizarse la "tabla de multiplicar" en base 16, de la que se ilustran
algunos casos :

F02B (1 6
1021(16

2 x B = 16(16
2 xF =1 E(16

F 0 2 B
1E056
F02B
F21 A 58 B(16
d) Debe utilizarse la "tabla de multiplicar" en base 4, de la que se ilustran algunos casos
1230 (4
23(4
11010
3120
102210 (4

3x3=21 (4
3x2=12 (4
2x2=10 (4

Problema 16.- Realice las operaciones aritmticas siguientes en binario utilizando :


a) la notacin en complemento a 1
b) la notacin en complemento a 2
y compruebe el resultado usando la aritmtica decimal :
1) (+42) + (-13)
2) (+42) - (-13)
3) (-42) + (-13)
4) (-42) - (-13)

164

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P16.
1)
1

a)

0101010 42
1110010 -13
0011100
> 1
0011101
29

b)

0101010
+ 1110011
0011101

42
-13
29

2) En este caso y en el siguiente la magnitud del resultado es mayor que la magnitud de


cada operando . Aunque en este problema no ocurre, en situaciones similares puede haber
desbordamiento por lo que hay que verificar si V = 0 antes de validar el resultado :
a)

42
13
55

b)

1010101
1110010
1000111
> 1
1001000

-42
-13

b) X

1010101
+ 0001101
1100010

-42
13
29

0101010
+0001101
0110111

0101010
+ 0001 101
0110111

42
13
55

3)
a)

1010110
+ 1110011
1001001

-42
-13
-55

1010110
+ 0001 101
1100011

-42
13
29

-55

4)
a)

b)

Problema 17.- Realice las siguientes operaciones utilizando 10 bits, 3 de ellos para la parte
fraccionaria, usando la notacin en complemento a 2 . Compruebe el resultado verificando los
posibles errores.
(+22.25) + (+13.13)
(+22.25) - (+13.13)
(-22 .25) + (+13.13)
(-22.25) - (+13.13)

Solucin P17.- La cantidad 22 .25 se representa en binario como 10110 .01 . La cantidad 13 .13
se representa como 1101 .00100010. .. Puesto que slo tenemos 7 bits para almacenar la parte
entera y 3 para la parte fraccionaria de los nmeros, su representacin ser
22.25 = 0010110 .010 y 13 .13 = 0001101 .001.

CIRCUITOS ARITMTICOS

165

a)
0010110 .010
22 .25
+ 0 0 0 1 1 0 1 .0 0 1 13 .13
0100011 .011
35.375
El resultado correcto sera 35,38 . Se ha producido un error de 0,005 .
b) Para realizar la resta sumaremos el Ca2 de 13 .13 .
Ca2(0001101 .001) = 1110010.111
0010110 .010
+ 1 1 1 0 0 10.1 1 1
0001001 .001

22.25
-13 .13
9.125

El resultado que se lee tiene un error de 0,005 con respecto al resultado correcto, 9 .12 .
c) Determinaremos, primero, el Ca2 de 22 .25
Ca2(0010110.010) = 1101001 .110
1101001 .110 -22 .25
+ 0 0 0 1 1 0 1 .0 0 1 13.13
1110110.111
-9 .125
El resultado se obtiene con un error de 0 .005.
d)
x 1101001 .110

+ 1 1 1 0 0 10.1 1 1
1011100.101

-22 .25
-13 .13
-35 .375

Se produce un error de 0 .005.


Problema 18.- Se dispone de circuitos lgicos ITE. Estos circuitos poseen tres entradas y
una salida, y realizan la siguiente funcin de conmutacin ITE(f,g, h)=f g + T h. Realice la etapa
tpica de una unidad lgica que responde a la siguiente tabla, segn la organizacin indicada
en la figura y utilizando, exclusivamente, MUX 4:1 en el C. C. . Las entradas se disponen en
ral doble.

S2 S i S o F
0 0 0 A
0 0 1
0 1 0
0 1 1
1 0 0

101
1 1 0
1 1 1

B_
ABi
Ai +B
A B i
A +B i
Exor(A ,B )
Nexor(A,Bi )

A
B
S2
Si
S

C .C .

I
g T
E

Fi

166

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P18 .- Se pueden dar mltiples soluciones a este problema. La que presentamos
consiste en hacer g = 1 y h = 0 en el ITE de salida, con lo que F i = f es la nica funcin que
debe realizarse con el C .C . Para disear C .C . con multiplexores representamos F ; en un mapa
binario natural :
s2 SI s 0

ABi
00

000 001 010 011 100 101 110 111


0
1
0
1
0
1

01

10

11

f = F

Como se observa, cada columna de la funcin f corresponde a la operacin lgica


especificada en el enunciado . El circuito resultante, utilizando multiplexores de cuatro canales,
es :

1
2
310

11
A B

11
s2 s1

Problema 19.- Disee un circuito aritmtico con una variable de seleccin s y dos entradas
de datos A y B de 4 bits . Cuando s = 0 el circuito realiza la operacin de suma F= A+B.
Cuando s = 1, el circuito realiza la operacin de incremento F=A +1 .
Solucin P19 .- Utilizaremos un sumador de 4 bits, en el que una de las entradas ser el
nmero A y en la otra el nmero B para s = 0 y el nmero 0 para s = 1 . Asimismo conectaremos
S al acarreo de entrada C i para generar F = A+ 1 cuando s = 1 . El circuito de la figura siguiente
deja pasar aB si s=0y da un0si s= 1 :

CIRCUITOS ARITMTICOS

167

------------ ------------ ------------ ----------------&


&
&
&
t t t r
El circuito final ser :
3-

3
SS

I1.
Sumador
4 bits

C; n

Problema 20.- Para la ALU de 8 bits de la figura, determine la salida F para todas las combinaciones posibles de s2 s, s o si las entradas A y B contienen los nmeros binarios $23 y
$FO, respectivamente, y el acarreo de entrada es 0 .

A7-o

s2 s1 s0

B7-0

s2
SI
SO

000
001
010
011
100
101
110
111

Operacin
F = AND(A,B)
F = OR(A,B)
F = EXOR(A,B)
F = NOT(A)
F = A+B+C ;,,
F = A+ B+C ;,,
F = A+ $FF +C ;,,
F=A+C in

Solucin P20 .
a) s 2 S 1 so = 000 . F = AND($23,$F0) = $20 .
b) s2 S 1 s o = 001 . F = OR($23,$F0) = $F3 .
c) s 2 s 1 so = 010 . F = EXOR($23,$F0) = $D3
d) s2 s 1 s o = 011 . F = NOT(A)=$DC .
e)s 2 s 1 so=100 .F=A+B+C;,,=$23+$F0=$13yC o t =1 .
f) S 2 S 1 s o = 101 . F = A + B + C ;,, = $23 + $OF = $32 y Cout=0 .
g) s2 s 1 s o = 110 . F = A + $FF+ C;,, = $23 + $FF = $22 y Cout =1
h) s2 s 1 s o = 111 . F = A + C i = $23 y Cout=0 .

Captulo 7
ANLISIS DE CIRCUITOS SECUENCIALES

Los circuitos combinacionales no tienen capacidad de almacenamiento de informacin por lo


que su salida est perfectamente determinada a partir de los valores presentes en las entradas .
En mltiples e interesantes casos, por el contrario, la salida Z del sistema en un instante to depende no slo del valor de las entradas X en t0 sino de la evolucin del sistema hasta t0 ; esto es :
Z(t 0) = Z((X(t 0), X(t < to))
Este tipo de funcin corresponde a las denominadas funciones secuenciales, autmatas
o mquinas de estados finitos . Su estudio para el caso de realizaciones con circuitos digitales
es el propsito de este Captulo .
MODELOS SECUENCIALES Y REPRESENTACIONES
Las "situaciones" en las que puede estar un circuito secuencial no son ilimitadas . Entonces,
para caracterizar al circuito es posible establecer un conjunto finito de estados internos (o simplemente estados), S 1 , S 2, ... S k, cada uno de los cuales representa una de las "situaciones" del
circuito . La salida y la evolucin del sistema quedan bien establecidas si se conoce el valor de
las entradas (estado de entradas Ij) y el estado presente (S i ). El valor de la salida O admite dos
modelos :
- De Mealy, en el que la salida depende tanto de la entrada como del estado presente,
cumplindose que O = O(h, Si).
- De Moore, en el que la salida depende slo del estado presente, O = O(S i).
La evolucin del sistema viene marcada por el cambio desde el estado presente S i al
prximo estado NS que en ambos modelos depende de la entrada y del estado presente :
NS = NS(Ip S i) .
La representacin de una mquina secuencial suele hacerse mediante grafos o tablas de
estados/salida, de la forma siguiente :

169

170

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

NS
O(NS)

Si

Mealy

Ii

NS

O(S i )

Moore

CIRCUITOS SECUENCIALES
La realizacin de mquinas secuenciales con circuitos digitales es lo que se conoce como circuitos secuenciales . En ellos, los estados de entrada 1 corresponden a valores de las seales de
entrada X, los de salida O a las seales de salida Z y, tambin, los estados internos corresponden a valores "0" y "1" sobre un conjunto de variables llamadas de estado . Esto es, cada estado
tiene asignado un cdigo binario sobre las variables de estado del circuito . ste se representa
mediante la denominada tabla de transicin de estados/salida, la cual es similar a las anteriores
(de estados/salida) sin ms que sustituir cada estado por el cdigo binario asignado (S i -~ q,
donde q = q l . . . qn ; anlogamente, NS -> Q) .
La evolucin desde un estado presente a un prximo estado, lo que simplemente es pasar
de un valor a otro en las variables de estado, puede realizarse de mltiples formas . La ms
comn es disponer de un circuito especfico, llamado biestable porque tiene dos estados
estables (el 0 y el 1), que implementa una variable de estado .
Cada biestable muestra en su salida el estado 0 1 almacenado, que corresponde al valor
presente en la variable de estado implementada en ese biestable . Para cambiar de valor almacenado y as poder hacer el cambio al prximo estado, los biestables poseen unas entradas de
excitacin (normalmente llamadas SR, JK, D o T) . A su vez, este cambio de estado puede hacerse de forma asncrona o sncrona, en cuyo caso el cambio de estado es controlado por una
seal de reloj (clk) . Una vez elegido el tipo de biestable, la mquina se describe por la denominada tabla de excitacin/salida . En esta tabla, la representacin de los cambios de estado se
hace mediante el valor de las entradas de excitacin que hay que poner en cada biestable para
que cambie adecuadamente su valor almacenado ; por ej ., para biestables T, la tabla de excitacin tiene la forma :
X

Ii
Ti junto con el estado presente q i
producen el adecuado Q i

Las funciones de excitacin (por ej . Ti ) y de salida (Z) son funciones combinacionales


de las entradas (X) y de las variables de estado presente (q) . As, el esquema general de un circuito secuencia) sncrono es :

ANLISIS DE CIRCUITOS SECUENCIALES

171

Circuito
combinacional

q
Banco
de
biestables
clk 11

Excitacio nes de los biestables


(por ej T

BIESTABLES
En esta breve introduccin nos centraremos nicamente en los biestables ms comunes . Desde
el punto de vista lgico los cuatro biestables ms usuales son :

00 01 11 l0
1 1
0
1 1
0 1

aa
a aa

Q
Tabla de transicin biestable JK

Tabla de transicin biestable SR


D
0 1
0 0
1

a
a

SJ -

RK-

Smbolo lgico

DT -

q
q

Q
Tabla de transicin biestable T

Tabla de transicin biestable D

Smbolo lgico

Desde el punto de vista temporal, las formas sncronas de los biestables son :
- Disparados por nivel (a veces llamados latches sncronos): en estos biestables uno de
los dos niveles de la seal de reloj habilita los cambios de estado (segn la tabla de estado del
biestable), mientras que durante el otro nivel no hay cambio de estados (Q = q) .
- Disparados por flancos (a veces llamados flip flops) : en estos biestables los cambios
de estado se producen siempre tras uno de los flancos de la seal de reloj . Existen dos estructuras :
- Master-Slave en la que el biestable puede captar valores de entrada durante el nivel previo al flanco activo .
- Edge-triggered, en el que las entradas slo afectan en el entorno del flanco
activo .
q
q
clk 1
(Latch, nivel H)

clk

clk

(Master-Slave, flanco bajada) (Edge-triggered, flanco subida)

172

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

La mayora de los biestables sncronos incorporan una o dos seales de excitacin asncronas para la puesta a 1 (preset) o a 0 (clear) del biestable . Operan de forma similar a las seales S y R . El biestable debe tener las entradas asncronas desactivadas para poder operar sncronamente .

ANLISIS DE CIRCUITOS SECUENCIALES


El problema del anlisis de un circuito secuencial tiene como dato el circuito y, a veces, la
secuencia de valores de entrada . Como objetivo, hay que determinar la tabla o grafo de
estados/salida ; a veces, tambin una descripcin verbal de la operacin que realiza y, en otros
casos, un diagrama temporal de la evolucin entrada-salida .
Si el circuito secuencial obedece al esquema general presentado previamente, (sncrono
con una sola seal de reloj), el proceso de anlisis es : 1 -) se determinan las ecuaciones de excitacin y de salida por anlisis de la parte combinacional del circuito global ; 22) se representan esas funciones en forma de tabla (de excitacin y de salida) ; 32) a partir de sta, se obtiene
la tabla de transicin sin ms que tener en cuenta la tabla de estados de los biestables involucrados ; 42 ) se obtiene la tabla de estados/salida, sin ms que asociar un smbolo de estado a
cada cdigo de las variables de estado ; en su caso ; 52) se dibuja el grafo y se interpreta, y 6r )
se determina la secuencia entrada-salida .
Si el circuito secuencial no obedece al esquema general, el anlisis se debe llevar a cabo
mediante la obtencin de cronogramas de las seales de estado y de salida .

ndice del Captulo


Este Captulo desarrolla problemas de las siguientes materias :
- Diseo de un biestable a partir de otro .
- Anlisis temporal de un biestable .
- Anlisis de circuitos secuenciales .
- Anlisis de circuitos secuenciales sin el esquema general .

PROBLEMAS RESUELTOS
Problema 1 .-Obtenga los biestables JK y T a partir de un biestable D .
Solucin Pl .- Este problema se reduce a obtener un circuito combinacional CC que, para
cualquier combinacin de entradas JK y estado presente q, genere una entrada en el biestable
D que provoque, en ste, la transicin de estado que correspondera al biestable JK para
idnticas condiciones de entrada y estado .

Para construir la solucin, comenzaremos por presentar la tabla de transicin del

ANLISIS DE CIRCUITOS SECUENCIALES

173

biestable JK y la tabla de excitacin del biestable D .


JK
9-> Q D
00 01 11 10
0-31
1
1-40 0
1-91
1
0-30
0
Q
Para cada transicin del biestable JK se puede encontrar la excitacin en el biestable D
que la lleve a efecto . Expresndolo en el siguiente mapa de Karnaugh se tiene :
JK
00 01 1

D
De aqu obtenemos la expresin para D : D = J q + K q . El circuito correspondiente
se muestra en la figura:

L
KJ-

&
&

F
Para el biestable T procedemos de idntica manera .

elh

D 9
q

A partir de la tabla de transicin del biestable T y de la de excitacin para el biestable


D, se llega al mapa que se muestra a continuacin de donde se obtiene la ecuacin lgica para
la lnea D y asimismo se muestra el circuito resultante :

a
a

Q
La ecuacin de entrada al biestable D es : D = T - q + T q

&

-1--

_q
q

174

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 2.-Se pretende construir un circuito como el de la figura, el cual podr actuar como
RS, D, T o JK dependiendo del valor de C, y C o (ver tabla). Diselo utilizando como nico
elemento de memoria un biestable tipo T.

C, Co

I, lo

0 0
0 1
1 0

R S
D T
J K

- Q

C, Co 1 1
I1 l o

1 1

Solucin P2.- Este problema se reduce a obtener los distintos tipos de biestables a partir del
T . Procediendo de forma similar a como se hizo en el emblema 1, obtenemos las siguientes
ecuaciones de entrada :

T = S q + R q
T = J q+K q
T = DOq
Asociando cada ecuacin con el caso correspondiente C 1 Co e identificando las entradas
1 1 e lo con las del biestable en cada caso, se tiene :
T = l o q+1, q
C 1 C o =00
T = I, O+ q
C 1Co=01
T = T
CICO = 10
C 1 C o =11
T=1, q +lo q

Combinando estas expresiones en una sola :


T= (I, q +I,, q ) C , C+(I,O+q) C , C+T C, C+(1, q +l q ) C , CO
Esta expresin, nos permite realizar el circuito utilizando un multiplexor, cuyas entradas
de seleccin son C 1 y Co.
Problema 3.-a) Encuentre la forma de onda de salida de un biestable RS Master-Slave para
la siguiente secuencia de entrada :

clk
S
R

b) Cmo sera la onda de salida si se tratara de un RS disparado por flanco


descendente (negativo)?
c) dem para flanco positivo .

Solucin P3.
a) El biestable Master-Slave est formado internamente por dos latches SR, tal como se
muestra en la siguiente figura :

ANLISIS DE CIRCUITOS SECUENCIALES

Master
S- S

R- R

175

Slave
Ss

qs

qs

clk

El biestable amo (Master) es disparado por el nivel alto de la seal de reloj y recibe las
entradas del conjunto Master-Slave . Slo puede cambiar de estado cuando clk = 1 y lo har
dependiendo de sus entradas de excitacin .
El biestable esclavo (Slave) slo lo har si clk = 1 (clk = 0) . Sus entradas son las salidas
del amo, por lo que slo se pueden dar las combinaciones SR=10 (puesta a 1) y SR=01 (puesta
a 0) . Las salidas q s del esclavo son las salidas del conjunto Master-Slave .
Para resolver este problema, vamos a representar las formas de onda de salida del
biestable amo y del esclavo .
to

t1

t2

t3

t4

t5

clk
S
R
qm
q = qs

E-

Los dos biestables comienzan por un estado desconocido representado por la banda
rayada en el grfico anterior . Cuando clk = 1 el biestable amo puede cambiar de estado, y el
cambio ocurre como consecuencia del cambio en la seal S, dado que este valor de entrada
(S m = 1) fuerza a que q,,, = 1 . En el intervalo [t 1 ,t 2 ], clk = 0, con ello el biestable Master
mantiene su estado inalterable, y el Slave lee en sus excitaciones los valores 10
(SsRs = gmgm = 10) por lo que q s toma el valor lgico 1 . En el intervalo [t 2 ,t 3 ], clk = 1 .

SR = 00 por lo que el Master mantiene el valor del estado en el que


estaba, pero el cambio que ocurre en Rm provoca un cambio en q,,, que toma el valor 0 . Durante
[t 3 ,t 4 ] clk = 0, el amo mantiene su estado y el esclavo cambia a 0 ya que sus entradas SsRs = 01 .
A principio del intervalo,

Con este razonamiento se contina deduciendo la evolucin temporal del biestable


Master-Slave la cual se muestra en la figura .
b) En los biestables disparados por flanco el proceso es diferente . Slo se tiene en cuenta
las entradas existentes en el momento que se genera un flanco activo en la seal de reloj . Estas
entradas, y el estado presente del biestable, determinan el prximo estado . En el caso de que
exista una transicin de entradas en el flanco activo, se tomarn como valores vlidos, los
inmediatamente anteriores al flanco . En la siguiente figura se representan las formas de onda
RS disparado por flanco negativo .

del biestable

176

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

clk

to
S

t3
1

Hasta el instante t o , el estado del biestable es desconocido . En ese instante, las entradas
al biestable son SR = 10 por lo que, en el prximo ciclo de reloj, la salida del biestable se pone
a 1.
En el instante t 1 , las entradas son SR = 01, esto implica que, durante el siguiente ciclo
de reloj, la salida es 0 .
En el instante t 2 , las entradas son SR = 00 por lo que se mantiene el estado .
Para el instante t 3 , las entradas son nuevamente SR = 00, por lo que se mantiene el
estado .
c) Para flanco positivo se opera de igual forma .
clk
to
S

q
Podemos observar en el cronograma que se mantiene el estado desconocido inicial
durante muchos ciclos, porque en los flancos ascendentes, que ocurren en los instantes to y t l ,
las entradas son SR = 00 .
En el instante t2 la entrada es SR = 01 por lo que se almacena un 0 en el biestable . La
llegada del siguiente flanco, en t 3 , no altera el contenido del biestable ya que SR = 00 .

Problema 4.-Para cada uno de los circuitos de la figura, justifique razonadamente si es vlido
como biestable para realizar cualquier circuito secuencial .
& q

_1

1
(a)

Solucin P4 .-

(b)

r=

&

(c)

Para que los circuitos de la figura puedan ser utilizados como elementos de

memoria en los circuitos secuenciales, deben ser capaces de almacenar dos estado estables : el
0 y el 1 y permitir el cambio de uno a otro . Esto es equivalente a decir que estos elementos
deben tener la posibilidad de realizar cualquier tipo de transicin : 0 ---3 0, 1 -3 1, 1 -> 0,
0 --> 1 .
El circuito de la figura (a) presenta el siguiente K-mapa, donde se puede observar que
no existe la transicin 0 -3 1 .

ANLISIS DE CIRCUITOS SECUENCIALES

ab
00

Ql

11

10

177

Q
SI obtenemos el K-mapa para el circuito de la figura (b), podemos observar que no
existe transicin de 1 - > 0 :

Q
El circuito de la figura (c), es el nico que permite las cuatro transiciones posibles, por
lo que puede ser utilizado, como elemento de memoria . Su k-mapa se muestra a continuacin :

ME

ama
Problema 5.-Analice el circuito de la figura :

Y-

1
T

q2
2

0-

D3 ci

q2

q3

1
1

X
J q
Y
X- K q
clk

Solucin P5.-

Cuando se analiza un circuito secuencial se tiene como objetivo determinar su

operacin .
Los pasos del mtodo de anlisis son :
a) Obtener las ecuaciones de excitacin y de salida . Cada una de las entradas (excitaciones) de los biestables as como las distintas salidas que posea el circuito se expresan mediante
ecuaciones algebraicas cuyas variables son las de entrada al circuito y las variables de salida
de cada uno de los biestables (variables de estado presente) . En nuestro caso :

178

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

K,=X

J, = Y q3

T2 = Y .(X+Og 3 ) = X .Y .g 3 +X .Y .g 3

D3 = q2' Gy'g2 -~. X'g1 = X

g2'g2+X'gi'g2 = X+q,+q2

Z=X+q 3 +X q2 +X .q, =X+q2(+q 3


b) Escribir la tabla de excitacin/salida . Las ecuaciones antes obtenidas se expresan mediante un mapa de Karnaugh . En nuestro caso :
XY

q 1q2q3

00

01

11

10

000

00,0,1, 1

00,0,1, 1

01,1,1, 1

01,0,1, 1

001

00,0,1, 1

10,1,1, 1

11,0,1, 1

01,0,1, 1

011

00,0,1, 0

10,1,1, 0

11,0,0, 1

01,0,0, 1

010

00,0,1, 1

00,0,1, 1

01,1,0, 1

01,0,0, 1

110

00,0,1, 1

00,0,1, 1

01,1,1, 1

01,0,1, 1

111

00,0,1, 0

10,1,1, 0

11,0,1, 1

01,0,1, 1

101

00,0,1, 1

10,1,1, 1

11,0,1, 1

01,0,1, 1

100

00,0,1, 1

00,0,1, 1

01,1,1, 1

01,0,1, 1

J I K 1 ,T2,D3 , Z
c) Determinar la tabla de transicin/salida . La tabla de excitacin se traduce a otra, la de
transicin donde aparecen los prximos estados .
Para ello se procede de la siguiente forma . En cada celda de la tabla de excitacin se
observa el valor de las excitaciones del biestable considerado, por ejemplo, para el biestable 1,
en la celda XY = 00, q1q2q3 = 000, se tiene J 1 K 1 = 00 . Apoyndonos en la tabla de estados del
biestable JK, esas excitaciones dan lugar a un cierto prximo estado ; as, para JK = 00 se da
Q = q . Entonces, como en la celda considerada q 1 = 0, en la misma celda de la tabla de transicin pondremos Q 1 = 0 . Anlogamente, en esa celda Q 2 = 0 (ya que T 2 = 0) y Q3 = 1 (ya que
D 3 = 1 y, por tanto, Q 3 = D3 = 1) . La tabla resultante es :
XY

g1g2q3
000

00

01

11

10

001,1

001,1

011,1

001,1

001
011

001, 1

111, 1

101, 1

001, 1

011,0

101,0

110,1

010, 1

010

011,1

011, 1

000, 1

010,1

110

111, 1

111,1

001,1

011,1

111
101

111,0

101,0

011,1

011, 1

101, 1

111, 1

001, 1

001,1

100

101, 1

101,1

011,1

001, 1

Q1Q2Q3,Z
d) Obtener la tabla de estados/salida. Cada estado de la tabla de transicin est dado por

ANLISIS DE CIRCUITOS SECUENCIALES

179

las variables de cada uno de los biestables . Ahora, asignaremos a cada combinacin binaria de
esas variables un smbolo concreto que identifique a ese estado . En nuestro problema, llamando 0, 1, 2, . . ., 7 a los estados segn la codificacin g1g2q3 = 000, 001, 010, , 111, se obtiene
la tabla de estados/salida que se muestra a continuacin :

00

01

11

10

1, 1
1,1
3,1
3,0
5, 1
5, 1
7,1
7,0

1, 1
7,1
3,1
5,0
5, 1
7, 1
7,1

3, 1
51
01
6, 1
3, 1
1,1
1,1
3, 1

1, 1
1,1
2,1
2, 1
1,1
1,1
31
3, 1

5,0

NS,Z
e) Presentar el diagrama de estados/salida . Ofrece la misma informacin que la tabla anterior, tan slo que expresada en forma de grafo . En nuestro caso :

10,1
01,0

01,1
n n

1-,1
J

00,1

180

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

f) Expresar verbalmente el funcionamiento . Esto slo tiene sentido en casos muy especiales . En nuestro problema no es aplicable .

Problema 6.-Un circuito secuencial sncrono se ha obtenido de acuerdo con el esquema de


la figura . Correspondera este circuito a la estructura general de los circuitos secuenciales
sncronos?. Analcelo hasta obtener su tabla de estados . (La ROM ha sido programada de
acuerdo con la tabla adjunta, donde $ representa posicin y [$] su contenido) .
X

> Ao
Al
> A2

do
dl

d2
d3
A3 ROM
D3
D

[$]

[$]

0
1
2
3
4
5
6
7

A
B
6
8
6
C
7
6

8
9
A
B
C
D
E

4
7
D
1
8
4
A
9

Solucin P6.- S, corresponde a una estructura de circuito secuencial sncrono (con 8 o menos estados) ya que la ROM es un mdulo lgico universal . As, Z puede ser cualquier funcin
de la entrada y del estado presente, y D 3 , D 2 y D 1 pueden ser cualquier funcin de excitacin
de las mismas variables .
Analizamos el circuito identificando la variable asociada a cada lnea de entrada y salida
de la ROM . As, tenemos :
A3A2A1 = q3q2q1, Ao = X, D 3 D2 D 1 = d 3 d 2d 1 y Z = Do
y podemos reescribir la tabla de contenido de la ROM :

g3g2q1X
A3A2A1Ao

D3 D 2 D 1 Do

1000

0100
0111

g3g2q1X
A3 A 2 A 1 Ao

D 3 D2D 1 D o

0000
0001

1010
1011

0010
0011

0110

1001
1010

0100

1000
0110

1011
1100

0001
1000

0101

1100

1101

0100

0111
0110

1110
1111

1010
1001

0110
0111

d 3 d2d 1 do

d 3d 2d 1 d o

1101

A continuacin escribimos la tabla de excitacin y salida del circuito que coincide con
la de transicin, ya que estamos utilizando biestables tipo D para los cuales se cumple Qi = Di :

ANLISIS DE CIRCUITOS SECUENCIALES

939291
000
001
010
011
100
101
110
111

0
101,0
011,0
011,0
011,1
010,0
110,1
100,0
101,0

181

1
101,1
100,0
110,0
011,0
011,1
000,1
010,0
100,1

D3D2D1,Z = Q3Q2Q1,Z

Pasamos a la tabla de estados y salida llamando 0, 1, 2, 3, 4, 5, 6 y 7 a los estados cuya


codificacin es g3g2q1 = 000,001,010,011, ...,111 . El resultado es el siguiente :
0
5,0
3,0
3,0
3, 1
2,0
6, 1
4,0
5,0

1
5, 1
4,0
6,0
3,0
3, 1
0,1
2,0
4,1
NS, Z

Problema 7
.-Analice el circuito de la figura . Encuentre la forma de onda de la salida para la
secuencia de entradas dada .
X
Y

>1
>1
J2 q2
K~92

lk
clk

x
Y

182

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P7.- Anlisis del circuito :


a) Ecuaciones de excitacin y salida :
D 1 =X+Y
J 2 =X
K 2 =Y
b) Tabla de excitacin y salida:

Z=q2+Y .q1

00
0, 0 0, 0

01
1, 0 1, 1

0,00,1

11
10

q1 q2
00
01

11

10

1,01,1

1, 1 1,1
1,11,1

1, 1 0, 0
1,10,1

0,00,1

1,01,1

1,11,1

1,10,1

0,00,0

1,01,0

1, 1 1,0

1, 10,0

D 1 , J2 K 2 , Z
c) Tabla de transicin y salida :

00

q
II

11

10

00,0

lo,

01
1

1 1, 1

1 1,0

01,1
01,1

10,1
10,1

10,1
10,1

11,1
11,1

00,0

10,0

11,0

11,0

QiQ2, Z
d) Llamando a = 00, b = 01, c = 11 y d = 10 obtenemos la siguiente tabla de estados y
salida . Esta tabla puede ser reducida en un estado, ya que b es equivalente a c :
XY

\Y
S
00
a,0

01
d, 1

11
c, 1

10
c, 0

00
a, 0

01
d, 1

11
c, 1

b, 1
b, 1

d, 1
d, 1

d, 1

c, 1

c, 1

d, 1

d, 1

c, 0
c, 1

c, 1
c, 0

a,0

d, 0

c, 0

c, 0

d, 0

d, 1
c, 0

a,0

10

NS, Z

NS, Z

Obtencin de la forma de onda para la seal de salida :


Utilizando la tabla de estados anterior, encontremos la secuencia de estados . Para ello,
en cada flanco de reloj activo (en nuestro caso, el negativo) se considera cul es el estado presente y las entradas existentes justo antes del flanco ; para ese par de valores, se observa en la
tabla cul es el prximo estado . ste es el estado del circuito durante el prximo ciclo de reloj .
La solucin, en nuestro caso, la mostramos en la siguiente figura : Como inicialmente no
conocemos el estado presente, hemos dejado como interrogante cul es ese estado (otra solucin podra ser suponer un estado inicial cualquiera) .

ANLISIS DE CIRCUITOS SECUENCIALES

183

Una vez conocida la secuencia de estados por los que pasa la mquina dibujemos la forma de onda de la salida . Para determinarla, basta conocer el estado presente total (XY, S) y
mirar en la tabla de salida cul es el valor de sta . Como es un circuito de Mealy, la salida puede cambiar, tanto cuando cambie el estado (flanco negativo de clk), como cuando cambien
cualquiera de las entradas X e Y .
clk
X

__

Y
S

Problema 8.-Para el circuito de la figura, dibuje la forma de onda de la salida para las secuencias que se muestran . Supongamos que el sistema parte del estado (q1,g2,q 3,) = (0,0,0) .
y l

clk 1
clk2
Y
X

Solucin P8 .-

Para conocer la forma de onda de la seal de salida Z obtenemos previamente

la forma de las seales ql, q2 y q3 .


Cada una de las transiciones de las seales tiene asociada un nmero en la figura y a continuacin se expone la explicacin relativa a cada nmero .

184

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

clk 1

clk2
Y

1
1

X
q1
q2
q3

Fo

o
Fo

o
4e

Fo

~ OO

Fo

Dado que J j K 1 = 11 e Y = 1, se cumple Q 1 = q 1 en el flanco negativo de clkl .

O
O
2

Como Y = 0, tenemos que Q1 = 0 y Q1 = 1 (independientemente del reloj clkl ) .

Como Y = 0, tenemos que Q 2 = Q3 = 1 (independientemente del reloj clk2) .

Dado que Y = 1 y D2 = X y D 3 = X, segn sea el valor de X se cumplir que Q 2


Q 3 = 1 en el flanco negativo de clk2 .

Dado que Y = 1 y D2 = X y D 3 = X, segn sea el valor de X se cumplir que Q 2 Q 3 = 0


en el flanco negativo de clk2 .
Z se obtiene como resultado de evaluar en el tiempo la ecuacin : Z = Y ql q2 q3 .

Problema 9.-En el circuito de la figura, las entradas A, 8, y C estn todas inicialmente a cero .
La salida Y tambin est inicialmente a cero (0) y pasa a uno (1) despus de una cierta
secuencia en el cambio de A, B y C a uno (1) .
a) Determine la secuencia que har que Y pase a uno (1).
b) Explique por qu se necesita el pulso de Start.
J1
>clk

J2

K
l CL

clk
C
u

Start

ANLISIS DE CIRCUITOS SECUENCIALES

185

Solucin P9 .- Como K 2 = 0, las entradas del biestable Y slo pueden ser J 2K2 = 00, en cuyo
caso el biestable no cambia de estado, o J 2K2 = 10, en cuyo caso Y = 1 .
Si inicialmente Y = 0 y se desea que pase a 1 necesitamos :
1-O) que J 2 = X sea 1
22) que el reloj del biestable X : C, suba a 1 (tenga un flanco de subida) .
Para cumplir la condicin primera, de forma anloga, A debe subir a 1 y, despus, B (reloj del biestable X) tambin debe subir a 1 .
Por tanto, la secuencia de cambios a seguir por las seales A, B y C es :

Cuando Y = 1, como J2K2 = 00 10, no puede cambiarse el estado del biestable "Y"
mediante las entradas sncronas . De aqu que sea necesario ponerlo a 0 asncronamente (Start)
cada vez que se desee que la entrada "Y" tenga un flanco de subida .
Problema 10.- Analice el circuito de la figura donde los biestables son disparados por flanco
y obtenga la secuencia que genera partiendo del estado inicial q1q2q3q4 = 1000 .
q1
A

Di

q2

q2

q4

q3

D3

clk

Este circuito posee bloqueo. Usando puertas lgicas, modifique el circuito (aadiendo
lo necesario) de forma que se evite el bloqueo :
1 . Utilizando las seales asncronas de los biestables (no mostradas en la figura) .
2. Sin utilizar las seales asncronas de los biestables .
Qu ocurrira en el caso de que los biestables fuesen disparados por nivel?

Solucin P10.- Analizamos el circuito aplicando el mtodo habitual de anlisis de circuitos


secuenciales sncronos . Obtenemos en primer lugar las ecuaciones de excitacin :
D1 = q10+ q4
D2 = q1
D3 - q2
D4 = q3

186

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Dado que en biestables tipo D se cumple D i = Q, tenemos la siguiente tabla de estados


para el circuito :
q2

00

01

11

lo

00

0000

0010

1110

1100

01

1000

1010

0110

0100

11

1001

1011

0111

0101

10

0001

0011

1111

1101

q3q4

Q1Q2Q3Q4

De la tabla anterior, llamando cada estado por la representacin decimal de la palabra


binaria ql q2 q3 q4 se obtiene el grafo de estados que se muestra :

09009000
CI Goce***
Existe bloqueo en el funcionamiento del circuito, ya que si el circuito entrase en el estado 0 nunca saldra de l .
Para eliminar el bloqueo basta detectar cundo el sistema se encuentra en dicho estado,
y realizar alguna accin que lo fuerce a salir de l .
Planteamos dos soluciones distintas :
1) Solucin asncrona :
Activar la seal de preset PR de cualquiera de los biestables . De esta forma obligamos
a que el sistema cambie de estado asncronamente .
2) Solucin sncrona :
Se tratara de introducir un 1 por la entrada de alguno de los biestables forzando igualmente el cambio de estado .
Para detectar que nos encontramos en el estado 0 definimos una seal Z como :

= ql

q 2 . q 3 . q4

De esta forma las soluciones asncrona y sncrona aplicadas al biestable 1 son respectivamente :
Z

- q4

q4
- q3
- q2

- q3
- q2

PR

D 1 q1

D
Solucin asncrona

Solucin sncrona

ANLISIS DE CIRCUITOS SECUENCIALES

187

Analicemos qu sucede si los biestables son disparados por nivel (por ejemplo, por el
nivel alto del reloj) . Cuando clk = 0 se mantendra estable el ltimo estado almacenado y
cuando clk = 1 ira modificndose el estado de acuerdo con el grafo de estados . Esto se hara
al ritmo fijado por los tiempos de propagacin de los biestables y puertas . As, por ejemplo, si
clk = 1 durante 4 veces el tiempo de propagacin de los biestables tendramos que en cada
pulso habra 4 cambios de estados :
clk
S

13

12

12

Como el tiempo de propagacin es difcilmente controlable (cambia de un biestable a


otro, vara con la temperatura, etc . . .), la secuencia de estados no podra ser determinada .
No obstante, s se puede controlar la anchura del pulso clk =1 y hacerla suficientemente
grande como para que haya un cambio de estado y suficientemente pequea como para que no
haya dos . As, el comportamiento del circuito con latches sera equivalente al del circuito con

flip f lops .
Problema 11 .- Para el circuito de la figura se pide :
a) Analizarlo .
b) Indicar la secuencia de salida que se obtiene si inicialmente los tres biestables tienen
salida cero.
c) Indicar cmo pueden sustituirse los biestables D y JK por biestables PM sin tener que
redisear el circuito.

D2
q2

q3 -

K
clk

PM
0 0
01
1 0
1 1

Q(t+1)
Q(t)
1
0
Q(t)

q3

188

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P11 .

a) Ecuaciones de excitacin :
P1 = q3
M1 = q1 +q3

D2 = q1 ED q3

J3 - g2'g3

K3 = 1

Z = g2-g3
De las ecuaciones de excitacin pasamos a la tabla de excitacin y salida :
9392
q

0
1

00

01

11

10

01,0,01, 0
01,1,01, 0

11,0,01, 1
11,1,01, 1

01,1,11, 0
01,0,10, 0

01,1,11, 0
01,0,10, 0

J 3K3,D 2 ,P 1 MI, Z

Pasamos a la tabla de excitacin, a partir de las tablas de transicin de cada uno de los
distintos biestables :

0
Q

A continuacin la tabla de transicin y salida :


q3q2
q1 0

00

01

11

10

001, 0
011, 0

101, 1
111, 1

010, 0
000, 0

010, 0
000, 0

Q3Q2Q1 , Z

Y como ltimo paso del anlisis la tabla de estados y salida, donde hemos llamado a los
estados 0, 1, 2, 3, 4, 5, 6 y 7 segn la codificacin de las variables de estado que se muestra :
q3q2q 1 = 000, 001, 010, 011, 111
S

NS

0
1
2
3
4
5
6
7

1
3
5
7
2
0
2
0

0
0
1
1
0
0
0
0

ANLISIS DE CIRCUITOS SECUENCIALES

189

b) Inicialmente g3g2q1 = 000 (S = 0)


S: 0

-> 1

-~

Z :0

lo 0' -

-o 3

-> 7

T
secuencia que se repite

c) La sustitucin del biestable D y JK por uno PM es como sigue :


- Respecto al biestable D : A partir de la tabla de excitacin del biestable D y la del PM
se obtiene la tabla para PM en funcin de D y q . Las tres tablas se muestran en la figura :

PM

q -~> Q

a
0

_0 .-1 _
01
1 0

-0 1-

0 1

10

0-- 1

0-6,-1

PM
---------------------------

Una solucin es :
P=D
M=D
--------------------------- Respecto al biestable JK : A partir de la tabla de excitacin del biestable JK (que se
muestra en la siguiente figura) y de la del PM se obtiene la tabla para PM en funcin de JK y
q (que tambin se muestra en la figura) :
JK
q
0
1

00
0
- 1 -

-0 1 -

01

0-- 1

1 0

1 0

01
0-- 1

PM


Una solucin es :
P=J q
M=K .q

q
q

190

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

PROBLEMAS CON SOLUCIN RESUMIDA

Problema 12.- Obtenga los biestables JK, T y D a partir del biestable RS.

Solucin P12 .

Problema 13 .- Obtenga los biestables JK y D a partir del biestable T .

Solucin P13.

D-

J -

Problema 14 .- Obtenga los biestables D y T a partir del biestable JK .

Solucin P14 .

9
9

9
9

Problema 15.- Para las secuencias de entrada de la figura, encuentre la forma de onda de
salida para el caso de un biestable JK disparado por flanco negativo . Reptalo para el caso de
ser disparado por flanco positivo.

clk
J
K

ANLISIS DE CIRCUITOS SECUENCIALES

191

Solucin P15 .- Biestable disparado por el flanco positivo de clk .

clk

00/1

Biestable disparado por el flanco negativo de clk .

clk

Problema 16 .- Analice el circuito secuencial sncrono de la siguiente figura :

q
S
A
A0

d3 -

K q

d2
d,
d

D3

ROM

$ (A l A 0)

f$1

0
1
2

F
0

2
B

T2

clk

Solucin P16.- Si denominamos a los distintos estados por los que pasa la mquina como se
muestra a continuacin, podemos dar la tabla de estados correspondiente a este circuito secuencial sncrono .

192

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

g1g2q3= 000
g1g2q3= 001
q1 q2 q3= 01 0
q1 q2 q3= 01 1
g1g2q3 =100
q1 q2 q3 = 1 0 1
q 1 q2 q3 = 1 1 0
ql q2 q3 = 1 1 1

S=0
S=1
S=2
S=3
S=4
S=5
S=6
S=7

7,0
0,0

7, 1
0,0

2,0

2,0

5,0

5, 1

3,0
4,0

6,0
7,1

6,0

5, 1

1,0

4,0
NS, Z

Problema 17.- Para el circuito secuencial de la figura, obtenga la forma de onda de la salida
Z correspondiente a la forma de onda X mostrada tambin en la figura . Parta del estado inicial
q1 q0 = 00.
X q0 -

- L_ J

X -

Tp _
q0

q0 -

clk
q0 -

X -

q0
q1
q0 q1 -

clk

x
Solucin P17.- Nombraremos a los estados del circuito de la forma mostrada a continuacin,
y a partir de la tabla de estados obtenida deduciremos la secuencia de salida .
X
q1 q0 =00
g1g0= 01
q1 q0 = 10
g1g0 =11

S=0
S=1
S=2
S=3
NS, Z

ANLISIS DE CIRCUITOS SECUENCIALES

193

El valor de X se toma en el flanco negativo de clk . El estado inicial consideramos que


es el 0 (q 1q0 = 00) . La secuencia de salida es la mostrada a continuacin :
clk

Problema 18.- Analice el circuito de la figura . Si inicialmente los biestables estn a 0, indique
la secuencia de salida para la siguiente secuencia de entrada :
x: 1 1 1 0 0 0 (cada bit corresponde a un ciclo de reloj)
X
_
q1 q2

X q1 q2 -

X -

J3

K3

q1 q2
q

J2

q2

K2

clk
Solucin P18.- Tras el anlisis del circuito obtenemos el diagrama de estados al que responde
la mquina secuencial . La codificacin que se ha usado para cada uno de los estados es :
g1g2q3=000 S=A
0;0
0;0 01,0
g1g2q3= 001 S=B
919293=01 1 S=C
0,1
1,0
,
g1g2q3=010 S=D
0,0
0,1
g1g2q3=110 S=E
glg2g3=111 S=F
1,1
g1g2q3=101 S=G
0,0
g1g2q3=100 S=H
1,1

O
0010

Para dar la secuencia de salida partiremos del estado inicial A (q1q2q3 = 000) y aplicaremos la secuencia de entrada .

194

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

clk

x
S

Z
1

Problema 19.- Para el circuito y secuencia de entrada de la figura, determine la forma de


onda de salida . El estado inicial es desconocido . Los biestables son disparados por flanco .
Justifique las transiciones producidas en la salida .
Y

clk

Solucin P19 .
clk

__r-

Y
Zi

ML

Z2

Problema 20.- Analice el circuito de la figura y muestre la secuencia de salida para la secuencia de entrada dada . Qu ocurrira si los biestables son disparados por el nivel alto del reloj?

ANLISIS DE CIRCUITOS SECUENCIALES

q1
qi

195

q2
q2

clk
clk

I I I I I I I I I 1

Solucin P20 .- Tras el anlisis del circuito secuencial sncrono se obtiene la siguiente tabla de
estados como resultado . La codificacin de los estados de la mquina secuencia) es :

q1 q2= 00
q1 q2= 0 1

S=0

q1 q2= 10
q1 q2 =11

S=2

S=1
S=3
NS, Z

Aplicando la secuencia de entrada que propone el problema se obtiene la siguiente salida . Suponemos que inicialmente la mquina se encuentra en el estado 0 .
clk

x
S

0 0 0 2 1 2 3 1 0 0 2 3 3 1 2 3 1 0 0 0 0 0 0 0

Z
Si los biestables fuesen disparados por nivel se podran dar mltiples cambios de estado
durante el nivel activo del reloj . El circuito funcionara respondiendo a la tabla de estados dada
en la solucin si se disea el reloj clk con una anchura de pulso suficientemente grande para
que el biestable cambie una vez por ciclo del reloj, y suficientemente estrecha para que no cambie ms de una vez .

Captulo 8
DISEO DE CIRCUITOS SECUENCIALES

El anlisis de circuitos secuenciales bsicamente consiste en lo siguiente : dado un circuito hay


que describir su comportamiento verbalmente o, al menos, mediante la tabla o el diagrama de
estados . Este Captulo esta dedicado al proceso inverso, esto es, dada una funcin secuencial
hay que obtener un circuito que la implemente . A este proceso es lo que se le llama el diseo
de circuitos secuenciales . Ms concretamente, en este Captulo se va a tratar el proceso de
diseo de circuitos secuenciales sncronos .
PASOS DEL PROCESO DE DISEO
Existe un procedimiento formado por un conjunto de pasos que permite realizar este proceso
de una forma lo ms sistemtica posible . Este conjunto de pasos es el que se muestra en la
figura:
Descripcin
verbal
l
Descripcin formal :
Tabla o Diagrama de estados/salida
Reduccin de estados
l
Tabla mnima de estados/salida
Asignacin de estados

Tabla de transicin/salida
Eleccin de bi estables

Tabla de excitacin/salida
Sntesis de fun ciones combinacionales
l
Ecuaciones de excitacin y de salida
i
Circuito

197

198

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

A continuacin se describe en qu consiste cada uno de estos de pasos .


1 . El primer paso consiste en generar una descripcin formal del comportamiento a partir del enunciado de la funcin secuencial . La descripcin formal consiste en la tabla o el
diagrama de estados siguiendo alguno de los dos modelos de mquina secuencial, el de Mealy
o el de Moore . La forma de obtenerla depende en gran medida de la funcin secuencial, de forma que no existe un nico mtodo que sea vlido para cualquier enunciado de funcin secuencial . Es un paso no sistemtico . Por este motivo es el paso ms difcil de realizar y de lo bien
que se haga depende en gran medida el buen desarrollo del resto del proceso de diseo .
2 . El segundo paso consiste en obtener la tabla de estados mnima. Esta es una nueva
tabla de estados equivalente con la obtenida en el paso anterior (i .e ., dan lugar al mismo comportamiento de entrada-salida) con el menor nmero de estados posible . Este paso se llama de
reduccin de estados y existe un mtodo sistemtico que permite realizar dicha reduccin en
tablas de estados completamente especificadas . Este mtodo se presenta en el problema 6 .
3 . De la tabla mnima de estados hay que pasar a la tabla de transicin/salida . En esta
nueva tabla se representan los estados por un cdigo binario . Este cdigo binario debe ser almacenado por el circuito y para ello se utilizan un conjunto de biestables, tantos como bits tenga el cdigo . Esta asociacin de un cdigo binario a cada estado es lo que se llama la asignacin de estados . La asignacin determina cmo va a ser la tabla de excitacin y de salida y, por
tanto, afecta al coste del circuito . Como criterio bsico, en este Captulo se va a utilizar el menor nmero posible de variables de estados, lo que significa disear circuitos con el menor nmero de biestables posibles . Por otra parte, para hacer la asignacin concreta en los problemas
correspondientes se van a utilizar dos mtodos diferentes . En el caso de tablas de estado de 3
4 estados se aplicar el mtodo exhaustivo que consiste en obtener el circuito para las tres
nicas asignaciones que dan lugar a circuitos con coste distinto y elegir la de menor coste . Para
tablas con mayor nmero de estados se aplicar el mtodo basado en las reglas de adyacencia .
Este mtodo da lugar a asignaciones de buen coste pero no necesariamente el ptimo . Se desarrolla con detalle en el problema 11 .
4 . De la tabla de transicin hay que pasar a la tabla de excitacin del circuito . En ella se
representa cul es el valor de cada una de las entradas de los diferentes biestables para conseguir las transiciones de la tabla de transicin . Este paso exige que previamente se haga la eleccin del tipo de biestables que se van a usar en el circuito .
5 . A partir de la tabla de excitacin/salida se obtienen las ecuaciones de excitacin (i .e .,
ecuaciones de entrada de los biestables) y de salida mediante mtodos de sntesis de funciones
combinacionales, ya considerados en el Captulo 4 .
6 . Por ltimo, a partir de las ecuaciones se obtiene el circuito .
ndice del Captulo
Este Captulo desarrolla problemas de las siguientes materias :
- Construccin de diagramas/tablas de estados .
- Reduccin de tablas de estado .
- Asignacin de estados .
- Proceso de diseo completo .

DISEO DE CIRCUITOS SECUENCIALES

199

PROBLEMAS RESUELTOS

Problema 1 .-Construya la tabla de estados para una mquina de Mealy con una entrada X y
una salida Z, que detecte la llegada de tres ceros o tres unos consecutivos, dando una salida
Z = 1 coincidiendo con la aparicin del tercer bit .
Solucin Pl .- Para construir la tabla de estados vamos a partir de un estado conocido como,
por ejemplo, aqul que representa la llegada de 2 ceros consecutivos (llammosle estado A) .
En A :
- Si X = 0 : el prximo estado es A y la salida vale 1 .
- Si X = 1 : se pasa a un nuevo estado, que llamamos B . La salida es 0 .
B es un estado que representa el comienzo de una secuencia de 1's . En B :
Si X = 0 : se pasa a un nuevo estado, C . La salida es 0 .
Si X = 1 : se pasa a un nuevo estado, D . La salida es 0 .
C es el estado que representa el comienzo de una secuencia de 0's . Por otra parte, D es
el estado que representa la llegada de 2 unos consecutivos . En C :
- Si X = 0 : se pasa al estado A . La salida es 0 .
- Si X = 1 : se pasa al estado B . La salida es 0 .
En D :
- Si X = 0 : se pasa al estado C . La salida es 0 .
- Si X = 1 : se pasa al estado D . La salida es 1 .
De esta forma, el diagrama y la tabla de estados quedan como se observa :

499

X
1

/0

0/1

00/0
0/0
Diagrama de estados

Estados

A, 1

B,0

C,0

D,0

A,0

B,0

C,0

D, 1

Tabla de estados

Obsrvese que el estado A realmente es el estado que resulta tras detectar 2 o ms ceros ;
anlogamente, la deteccin de 2 o ms unos conduce al estado D .

Problema 2.-Obtenga el diagrama de estados de un circuito con dos entradas, X e Y, que d


salida Z= 1 cuando en los cuatro ltimos ciclos de reloj, las entradas hayan sido 11, 01, 01, 11 .
Solucin P2 .- Siguiendo el modelo de Mealy :
Partimos del estado que corresponde a que no ha llegado ningn valor de la secuencia
de entrada que hay que detectar : estado A . En A :
- Cuando XY = 11, se pasa a un estado nuevo : B . Z = 0 .
- Con cualquier otro valor en XY se permanece en el estado A . Z = 0 .

200 PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

B es el estado que guarda la informacin de que ha sido detectado el primer valor de la


secuencia (XY = 11) . En B :
- Cuando XY = 01, se pasa a un estado nuevo : C . Z = 0 .
- Cuando XY = 11, se permanece en B . Z = 0 .
- Con cualquier otro valor se pasa al estado A . Z = 0 .
C es el estado que guarda la informacin de que ha sido detectado el segundo valor de
la secuencia (XY = 01) tras el 11 . En C :
- Cuando XY = 01, se pasa a otro estado : D . Z = 0 .
- Cuando XY = 11, se pasa al estado B . Z = 0 .
- Con cualquier otro valor se pasa al estado A . Z = 0 .
D es el estado que guarda la informacin de que se ha detectado el tercer valor de la secuencia (XY = 01) tras el 11 y 01 . En D:
- Cuando XY = 11, se completa la secuencia . Z = 1 . Se pasa a B .
- Con cualquier otro valor se pasa al estado A . Z = 0 .
El diagrama de estados queda de la siguiente manera :
0-/0
10/0

11/0

11/1

/0

Siguiendo el modelo de Moore :


Partimos del estado que corresponde a que no ha llegado ningn valor de la secuencia a
detectar : estado A . En A, la salida vale 0 (Z = 0) :
- Cuando XY = 11, se pasa a un estado nuevo : B .
- Con cualquier otro valor en XY, se permanece en el estado A .
B es el estado que guarda la informacin de que ha sido detectado el valor (XY = 11) .
En B,Z=0 :
- Cuando XY = 01, se pasa a un estado nuevo : C .
- Cuando XY = 11, se permanece en B .
- Con cualquier otro valor se pasa al estado A .
C es el estado que guarda la informacin de que ha sido detectado el 01 tras el 11 . En C,
Z=0 :
- Cuando XY = 01, se pasa a otro estado : D .
- Cuando XY = 11, se pasa al estado B .
- Con cualquier otro valor se pasa al estado A .
D es el estado que guarda la informacin de que se ha detectado el 01 tras el 11 y 01 . En
D,Z=0 :
- Cuando XY = 11, se pasa a un nuevo estado E .
Con cualquier otro valor se pasa al estado A .

DISEO DE CIRCUITOS SECUENCIALES

201

E es el estado que guarda la informacin de que se ha detectado la secuencia completa .


Por tanto, Z = 1 . Tras E :
- Cuando XY = 00 10, se pasa al estado A .
- Cuando XY = 11, se pasa al estado B .
- Cuando XY = 01, se pasa al estado C .
El diagrama de estados queda de la siguiente manera :

11

Problema 3.-Ha recibido de un viejo amigo la siguiente carta :


"Querido amigo:
Al poco tiempo de comprar esta vieja mansin tuve la desagradable sorpresa de comprobar que est hechizada con dos sonidos de ultratumba que la hacen prcticamente inhabitable: un canto picaresco y una risa sardnica .
An conservo sin embargo cierta esperanza, pues la experiencia me ha demostrado
que su comportamiento obedece ciertas leyes, oscuras pero infalibles, y que puede modificarse tocando el rgano o quemando incienso .
cada minuto, cada sonido est presente o ausente . Lo que cada uno de ellos har
en el minuto siguiente depende de lo que pasa en el minuto actual, de la siguiente manera :
El canto conservar el mismo estado (presente o ausente) salvo si durante el minuto
actual no se oye risa y toco el rgano, en cuyo caso el canto toma el estado opuesto .
cuanto a la risa, si no quemo incienso se oir o no segn el canto est presente o
ausente (de modo que la risa imita el canto con un minuto de retardo) . Ahora bien, si quemo
incienso la risa har justamente lo contrario de lo que haca el canto .
el momento en que te escribo, estoy oyendo a la vez la risa y el canto . Te quedar
muy agradecido si me dices qu manipulaciones de rgano e incienso debo seguir para restablecer definitivamente la calma ."
Conteste la carta .
Solucin P3 .- Los sucesos de la vieja mansin obedecen a una mquina secuencia], de la siguiente forma :
- Valores (o estados) de entrada
Son las acciones que realiza "nuestro viejo amigo" . Existen 4 posibles estados de
entrada :

202

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

In : Ni toca el rgano ni quema incienso .


Ii : Quema incienso (pero sin tocar el rgano) .
Io : Toca el rgano (pero sin quemar incienso) .
Iio : Quema incienso y toca el rgano simultneamente .
- Valores (o estados) de salida
Son las posibles situaciones de sonido en la casa :
On : No se oye nada (situacin de tranquilidad!) .
Oc : Se oye el canto picaresco (pero no la risa) .
Or : Se oye la risa sardnica (pero no el canto) .
Ocr : Se oye la risa y el canto .
- Estados de la Mquina
Como la salida cambia con "la seal de reloj" (esto es, cada minuto), cambia con el estado por lo que es una mquina de Moore . En principio, pues, asociamos 4 estados, uno por
cada una de las salidas (Sn -* On ; Sc -4 Oc ; Sr - Or ; Scr - Ocr) . Estos estados estn determinados por el valor (S, NO) de dos variables de estados que, por conveniencia, denominaremos "c" (canto) y "r" (risa) . .
OPERACIN : Representaremos con minsculas (c, r) el valor presente y con maysculas (C, R) el valor prximo .
i) Canto : Si no se oye la risa, r = NO, y se toca el rgano, lo I io , cambia de
estado : C = c.
En cualquier otro caso, el canto no cambia de valor : C = c .
) Risa : Si no se quema incienso (valores de entrada In I o) sigue al canto, C, con un
minuto de retraso : R = c .
Si se quema incienso, (valores de entrada I i Iio ), la risa hace lo opuesto al canto con
un minuto de retraso : R = c
De esta forma la tabla de estados queda como sigue :

Sn

NO NO

Sc

S NO

Sr

NO S

Scr S S

In

10

Ii

NONO S NO NO S
S S

ha
S S

On

NO S S NO NONO

Oc

NONO NONO NO S NO S

Or

S S

S S

S NO

S NO

Ocr

CR
l,
La respuesta a la carta debe decir lo siguiente : r minuto : Se oye risa y canto por lo que
debe quemar incienso ; 2 minuto : Se oye canto pero no risa, por lo que debe quemar incienso
y tocar el rgano ; 3` minuto : No se oye nada por lo que no debe hacer nada .

DISEO DE CIRCUITOS SECUENCIALES

203

Problema 4 .-Sobre una nica lnea X se enva una informacin sincronizada con una seal
de reloj Ck . Se ha convenido que la informacin sea correcta siempre que no haya dos o ms
unos consecutivos o cuatro o ms ceros consecutivos . Obtenga el diagrama de estados de
un circuito cuya salida sea uno si se detecta un error en la transmisin y que permanezca en
ese valor en tanto dure el error .

Solucin P4 .- Para que la salida (seal Z) slo indique error (con Z=1) mientras ste permanezca, haremos que Z dependa de X (mquina de Mealy) .
Para construir el diagrama de estados se parte de un estado conocido, por ejemplo : sea
A el estado que indica que el ltimo valor de X almacenado es 1 . Estando en A :
Si X=1, hay error (dos 1's consecutivos) por lo que Z=1 y el prximo estado es A ya que
el ltimo valor recibido es 1 .
Si X=0, no hay error (Z=0) y el prximo estado ser B .
El estado B indica que el ltimo valor recibido es 0 (mientras que el penltimo era 1) .
Estando en B :
Si X=1, no hay error (Z=0) y el prximo estado es A .
Si X=O, no hay error (slo dos O's consecutivos) y el prximo estado es C .
El estado C corresponde a haber recibido dos ceros consecutivos . Estando en C :
Si X=1, no hay error y el prximo estado es A .
Si X=O, no hay error (sera el 3 cero) y el prximo estado es D .
El estado D refleja la existencia de tres ceros consecutivos . Estando en D :
Si X=1, no hay error y el prximo estado es A .
Si X=0 sera el cuarto 0 consecutivo con lo que hay error (Z=1) y el prximo estado es el
propio estado D .
El diagrama de estados completo es el siguiente :
1/0

s0

0/0

OVIAM

Problema 5.-Un circuito secuencial tiene dos entradas (X1 , X2) y dos salidas (Z 1 , Z2) . Las entradas representan un nmero binario natural de dos bits, N . Si el valor presente de N es mayor que el valor inmediatamente anterior, entonces, Z 1 = 1 . Si dicho valor es menor, entonces
la salida Z2 = 1 . En cualquier otro caso, Z1 = Z2 = 0. Se pide :
1) Escribir la tabla de estados correspondiente del circuito, como autmata de Mealy .
2) Cuntos estados tendra el circuito como autmata de Moore?

Solucin P5 .- 1 .- El funcionamiento del circuito es el siguiente : si y slo si


(XIX 2)N > (X 1 X2)N-1 entonces, Z 1 = 1 ; por otra parte, si y slo si (X 1 X2 )N < (XIX2)N-1
entonces, Z 2 = 1 ; si (X1X2)N = (X1X2)N_1, entonces Z 1 Z2 = 00 .

204

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Para comparar el valor actual con el anterior se necesita almacenar ese ltimo valor .
Por tanto, la mquina debe tener un estado por cada posible valor de X 1 X 2 :
A estado que almacena que el ltimo valor recibido de X 1 X2 = 00
B estado que almacena que el ltimo valor recibido de X 1 X2 = 01
C estado que almacena que el ltimo valor recibido de XI X2 = 10
D estado que almacena que el ltimo valor recibido de X 1 X 2 = 11
Con esto se puede construir directamente la tabla de estados, que queda de la siguiente
manera :

00

01

10

11

A,00

B, 10

C, 10

D, 10

A,01

B, 00

C, 10

D, 10

A,01

B, 01

C, 00

D, 10

A,01

B, 01

C, 01

D, 00

NS, Z 1 Z2
2 .- Como mquina de Moore, en principio se necesita un estado que almacene el ltimo
valor recibido y si era mayor (salidas Z 1 Z2 = 10), igual (salidas Z 1 Z2 = 00), o menor (salidas
Z 1 Z2 = 01) que el anterior. En total, como pueden lleg~wl4 valores diferentes 100, 01, 10, 111,
son 4x3 = 12 estados distintos . La tabla de estados queda de la siguiente manera :

Est .

SIGNIFICADO DE LOS ESTADOS


Z1Z2
ltimo valor recibido

00

01

10

11

Ao

Co

A1

A2

A3

10

00

A1

Bo

C1

A2

A3

10

01

A2

Bo

B1

C2

A3

10

10

A3

Bo

B1

B2

C3

10

11

Bo

Co

A1

A2

A3

01

00

B1

Bo

C1

A2

A3

01

01

B2

Bo

B1

C2

A3

01

10

B3

Bo

B1

B2

C3

01

11

Co

Co

A1

A2

A3

00

00

C1

Bo

C1

A2

A3

00

01

C2

Bo

B1

C2

A3

00

10

C3

Bo

B1

B2

C3

00

11

NOTA : Se comprueba que la tabla es irreducible salvo por la posible eliminacin de los estados Ao y B 3 que slo pueden alcanzarse si son estado inicial .

DISEO DE CIRCUITOS SECUENCIALES 205

Problema 6.-Muestre la tabla de estados mnima de una mquina secuencial sncrona con
una entrada X y una salida Z que opera de la siguiente forma : cuando se detecta la llegada
de 110 (primero 1,despus 1, despus 0), Z se pone a 1, manteniendo este valor hasta detectar la secuencia 010, en cuyo caso Z pasa a tomar valor 0 manteniendo este valor hasta
que llegue una nueva secuencia 110 .
Solucin P6 .- Partimos de un estado conocido para construir el diagrama de estados . Por ej .
sea A el estado que se alcanza al detectarse la secuencia 110, por lo que la salida ser 1 hasta
que se detecte 010 . Consideramos que la mquina es de Mealy . El diagrama de estados con el
significado de cada estado y la tabla de estados son los siguientes :

0/1
%- 1/0
0/1
~Q

Q
1/1

`1/

w1/1

'
1/1

1/0
1/0 O
0/0
00/0

Significado de cada estado


Est .
Recibido
. . . .110
A
B
. . . .00
(con
. . . .01
C
(con
. . . .11
E
(con
. . . .010
D
F
. . . .00
(con
G
. . . .1
(con
H
. . . .11
(con

Z = 1)
Z = 1)
Z = 1)

B1

C1

B1

C1

DO El

D
E

FO GO
A1 El

FO GO

DO HO
A1 HO
NS, Z

Z = 0)
Z = 0)
Z = 0)

Para obtener la tabla de estados mnima debemos comprobar si se pueden reducir o eliminar estados . Para ello seguimos el proceso de reduccin de estados, que consta de los siguientes pasos :
1 . Formar la lista de estados con salidas diferentes (son estados incompatibles) :
{ A,C ; A,D ; A,F ; A,G ; A,H ; B,C ; 13,13 ; B,F ; B,G ; B,H ; C,D ; C,E ; C,F ; C,G ; C, H ; D,E ;
D,H ; E,F ; E,G ; E,H ; F,H ; G,H} .
2 . Construir la tabla de pares compatibles o de reduccin, que es una tabla en forma de
escalera asignando un escaln a cada estado como se observa en la figura del paso siguiente .
3 . En esa tabla, marcar las casillas de los pares de la lista del paso 1 . (Es obvio que esta
tabla se puede obtener sin necesidad de haber listado los estados incompatibles) :

206

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Tabla de reduccin
Fase inicial

X .Z'~.1,X 091
EN 09 X

4 . Observando la tabla de estados, escribir dentro de cada casilla de la tabla de reduccin


los estados que deben ser compatibles para que el par que corresponde a dicha casilla lo sea
tambin :

X
X

X
X X E
X HA, X
X
X
X 011
FA P,al X

AB
CE

Tabla de reduccin

5 . En la tabla de reduccin tachar aquellas casillas en las que exista escrito algn par de
estados incompatibles . Repetir este paso de forma iterativa hasta que se hallan marcado todos
los pares incompatibles :

un

- D
LWI
w=, aun
.~'

Tabla de reduccin
Fase final
G

.Z'--
Al final de este punto, todas las celdas sin tachar corresponden a parejas de estados compatibles (o equivalentes si, como en este caso, la tabla de estados/salida est completamente
especificada) .
6 . Construir la lista de compatibles . Para ello hay que formar una tabla con tres columnas : en la primera anotar un estado (se empieza por el del escaln ms bajo) ; en la segunda se
anotan los estados equivalentes del primero (aquellos cuyas casillas no estn marcadas) ; en la
tercera se anotan los compatibles . En mquinas completamente especificadas, los compatibles
se agrupan por clases de equivalencia, por lo que la lista final de compatibles se forma fcilmente, agrupando todos los estados que sean compatibles entre s.

DISEO DE CIRCUITOS SECUENCIALES

S Equivalentes
H

C
B
A

Compatibles
{H}
{H,G}
{H,G,F}
{H,G,F,E}
{H,G,DF,E}
{ H,G,DF,E,C }
{ H,G,DF,E,C,B }
(H, G, DF, E, C, AB)

Nuevos estados :

A partir de los
compatibles se
construye la
nueva tabla
de estados :

rrTrr~

A1

C 1

D 0

E 1

D 0

G 0

A 1

E 1

D 0

H 0

A 1

H 0

207

NS, Z

H, G, D, E, C, A

Problema 7.-Un circuito secuencial tiene una entrada X y una salida Z Por X se transmiten
pulsos positivos de 1, 2 3 ciclos de duracin . Desde un pulso al siguiente X permanece a 0
un mnimo de 10 ciclos . La salida Z se pondr a 1 tras terminar el pulso de entrada y permanecer en 1 durante 3 ciclos si el pulso de X dur un ciclo, durante 2 ciclos si X dur 2 y durante 1 ciclo si X dur 3 . En otros asos Z es cero.
Obtenga la tabla de estado /salida mnima segn el modelo de mquina de Mealy .
Del enunciado se deduce el comportamiento del circuito, que es el siguiente :

Solucin P7 .-

Partamos de un estado conocido . Sea el estado A aquel en el que no se ha detectado ningn pulso . En A :
- Si X= 1 se detecta el primer ciclo del pulso en X . Se pasa al estado B . Z=O .
- Si X=0 se permanece en A . Z=O .
En B :
- Si X=1 se detecta el segundo ciclo del pulso en X . Se pasa a C . Z=O .
- Si X=0 fin del pulso de un ciclo de duracin . Se pasa a D . Z=1 .
En C :
- Si X=1 se detecta el tercer ciclo del pulso en X . Se pasa a E. Z=O .
- Si X=0 fin del pulso de dos ciclos de duracin . Se pasa a F . Z=1 .
En D, E y F, X no puede valer 1 porque, segn se dice en el enunciado, el pulso mayor
es de tres ciclos y, tras finalizar un pulso, la entrada permanece a 0 un mnimo de 10 ciclos de
reloj .
En D, Z debe durar 1 durante dos ciclos ms de reloj . Se pasa a G . Por tanto, Z=1 en el
estado D y en el estado G .

208

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

En E, se pasa directamente a A y se da el nico pulso de salida . Z=1 .


En F : Z debe durar 1 durante un ciclo ms de reloj . Se pasa a A . y se da Z=1 .
El diagrama de estados/salidas de Mealy y la correspondiente tabla de estados son los
siguientes :

0/1

0/1

0/1

A,0

B,0

D,1

C,0

F,1

E,0

G,1

1/0
A,1
0/1

A,1
A,1
NS, Z

Siguiendo el proceso de reduccin de estados obtenemos la tabla mnima :

S
COMPATIBLES
PRMOMM

{4 4 4,

{A, B, C,

wwdmw
Tabla de reduccin

1G}

A,0

B,0

C,1

C,0

D,1

D,0

A,1

D}
NS, Z
Tabla de estados mnima

Problema 8.-Por una lnea X se recibe, bit a bit, un nmero binario N, empezando por el menos significativo.
a) Obtenga la tabla de estados mnima correspondiente al circuito que permite generar
una nica salida Z con el valor Z = 2 x N .
b) Repita el apartado a) para obtener Z = 3 x N .
Comience por un estado de reset. No tenga en cuenta cundo acaba N.

DISEO DE CIRCUITOS SECUENCIALES

209

Solucin P8.
a) Sea el nmero binario N = . . . N3 N2 N 1 N o . Entonces, el nmero binario
Z = 2 x N = . . . N3 N 2 N 1 N o 0, pues multiplicar en binario por 2 equivale a "poner un 0 a la
derecha" . En nuestro caso, N viene por la lnea X y 2 x N sale por Z . Esto es, se cumplir :
Ciclo 1 : En X est X0, por Z sale Z0 = 0
Ciclo 2 : En X est X 1 , por Z sale Z l = XO
Ciclo 3 : En X est X2, por Z sale Z2 = X 1
Ciclo 4 : En X est X3 , por Z sale Z3 = X2
Ciclo j+1 : En X est Xj , por Z sale Zj = Xj _ 1
Para obtener Zj , basta conocer el valor de Xj _ 1 que slo puede ser 0 (estado A) 1
(estado B) . Con ello, el diagrama y la tabla de estados son :
X

0/1
Diagrama de estados

Estado`

RE

A,0

B,0

A,0

B,0

A,1

B,1

Estado\

A,0

B,0

A,1

13,1

NS, Z
Tabla de estados mnima

NS, Z
Tabla de estados

En la tabla de estados se observa que : A y RE son el mismo estado ; A y B son incompatibles . La tabla de estados mnima consta de slo dos estados, pero el inicial debe ser A .
b) En el primer ciclo (el estado presente es el de reses RE) hay que sumar N 0 + N0 + N0 ;
el resultado ser Z0 = 0 (y acarreo 0) si N0 = 0 y Z 0 = 1 junto con un acarreo de 1 si No = 1 .
En el segundo ciclo deberemos sumar N 1 + N 1 + N 1 con el acarreo (0 o 1) anterior ; el resultado de esta suma puede ser Z 1 = 0 o Z 1 = 1 con acarreos de 0, 1 o 2 .
En general, en el ciclo j-simo hay que sumar tres veces el bit presente en X (0 01) junto
con el acarreo generado anteriormente, para lo cual dicho acarreo deber estar "almacenado"
en un estado (A si el acarreo es 0, B si es 1 y C si es 2) . La salida Z mostrar el bit de la suma,
mientras que el prximo estado informar de cul ha sido el acarreo generado .
Con este razonamiento se obtiene la tabla de estado siguiente :
Tabla de estados

Tabla de estados mnima

X
S\

RE

A,0

B, 1

A,0

13,1

A,1

C,0

B,0

C, 1

NS, Z
(Como antes, RE y A son el mismo estado) .

\S~
A

A,0

B, 1

A,1

C,0

B,0

C, 1

NS, Z

210

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 9.-Disee un circuito secuencia) sncrono con dos entradas X 1 y X2 y dos salidas
Z1 y Z2 . Por las entradas se reciben bit a bit dos nmeros de n bits, N 1 y N2 , comenzando por
el bit ms significativo . Las salidas deben representar lo siguiente :
Z2 = mayor(N2 ,N1 )
Z1 = menor(N2 , N1 )
Obtenga la tabla de estados/salida mnima, suponiendo el siguiente comportamiento :
X2 : 0 0 1 0 0 1 . . . .
X1 : 0 0 1 1 0 0 . . . .
Z2 : 0 0 1 1 0 0 . . . .
Z1 : 001001 . . . .
Nota. Obsrvese que en el ejemplo N 1 > N2 por lo que X1 sale por Z2 y X2 lo hace por Z1 .
Solucin P9.- El nmero mayor entre N 1 y N 2 es aqul por el que se recibe el primer 1 mientras que se recibe un 0 por el otro . As, en el ejemplo del enunciado, el 4 bit de X 1 es 1 mientras
que el de X 2 es 0 . A partir It este momento y con independencia de los bits que se reciban, el
nmero mayor (N I en el ejemplo) saldr por Z2 y el menor por Z 1 . Hasta que ocurra eso
(X 1 X2 =10 01) por primera vez, los bits son iguales de forma que tanto por Z 1 como por Z2
sale el bit de entrada recibido .
En el comportamiento dado en el enunciado, las salidas cambian en el mismo ciclo que
ocurre el cambio de entrada, lo que indica que Z1,2 dependen de X1,2 y, por tanto, es una mquina de Mealy .
Sea A el estado inicial . Al no haberse recibido ningn bit los nmeros son "hasta ese
momento" iguales . Las posibles entradas y la respuesta del circuito son :
X 1 = X 2 : Los nmeros continan siendo iguales . No hay cambio de estado . Las salidas
sern : Z1 = Z2 = X1 = X2 .
X 1 X 2 = 10 : El nmero N 1 es mayor que el nmero N 2 , por lo que se pasar a un nuevo
estado B . Las salidas sern : Z 2 = X1 Y Z 1 = X 2 .
X 1 X2 = 01 : El nmero N 2 es mayor que el nmero N 1 , por lo que se pasar a un nuevo
estado C . Las salidas sern : Z 2 = X2 Y Z 1 = X 1 .
El estado B representa el caso en que N 1 >N2 , y por tanto, Z2 = X1 Y Z 1 = X 2 hasta el
final . El prximo estado de B, es B . Lo mismo ocurre para C estado en el que N 2 > N 1 . La tabla
de estado queda como sigue :

00

01

11

lo

A,00

B,10

A,11

C,10

B,00

13,10

13,11

B,01

Los estados son


incompatibles : la tabla
NS, Z2 , Z i

C,00

C,01

C,11

C,10

es irreducible

DISEO DE CIRCUITOS SECUENCIALES

211

Problema 10.- Disee una mquina secuencial que responda a la tabla de estados siguiente.
Disela con biestables JK atendiendo a las siguientes asignaciones :
a) Asignacin 1 : A = 00, B = 01, C = 11, D = 10
b) Asignacin 2: A = 00, B = 11, C = 01, D = 10

X
S\

A,0

C,0

D, 1

A,0
A,0

D,0

B,1
D,0
NS, Z

Solucin P10.

a) Dada la asignacin y la tabla de estados, se obtiene la tabla' de transicin/salida sin


ms que sustituir los estados por los cdigos que se han asignado . De esta tabla ya se pueden
obtener las ecuaciones de salida . Adems, de la tabla de transicin junto a la de excitacin del
biestable JK, se pasa a la de excitacin del circuito . De esta tabla obtenemos la ecuaciones de
excitacin :
X

J 1 =X

X
1

q1 9\ 0

A 00

00

11

B 01

00

10, 1

C 11

00

D 10

10

01,1
10

Q 1 Q2, Z

Tabla de transicin/salida

q -3Q

JK

q 1 q2

0-*0
0-31
1 ->0
1 --3 1

01-1
-0

00

0
0-,0_

01

0-, -1

11

-1, -1
-0,0_

10
Tabla de excitacin
del biestable JK

K1 =q 2

1-, 1-

J2 = Xq1

1-, -1
-I,-0
-0,0_

K2 = Xq1

J 1 K 1 , J2 K2

Tabla de excitacin

Z = Xq2
Ecuaciones de
excitacin y de
salida

El circuito queda como se muestra :

2
q

K
D

Ck
1 Por simplicidad, en las distintas tablas slo pondremos los mintrminos de las salidas (celdas con
Z= 1) .

212

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

b) Para la segunda asignacin, las tablas de transicin/salida y de excitacin y las ecuaciones de excitacin y de salida son las siguientes :
X

91 \q

00

00

01

01

00

1 1, 1

11

00

10,1

10

10

10

J1 = X92

q 1 92

00

0-,0_

0-, 0-

01

0-, -1

J-1_,_0

K1 = X q2

q-*Q

JK

0 -* 0

0-

0-41

1-

1 -~ 0

-1

11

-1, -1

-0 , _1

K2 = X+q1

1 -* 1

-0

10

-0,0_

-0,0_

Z = X92

Q I Q2, Z

J2 = X91

J1 K1 , J2 K2

Problema 11 .- Obtenga una buena asignacin para las siguientes tablas de estado :
Tabla a :

Tabla b :
0

A, 1

C
D

X
0

D, 1

A, 1

C
NS, Z

NS, Z

Solucin Pll .- Para obtener "una buena" asignacin basta con aplicar las reglas de adyacencias . Estas reglas son las siguientes :
1 .- Hacer adyacentes los estados cuyos prximos estados sean iguales para cada valor
de entrada .
2 .- Hacer adyacentes los estados cuyos prximos estados sean los mismos aunque en diferentes valores de entrada, siempre que esos prximos estados tambin se hagan adyacentes .
3 .- Hacer adyacentes los estados cuyos prximos estados sean los mismos para algn
valor de entrada .
4 .- Hacer adyacentes los prximos estados de cada estado .
5 .- Hacer adyacentes los estados que tengan los mismos valores de salida .
Aplicando las reglas a las tablas de estado obtenemos lo siguiente :
REGLAS
1
2
3
4
5

Tabla a
(A,B)
-(A,C),(A,D), (B,C), (B,D),

Tabla b
(A,B)
(C,D) si (A,C)
--

(C, D)
2x(A,D), (B,D), (C,D)
(B,C) (B,D) (C,D)

2x(B,D), 2x(A,C)
(B,D)

DISEO DE CIRCUITOS SECUENCIALES

213

Una vez aplicadas las reglas, se forma un mapa de Karnaugh en el que las variables son
las variables de estado necesarias para la asignacin . En este caso, en ambas tablas se necesitan
dos variables de estado y l e Y 2 . En este K-mapa, asignamos a cada estado un cdigo tratando,
por prueba y error, de cumplir el mximo nmero posible de las reglas de adyacencia :
Tabla a

Tabla b

Reglas que cumple :


1.
2.
3.
4.
5.

Reglas que cumple :


1.
2.
3.
4.
5.

1 (Todas)
-- (Todas)
3 (de 5)
3 (de 4)
3 (Todas)

1
1
-4
1

(Todas)
(Todas)
(Todas)
(Todas)
(Todas)

Problema 12.- Un sistema recibe secuencialmente datos de 1 bit a travs de su entrada X .


Disee un circuito que d salida Z=1 cuando se haya recibido X=1 durante tres o ms intervalos de reloj consecutivos. D dos diseos alternativos : a) como autmata de Moore ;
b) como autmata de Mealy. Discuta ventajas e inconvenientes de ambos diseos .
Solucin P12 .- Un ejemplo del comportamiento que describe el enunciado es el siguiente :
X : 011001011101111110
Z : 000000000100011110
De este comportamiento se obtienen los diagramas de estado, siguiendo el modelo de
Moore o el modelo de Mealy :
0

s
CO

B,0

00
-

OLAM
SIGNIFICADO DE CADA ESTADO

Mealy

A : no se ha recibido ningn 1 .
B : se ha recibido el primer 1 .
C : se ha recibido el segundo 1 . (En Mquina de Mealy, si X=1, es el tercer 1 y Z=1 .
En mquina de Moore, si X=1 se pasa a un nuevo estado D .)
D (exclusivo de la mquina de Moore) : se ha recibido el tercer 1 ; Z=1
Para observar las diferencias entre las mquinas de Moore y de Mealy, en la figura
siguiente se muestra un diagrama de tiempo donde se observa la secuencia de estados y de
salida de cada tipo de mquina para una misma secuencia de entrada .

214

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Ck
X
ZMoore A
ZMeaJy

C A

A! B

A B C

A': B : A B

A' A B A

C D

B C

A 13 C D D D D

C A 1 13

C C C C

A A A

C A

Las caractersticas de cada tipo de mquina son la siguientes :


Z=1 durante perodos de reloj completos .
Moore :
Z=1 despus de detectar la llegada de 3 unos .
Posee ms estados que la mquina de Mealy .
Mealy :
Z=1 despus de detectar 2 unos y siempre que X siga siendo 1 .
Posee menos estados que la mquina de Moore .
Se pueden presentar algunas diferencias temporales entre ambas salidas si las entradas
cambian en instantes arbitrarios, como los que se muestran a continuacin :
Ck _
X
ZMoore


ZMealy A! A

A A
A

La mquina de Moore da salida 1 durante 1 ciclo tanto


si X permanece en 1 durante poco ms de 2 ciclos
(siempre que X = 1 en 3 flancos activos) como si X
prcticamente permanece en 1 durante 3 ciclos . La
mquina de Mealy puede dar Z = 1 durante intervalos
arbitrarios de tiempo, segn cundo cambia X en
relacin a Ck .

El resto del proceso de sntesis es el siguiente :


1) Reduccin de las tablas de estado : en este caso son irreducibles .
2) Asignacin de cdigos : como son mquinas de 3 4 estados se utiliza el mtodo
exhaustivo :
ESTADOS
A
B
C
(D)

ASIGNACIONES DE COSTE DISTINTO


1
II
111
00
00
00
01
01
11
10
11
01
11
10
10

3) Obtenencin de las ecuaciones mnimas de excitacin y de salida : se aplica el procedimiento de diseo mnimo para funciones combinacionales .
Aplicando este proceso a la solucin como mquina de Moore :

215

DISEO DE CIRCUITOS SECUENCIALES

filo
" : 3,D

Tabla de estados

una
Tabla de reduccin

NS
ASIGNACIN I
X

ASIGNACIN III

ASIGNACIN II
X

91 90

91 90

q1

A=0 0

00

01

A=0 0

00

01

B=0 1

00

10

B=01

00

11

D=1 1

00

11

C=11

00

10

C=1 0

00

11

D=1 0

00

10

Q1Q0= D1D0

A=0 0

00

11

C=0 1

00

10

B=1 1

00

01

D=1 0

00

10

90

Q1Q0=D1D0

QIQ0=D1 D 0

Las ecuaciones de excitacin y salida para cada asignacin se muestran a continuacin :

D I = Xq 1 +Xq0

D I = Xq 1 +Xq0
L

II :

IDO = Xq 1 + Xqo
Z =

Do = Xq 1
Z =

g1g0

D I = Xq 1 +Xqo
III:

Do = X (q1 G
) q0)
Z =

g1g0

g1g0

La solucin de menor coste es la segunda (II) y el circuito el siguiente :

D
1

Problema 13 .- Disee un chequeador de paridad para caracteres de 4 bits enviados en serie .


El circuito recibir, partiendo de un estado inicial, 4 bits en serie por una lnea de entrada, X ;
coincidiendo con el cuarto bit, la salida del circuito ser 1, si y slo si el nmero total de unos
recibidos ha sido par . Tras la recepcin del cuarto bit, el circuito volver a aceptar en la entrada un nuevo carcter de 4 bits . Utilice en el diseo biestables D .

216

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P13 .- Del enunciado se puede concluir lo siguiente :


1 .- La secuencia viene en grupos de 4 bits, por lo que la mquina debe reconocer si un
valor de X corresponde al primer, segundo, tercer o cuarto bit . Esto es, no hay solapamiento .
2 .- Se trata de una mquina de Mealy, ya que la salida se hace 1 "coincidiendo" con el
cuarto bit .
3 .- Existe un estado inicial (R) . El estado R es aqul en que se encuentra la mquina
cuando el valor presente en X es el del primer bit de la secuencia .
Puesto .que debemos detectar la paridad par de la secuencia, los estados de la mquina
deben guardar informacin del nmero de 1's que van, y del lugar que ocupa el bit en la secuencia . Por tanto, el diagrama de estado queda como se muestra :
NMERO DE UNOS
PAR

Orden del bit que se espera


10

IMPAR

0/0
1/1
W-

30

40

El resto del proceso de sntesis es el siguiente :


1 - Reduccin de la tabla de estados :

R,1

R,1

Ma A
B

NS, Z

1ai-i.o"

C
D

VIER
V
Tabla de reduccin
Fase final : es irreducible .

DISEO DE CIRCUITOS SECUENCIALES

217

2 .- Asignacin . Construccin de la tabla de transicin/salida .


REGLAS Tabla
1
(E,F)
2
(A,B) si (C,D), (C,D) si
(E,F)
3
4
(A,B), 2(C,D), 2(E,F)
5
(R,A,B,C,D)

Y2 , Y ,YO

A=000

010

011

B=001

011

010

D=011

111

110

C=010

110

111

E=110

100,1

100

F=111

100

100,1

000

001

Reglas de adyacencia

101

ama

R=100

La asignacin cumple
todas las reglas menos
3 de la regla 5

Y2Y l Y o, Z
Tabla de transicin

3 .- Ecuaciones de excitacin/salida. Se utilizan biestables D que cumplen : D ; = Y 1 . De


esta forma la tabla de transicin/salida coincide con la tabla de excitacin . De esta :
D2 = Y i
Di = Y2
Do = x Y2Yo + xy2yo + xy i yo
Z=

Y2Ytyo + Y2Yo

El diagrama de circuito se obtiene directamente de las ecuaciones de excitacin y salida .

Problema 14.- Se pretende disear un circuito secuencia) sncrono con una entrada X y dos
salidas Y, Z que cumpla la siguiente tabla de estados/salida :
X
0

Eo

E0 ,00

13 1 ,00

El

E2,00

E 1 ,01

E2

E2,10

E3 ,10

E3

E0,10

E3 ,11

NS, Y,Z
Utilizando el diagrama de bloques de la figura :
a) Calcule el nmero de biestables tipo D que se necesitan .
b) D el tamao y contenido de la ROM.

218

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Y
Z

Solucin P14.- Es una tabla con cuatro estados, todos incompatibles, por lo que es irreducible .
Se necesitan dos variables de estado . Por lo tanto, siguiendo el esquema de la figura del enunciado se necesitan dos biestables D .
El tamao de la ROM depende del nmero de entradas y salidas . Como entradas de direccin se tienen : X, entrada de datos, y q l y q0 variables de estado (salidas de los biestables
tipo D) . Como salidas se tienen : Y y Z, salidas del circuito, y D I y D0 entradas a los biestables .
Por tanto se necesita una ROM de 8x4 (8 palabras de 4 bits cada una) .
Utilizando un asignamiento cualquiera, se obtiene la tabla de transicin/salida y de ella
el contenido de la ROM :

X ql q 0 Do D 1 Y Z
X
q 1 qo

E o =00

00,00

01,00

E l =01

10,00

01,01

E2= 10

10,10

11,10

E3= 11

00,10

11,11

Q 1 Qo=D 1 Do , Y Z
Tabla de transicin/salidas

0 0 0
0 0 1
010
011
100
1 0 1
110
111

0
0
0
0
1
1
1
1

0
1
1
0
0
0
1
1

0
0
1
1
0
0
1
1

0
0
0
0
0
1
0
1

A2A 1 Ao H 3 H2 H 1 Ho
Contenido de la ROM

X > A2
H
A ROM
1
1
8x4 H 2
Ao
H

> Z
> Y

q0

D
q1

Ck
Circuito final

Problema 15.- Se desean obtener 4 seales Z 1 , Z2, Z3, Z4 a partir de una seal de reloj Ck
disponible en un determinado sistema . Realice el circuito correspondiente utilizando exclusivamente: 2 biestables JK, un DEC 2 :4 y 4 puertas AND.
Ck
Z1
Z2
Z3
Z4

DISEO DE CIRCUITOS SECUENCIALES

219

Solucin P15 .- En el diagrama temporal observamos dos hechos :


1 .- Cada 4 ciclos de reloj se repiten las seales . De aqu que el sistema tenga 4 estados
(llammosles A, B, C y D), cuya secuencia es :

Se trata de un contador mdulo 4 .


2 .- Cada salida se hace 1 durante un semiperiodo de reloj, concretamente con Ck = 1 .
As, si llamamos Z a, Zb, Zc y Z d a una seal que se hace 1 cuando estamos en el estado A, B,
C y D, respectivamente, se cumplir :
Z, = ZCk

Z2 = Z,,Ck

z3

= Z,.Ck

Z4 - Zd Ck

En consecuencia, aplicando el proceso sistemtico de diseo de circuitos secuenciales :


Za Zb Z, Zd
1 0 0 0
0 1 0 0
0 0 1 0
0 0 0 1

Tabla de estados
(es irreducible)
Con Z1 , Z2 , Z3 Y Z4
tomando el valor
indicado en el punto 2 .

NS
Utilizando la asignacin habitual en los contadores (asignar cdigos consecutivos a estados consecutivos) obtenemos la siguiente tabla de transicin :

q q0\ Za Zb Zc Zd
A=0 0 01 1 0 0 0
B=0 1 10 0 1 0 0
C=1 0 11
D=1 1 00

0 0 1 0
0 0 0 1

QiQo
Tabla de transicin/salida

q 1 qo\
A=0 0 0-, 1B=0 1 1-, -1
D=1 1 -1, -1
C=1 0 -0,1_

J O =Ko = 1
J,=K,=q0

J 1 K 1, JOKO
Tabla de excitacin

Ecuaciones de excitacin

Las funciones Za , Z b, Z c y Zd se obtienen como las salidas de un decodificador 2 :4 con


salidas activas en nivel alto, cuyas entradas son q 1 y q0 . El circuito es el siguiente :

220

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

1
0
K

1
K

DEC
2 :4
2
Z4

Ck

PROBLEMAS CON SOLUCIN RESUMIDA

Problema 16 .- Construya el diagrama de transicin de estados simplificado de un autmata


de Mealy con dos entradas X, Y y una salida Z que cumpla las siguientes caractersticas :
a) cuando Xpasa de 1 a 0, Z=1 .
b) cuando Y pasa de 1 a 0, Z=O.
c) en otro caso Z no cambia de valor .
X e Y no pueden valer simultneamente 1 . De un ciclo al siguiente, slo puede cambiar
una variable de entrada, no las dos a la vez.

Solucin P16.- El diagrama de estados es el siguiente :


XY/Z :
00/1
10/1

1~9
01/1

0/0
01/1

SIGNIFICADO DE CADA ESTADO


A : El ltimo flanco negativo en llegar ha sido en X
(Z=1) y con las entradas actuales es imposible que
Y cambie de 1 a 0, porque Y=0
B : Recoge que Y ha subido : cuando vuelva a bajar,
habr cambio en Z .
C : El ltimo flanco negativo ha sido el de Y (Z=0) .
Similar al estado A pero para Z -O .
D : Similar a B, pero para el caso de que X suba, siendo
Z=O .

Problema 17.- Desarrolle un diagrama de estados para un circuito de Moore que genere salida Z= 1, durante un ciclo de reloj, cuando a la lnea de entrada X se han suministrado exactamente tres "1 " durante los tres intervalos precedentes del reloj . Si durante cuatro o ms ciclos del reloj hubiese "1 ", la salida ser Z = 0.

DISEO DE CIRCUITOS SECUENCIALES

221

Solucin P17 .- El diagrama de estados es el siguiente :

SIGNIFICADO DE CADA ESTADO


A : No se ha recibido ningn 1 .
A,0

B : Recibido el primer 1 .
C : Recibido el segundo 1 .
D : Recibido el tercer 1 .
E : Recibido el cuarto o ms 1 .

Problema 18.- Disee un circuito secuencia) sncrono con una entrada de datos X, que produzca salida "1 " durante un ciclo de reloj cuando la secuencia de los tres ltimos valores de
la entrada sean : 111, 110 000.
Solucin P18 .- Realizando el circuito mediante el modelo de mquina de Moore, la tabla de
estados queda de la siguiente manera :
SIGNIFICADO DE CADA ESTADO
Estado

so

ltimos 3 bits recibidos

SI

000
001

S2
S3

010
0 1 1

S4

100

S5

101

S6

110

S7

111

NS
A partir de la tabla de estados se contina el proceso de diseo normal : reduccin de
estados (son equivalentes S y S 5 ) ; asignacin ; eleccin de biestable ; ecuaciones de excitacin .

Problema 19.- Disee un autmata de Mealy que detecte la secuencia 1, 0, 0, 1, 0 ; esto es,
el circuito debe tener una nica entrada X y una nica salida Z En los intervalos de reloj en
los que X=0, la salida ser Z= 1 si en los cuatro intervalos de reloj precedentes la entrada ha
sido 1, 0, 0, 1 .

222

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P19 .- El diagrama de estados es el siguiente :


1/0

SIGNIFICADO DE CADA ESTADO


Estado
A

1/0

1/0

Recibido
1
10
100
1001
Nada de la secuencia

Problema 20.- Disee un circuito secuencial sncrono que reciba una entrada X y produzca
una salida Z=1 despus de que haya recibido las secuencias de entrada 0, 0, 1 1, 0, 0 .
Comience el diseo por un estado de reset.
Solucin P20 .- Es una mquina de Moore y hay solapamiento en la secuencia . El diagrama de
estados queda de la siguiente manera :
SIGNIFICADO DE CADA ESTADO
Estado
R
A
B
C

Recibido
No se ha recibido nada
Primer 0 de la secuencia 0 0 1
Primer 1 de la secuencia 1 0 0
Segundo 0 de la secuencia 0 0 1
Recibido 0, 0, 1
Recibido 1, 0
Recibido 1, 0, 0

A partir del diagrama de estados se continua el proceso normal de diseo .

Problema 21 .- Disee un autmata de Mealy con dos entradas X, Y y una salida Z cuyo funcionamiento sea el siguiente :
a) si XY = 00, entonces Z = 0 .
b) si XY = 11, despus de que las entradas hayan sido durante dos ciclos de reloj
XY= 01, entonces Z= 1 .
En el resto de los casos se mantiene la salida .
Nota : en cada ciclo slo puede cambiar una variable de entrada, no las dos a la vez .

DISEO DE CIRCUITOS SECUENCIALES

Solucin P21 .-

223

El diagrama de estados reducido queda de la siguiente manera :


11/0
00/0

SIGNIFICADO DE CADA ESTADO


A:

-0/0
1-/0

B:
C:
D:

Estado en el que la salida es 0 y recoge secuencias de entrada distintas de 01, 01, 11 .


Recoge el primer valor de la secuencia que
genera Z = 1 .
Recoge el segundo valor.
Se alcanza tras recibirse la secuencia que
genera Z = 1 .

Problema 22.- Se desea disear un autmata de Mealy con dos entradas (X1 ,X2) y una salida Z, que obedezca al siguiente comportamiento :
1) En ningn caso ambas entradas pueden estar a 1 simultneamente .
2) La salida Z alcanzar el valor 1 si y slo si aparecen dos unos consecutivos en la
misma lnea de entrada, pasando a dicho valor cuando se detecte el segundo 1 .
Solucin P22 .- El diagrama de estados queda de la siguiente manera :

00/0
SIGNIFICADO DE CADA ESTADO
A:

00/0
10/1

01/1

B:
C:

Estado que indica que el ltimo valor recibido es 00.


Se recibe 1 en la variable Y .
Se recibe 1 en la variable X .

10/0

Problema 23.- Por una lnea se envan (bit a bit) grupos de cuatro bits . Obtenga el diagrama
de estados de un circuito secuencial sncrono de Mealy que produzca una salida Z = 1 cuando
detecte las secuencias de entradas 1100 0011 . Comience por un estado de reset.
Solucin P23 .- El enunciado dice que la mquina es de Mealy . El diagrama de estados queda
de la siguiente manera :

224

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Orden del bit que se espera


1
1/0
20

/0

0/0

-/0

1/0
0/1

0/0

1/0

0/0

/0

-/0
y
4
A, C, D - Estados que detectan la secuencia 0 0 1
B, E, F - Estados que detectan la secuencia 1 1 0

Problema 24 .- Reduzca las mquinas cuyas tablas son las de la figura . Se trata de mquinas de Mealy o de Moore?
0

si

SI

S2

si

S5
S5

S3

S2

S6

S4

S2

S6

S5

S3

S7,1

S6

S3

S7,1

S7

S4

S 8 ,1

S8

S4

S8,1

NS, Z

NS, Z

Solucin P24.-Las dos son mquinas de Mealy . Tras el proceso de reduccin las tablas resultantes son :

Si S2 , S3 , S4 S5, S 6 , S7, S s
NS, Z
NS, Z

DISEO DE CIRCUITOS SECUENCIALES 225

Problema 25.- Obtenga una buena asignacin para la siguiente tabla de estados . .

NS, Z
Solucin P25 .-La tabla no puede reducirse . Aplicando las reglas de adyacencia se obtiene :
REGLAS
1
2
3

Tabla
(S4,S6), ( S3,S5)
-(S0,S1),(S0,S2), (S0, S3) , (SO,S4), (S0 , S5), ( SO, S6) , ( S1 , S3) ,

(S1 , S5) , (S2,S4), (S2,S6)


(S1 , S2) , (S2,S3),(S1,S4), 2 x(S2 , S5) , 2x(S1,S6)
(SO,S 1 , S2 , S3 , S4)

4
5

Dos asignaciones posibles para esta tabla son las siguientes :

Tabla 1

aS6 so ma

Reglas que cumple :


1 . 2 (Todas)
2. 3 . 5 (de 10)
4 . 4 (de 5)
5. 3

NS, Z
Tabla 2
Y2Y 1

00

Yo
0

S4

S6

01

11

10
S2

So
NS, Z

Si

Reglas que cumple :


1.
2 (Todas)
2.
3.
4 (de 10)
4.
4 (de 5)
5.
3

226

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 26 .- a) Para la tabla de estados siguiente, determine cul de las tres asignaciones
que se dan es la que cumple mejor las reglas de adyacencias .
b) Para la asignacin nmero 1, realice el circuito utilizando biestables JK y puertas .

ESTADO

ASIGNACIONES (q1 q2)

A
B
C
D

00
01
11
10

00
01
10
II

00
11
01
10

NS
Solucin P26 .
a) Las reglas de adyacencia que debe cumplir la tabla de estado son :
Reglas 1 y 2 : Regla 3 : (A,B), 2x(A,D), (B,C) .
Regla 4 : 2x(A,C), 4x(A,D), (C,D), 2x(A,B), (B,C), (B,D) .
Regla 5 : (A,D), (B,C)
En la siguiente tabla se muestra cuntas reglas cumple cada asignacin :

Asignacin

Adyacencias que cumple

1
2
3

AB, AD, BC, CD


AB, AC, BD, CD
AC, AD, BC, BD

REGLAS QUE CUMPLE


R3
4
1
3

R4
8
6
8

R5
2
2

La asignacin que ms reglas cumple es la nmero 1 .


b) Con la asignacin 1, siguiendo el proceso de diseo (tabla de transicin, tabla de
excitacin, ecuaciones de excitacin) las ecuaciones que se obtienen son :

J 1 = X 2 +X 1

g2

K 1 = X2 +X 1 g 2 +X 1 g 2

J2 -X 2

K2
Z

-q 2

= q2

+X 1 X 2

DISEO DE CIRCUITOS SECUENCIALES

227

Problema 27.- En un analizador lgico se observa el siguiente comportamiento :


Ck

X
9i
q2
Za
Zb

Realice el circuito con biestables T y puertas NAND .

Solucin P27 .- Vamos a obtener directamente la tabla de transicin/salida . Como las variables de estado q 1 q2 cambian con el flanco de bajada de Ck, los biestbles sern tipo flip flop
disparados por el flanco de bajada . En cada ciclo de Ck, estamos viendo el estado presente
(q1 q2) y el valor actual de la entrada X : tambin el de las salidas Z a Zb se ven en ese mismo
ciclo, mientras que el valor del prximo estado se determina viendo cunto valen q 1 y q2 en el
ciclo siguiente . Las tablas de transicin y de salida quedan de la siguiente manera :
X
9 i \q

00

10

1
11

01

11

10

11
10

01
00

01
01

91 92

00

10

00

01

01

11

11

00

10

11

10
11

Q1 Q2

ZaZb
Tabla de salida

Tabla de transicin

Las ecuaciones de salida y excitacin son las siguientes:


T, = 1

Za = Xq0+g1g2+Xq2

To = Xq 1 +Xq 2

Zn = g1g2 + g2g1

Captulo 9
SUBSISTEMAS SECUENCIALES

Las operaciones secuenciales ms comunes estn en circuitos integrados con una complejidad
superior a la del biestable . As podemos encontrar contadores de n bits que incrementan o
decrementan su contenido, adems de otras operaciones ; registros, como elementos
almacenadores de palabras de n bits ; PLD secuenciales que bsicamente son PAL y PLA que
incluyen algunos biestables y que permiten programar funciones secuenciales ; etc. En este
Captulo se estudiarn, fundamentalemente, los contadores y los registros, ya que la tcnica de
anlisis y de diseo con PLD es la de circuitos secuenciales genricos .
CONTADORES
Los contadores son circuitos que tienen la propiedad de incrementar su contenido
(ascendentes), decrementarlo (descendentes) o ambas (reversibles) . Un contador mdulo K
cuenta K valores de forma cclica, normalmente entre 0 y el K-1 (p .ej ., si es ascendente, del 0
pasa al 1, del 1 al 2, y as hasta el K-1, a partir del cual se pasa nuevamente al 0, etc) . Adems,
estos dispositivos pueden tener operaciones que permitan cargar un estado inicial de cuenta
,(carga o load) y restablecer el estado inicial de cuenta, ya sea el cero para contadores
ascendentes (clear) o todos los bits a 1 (estado 2"-1) para los descendentes (preset) . En cuanto
a las salidas, adems de las que indican el estado de cuenta, se incorporan las que avisan que
se ha alcanzado el estado de cuenta final : todo 1 para los ascendentes y 0 para los descendentes .
Existe gran diversidad de contadores dependiendo del tipo de operaciones que realizan y del
tamao del contador . El tamao se especifica por el mdulo (p .ej . : mdulo 10) o por el nmero
de bits en caso de mdulos 2" . Por ejemplo, en la siguiente figura se muestra el esquema de un
contador sncrono ascendente de mdulo 8 (3 bits) con las operaciones de cuenta arriba, carga,
puesta a 0 e inhibicin.

229

230

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Up

111
Up

Up Cl Ld

Operacin
TC=Terminal Count

D D D

CI

Clear

Ld

Load

TC

q2 q1 qo

clk

000
100
x10
xxl

TC=1 si q2 q 1 q o =111
TC=O en otros casos

Inhibicin
Cuenta
Puesta a cero
Carga dato

En la siguiente figura aparece la secuencia de salidas de un contador mdulo 8 ascendente . Como se observa, el periodo de las seales de salida va duplicndose, lo que motiva que
a los contadores se les denomine, tambin, divisores de frecuencia .
clk
q0
q1
q2

[cont]

O~

^.O

DISEO DE CONTADORES
Hay dos formas de realizar contadores : 1) los de rizado (ripple-counter) o contadores asncronos ; y 2) los sncronos . En los contadores de rizado la salida de cada biestable se utiliza
como seal de reloj del siguiente . El contador tiene bajo coste, pero debido al diferente instante
de tiempo en que cambia cada biestable, a veces presenta estados incorrectos transitorios .

clk
En la siguiente figura se muestra una estructura alternativa, la del contador sncrono . En
ella, todos los biestables tienen la misma seal de reloj por lo que no presentan estados
incorrectos .
L
1

clk

SUBSISTEMAS SECUENCIALES

231

Las entradas de control de los biestables, descritas con anterioridad (clear, carga o
inhibicin), pueden tener dos modos de operacin, sncrono o asncrono, en funcin de si para
su ejecucin esperan o no la llegada de un flanco de reloj . En la siguiente figura se representa
la estructura interna de un contador sncrono ascendente de mdulo 4, con operacin de clear
asncrono y la respuesta temporal para una secuencia de entrada de control . En ella se observa
que, inmediatamente despus de que se activa clear, el contador se pone en el estado de
cuenta 0, sin esperar la llegada de un flanco activo de reloj .

Up/Clear
q 1 q0

n
Q

clk

Operacin

0
1

Puesta a cero
Cuenta arriba
clk

clk
X
[cont]
En la siguiente figura se muestra la estructura de un contador de similares caractersticas
que el anterior pero con un clear en modo sncrono . Como se observa en las formas de onda,
el contador se pone a 0 cuando, tras estar activa la orden de borrado, recibe el flanco activo en
la entrada de reloj .

To q 0

q1- .

clk
clk
X
[cont]

REGISTROS
Los registros son circuitos capaces de almacenar palabras de n bits . Existen dos operaciones
bsicas :
- Escritura (write) o carga (load) en paralelo, mediante la que los n bits del dato
son almacenados a la vez, introducindose por n entradas In-, -10 .
- Desplazamiento (shift), mediante la que los n bits del dato son almacenados en

232

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

serie, uno a uno . Esta operacin puede ser a derecha (shift right) introducindose el dato por
una entrada Rin, o a izquierda (shift left) entrando el dato por la entrada L i ,, . En la siguiente
figura se muestra el esquema y estructra interna de un registro universal de 4 bits, llamado as
porque incluye todos los modos de escritura posibles .
13
c2 c l Co
Operacin
0
0
0
0
1

0 0
0 1
1 1
1 0
-

SHL
SHR
LOAD
INH
CLEAR

Rin

Lin

c2
C1

co
clk

SOlg3

q2

q0

SOr

Para el diseo de registros hay que tener en cuenta el modo de funcionamiento asncrono
o sncrono que pueden tener algunas entradas de control . En la siguiente figura se muestra la
estructura de una celda genrica para el registro de la figura anterior, donde se ha supuesto que
todas las operaciones, salvo la de borrar, clear, son sncronas .

(L ;,, si i = o)
(Rin si i = 3)

q ;-1 q ;+,

DISEO DE FUNCIONES CON SUBSISTEMAS SECUENCIALES


Los contadores y registros pueden usarse, adems de para sus propias tareas especficas, en la
realizacin de mquinas secuenciales cualesquiera . La forma ms inmediata es usar subsistemas con carga en paralelo para almacenar el estado presente mientras que el circuito combinacional genera y sita el prximo estado en las entradas de carga en paralelo ; as, el subsistema
sustituye a los biestables en el esquema general de circuito secuencial . Adems, podemos citar
otras dos aplicaciones :
- Los registros de desplazamiento se usan para generar secuencias cclicas . Para ello, el
registro es cargado a un valor inicial ; con este valor se determina qu bit hay que introducir en
el siguiente desplazamiento para aportar otro bit de la secuencia, y as sucesivamente .
- Los contadores (p .ej . los ascendentes) implementan los cambios de estado con la funcin de cuenta siempre que esos estados tengan asignados cdigos de estado ascendente . Tambin incorporan la funcionalidad de "pasar al estado de cdigo 0" (mediante la accin de
clear), de "permanecer en el estado actual" (accin de inhibicin), etc . Si se puede realizar un
circuito combinacional que genere las seales de entrada del contador (control y datos)
adecuadas, el contador podr ser el dispositivo de memoria de ese circuito secuencial .

SUBSISTEMAS SECUENCIALES

233

ndice del Captulo


Este Captulo desarrolla problemas de las siguientes materias :
- Anlisis de circuitos con contadores y registros .
- Diseo de subsistemas secuenciales : contadores y registros .
- Diseo de funciones secuenciales con subsistemas .

PROBLEMAS RESUELTOS
Problema 1 .- Determine la secuencia de salida del contador mdulo-5 de la figura en funcin
de la evolucin de las entradas x, y.

Y
n

sll-

Contador
mdulo-5

xy
00
01
10
11

operacin
up
down
clear asncrono
inhibicin

clk
11 +-]
l x

ln
n n
~I ~l fi~ l ~

~Fl

n,

Solucin Pl .- Sea S o el intervalo de tiempo comprendido entre t = 0 y el primer flanco


ascendente de reloj (ver siguiente figura), S I el intervalo comprendido entre el primer flanco
ascendente y el segundo, y as sucesivamente . En el intervalo S o tenemos dos combinaciones
de entrada, (xy = 10 y 00) . Para la primera, se produce un clear asncrono que provoca que las
salidas del contador se pongan a 0 . De este modo, y durante el resto del intervalo, el contador
estar a 0 puesto que la siguiente combinacin, xy = 00, es sncrona y depende del flanco de
reloj para su ejecucin .
Para el ciclo S I se ha producido un incremento del estado de cuenta, causado por las
seales de control x e y en el instante en que se gener el primer flanco ascendente . Durante
este ciclo las entradas se mantienen a 0 lgico, por tanto la operacin seleccionada es la cuenta
ascendente .
El valor de cuenta en el ciclo S 2 es el 2 . En l se producen transiciones en las entradas
x e y que se encuentran a 1 lgico al final del ciclo . Esto provoca una inhibicin para el
siguiente ciclo .
Para el ciclo S 3 el estado de cuenta es el 2, y se produce una transicin en la entrada x,
lo que provoca que se seleccione la operacin de cuenta descendente .
En el ciclo S4 se produce el decremento, por lo que el estado de cuenta actual es el 1 .
Durante este ciclo y los dos siguientes, S 5 y S 6 , las entradas se mantienen con el mismo valor,
lo que provoca el decremento del estado de cuenta en estos ciclos y, a su vez, en el S 7 .
En el ciclo S 7 se produce un clear asncrono que pone el contador a 0 . Esta situacin se
prolonga hasta el final del ciclo S 8 .
Por ltimo, en el ciclo S 9, se produce una situacin de incremento del contador . Esto es
debido a que las entradas al final del ciclo anterior estaban a 0 lgico .

234

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

clk
x
y
[CONTI 0

Problema 2.- Analice el circuito de la siguiente figura, considerando que la operacin de clear
es sncrona .

CONT[3]

Up/Clear
q2 q 1 q0

clk

Solucin P2 .-El contador slo tiene dos modos de operacin : cuenta ascendente, Up/Clear=l,
y puesta a cero sncrona, Up/Clear=0 . Como se observa en la siguiente figura, la operacin de
puesta a cero se activa para los valores de cuenta 6 y 7 . Para el resto de los estados tenemos la
operacin de cuenta ascendente . Se trata de un contador mdulo 7 sin bloqueo .

91

11

1 1

1'

Problema 3.- Disee un contador mdulo 4 que tenga las siguientes caractersticas :
Ser sncrono y disparado por flanco de subida .
Ser puesto a 0 de manera asncrona .
c) Inhibirse de la cuenta, manteniendo el estado almacenado .
Contar hacia arriba.
Contar hacia abajo .
f) Cargar datos en paralelo .
Solucin P3 .- Al ser un contador de mdulo 4, slo necesitaremos para su realizacin dos biestables . Estos debern ser disparados por flanco ascendente, tener entrada asncrona de clear

SUBSISTEMAS SECUENCIALES

235

y disponer de una seal de reloj comn . Vamos a plantear a continuacin una posible solucin .
Supongamos que la carga en paralelo y la inhibicin son operaciones sncronas ; esto
hace un total de 4 operaciones sncronas (contando la cuenta ascendente y descendente) . Para
no tener demasiadas lneas de control, es conveniente codificar las operaciones, por lo que tres
lneas sern suficientes (2 para las operaciones sncronas y 1 para la asncrona) . Una posible
codificacin es la mostrada en la siguiente tabla :
c2 cl co

Operacin

0
0
0
0
1

Up
Down
Load
Inh
Clear

0 0
0 1
1 1
1 0
- -

Esta codificacin permite utilizar c 2, directamente, como lnea de activacin de las


entradas de clear de los biestables, reduciendo as el circuito de decodificacin . Para cada una
de las operaciones restantes habr que determinar las expresiones de entrada de cada uno de
los biestables, para que se genere el funcionamiento global especificado .
Si clcp = 00, tenemos cuenta ascendente y, si los biestables son de tipo T, las entradas
sern :
To = 1
TI = q0

Si clcp = 01, tenemos cuenta descendente, por tanto las entradas sern :
To = 1
Ti = qo
Si c1cp=10, tenemos inhibicin . Los biestables no deben cambiar de estado, por lo que
sus entradas deben ser 0 :
To =O
T, = 0
Si c 1 cp=11, tenemos la carga y para determinar las entradas de los biestables T i (i =0,1)
nos basamos en la siguiente estructura, siendo D i el dato a cargar en cada biestable .
Di

Di

236

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Si el dato a cargar coincide con el estado del biestable, ste no debe cambiar de valor,
por lo que su entrada ser 0 . En el caso en que difieran, la entrada ser 1 .
To = q0 e Do
T 1 = q 1 ,913 1
La solucin, para la parte sncrona, ser la unin de las entradas de los biestables para
cada seal de control :
To =

l .c 1 .c o +1 .c 1

.c o +0 .c 1

.co +(g 0 eD 0 )

c1 co

T1 = qo c1 co+go c 1 - c o +0 c 1 c o + (q 1 eD 1 )c 1 * c o
El circuito resultante es :

q0 - 0
q0 - 1

0 - 2
3

Doqo -

C1

1-0
1- 1
0 - 2

D 1 -1

31 0
II
c 1 CO

Cl
q
T1

10
II
1, 1
CO

q1

clk

Problema 4.- Disee un contador mdulo-60 (0-59) utilizando dos contadores, uno de los
cuales es mdulo 10. Realice el segundo contador con biestables JK y puertas lgicas .
Solucin P4.- El contador que tenemos que disear con biestables JK debe ser de mdulo 6 e
incrementarse cada vez que el contador de mdulo 10 alcance su ltimo estado de cuenta .

carry (Cy). Daremos dos


carry del contador de mdulo 10 se utiliza como

Vamos a suponer que el contador de mdulo 10 dispone de seal de


soluciones al problema . En la primera, el
seal de

up del segundo contador


Cy
mod . 10

clk

up
mod . 6

En esta solucin no influye el tipo de flanco que se escoja para los contadores, eso s,
los dos deben ser iguales .
En la segunda solucin, la seal de

carry del primero se emplea como reloj del segundo .

Aqu, s es necesario, para asegurar que los contadores cambien al mismo tiempo que el flanco
de disparo sea de bajada .

SUBSISTEMAS SECUENCIALES

Cy

2 37

mod . 10

mod . 6

clk

En cualquier caso, nuestro problema ahora se reduce a obtener el contador de mdulo 6


con biestables JK . El diagrama de estados para el contador de la primera solucin es :
0
0
0
0
0

1
Para la segunda solucin, el diagrama de estados es an ms simple, ya que desaparece
la dependencia con la seal de entrada (siempre est cambiando de estado) .
111

11

1 1

11

e
0

Obviaremos los pasos para la obtencin del circuito secuencia, puesto que ya existe un
Captulo entero dedicado a este propsito .
Problema 5.- Se dispone de un contador mod-16 con las siguientes seales de control :
CUENTA, CARGA y CLEAR .
a) Si CUENTA = 1 y CARGA = 0, el contador cuenta hacia arriba .
b) Si CARGA = 1, el contador se carga con datos en paralelo .
c) Tiene tambin salida de CARRY.
Construya, utilizando como dispositivo bsico dicho contador1 . - Un contador md. 6 que cuente de 0 a 5.
2.- Un contador md. 6 que cuente de 10 a 15.
3.- Un contador md. 6 que cuente de 4 a 9.
4 .- Un contador que cuente de 0 a 34 .

Solucin P5 .- A partir de las especificaciones del enunciado y deduciendo que si no est activa
ninguna de las 3 seales de control existe una inhibicin, obtenemos la siguiente tabla de
operacin :

238

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

CARGA CUENTA CLEAR

Operacin
Carga

Cuenta

Clear

Inhibicin

Suponemos que todas las operaciones son sncronas .


1 .- Para realizar un contador de 0-5 utilizando este dispositivo debemos interrumpir la
secuencia normal de funcionamiento del mismo, forzndolo a que pasado el estado 5 vuelva
al estado inicial, el 0 .
Para ello tenemos dos posibilidades : la primera, generar un clear ; la segunda, una carga
en paralelo donde, previamente, las lneas de carga se hayan puesto a valor lgico 0 . El modo
ms natural, en este caso, sera el utilizar la seal de clear.
Las operaciones a realizar con este contador son dos : cuenta y clear ; para lo que las
seales de control debern ser (CARGA, CUENTA, CLEAR) = (0, 1, -) y (0, 0, 1)
respectivamente . Esto hace que la seal de CARGA siempre est a 0 y, puesto que CUENTA
es prioritaria, la seal de CLEAR puede estar a 1 . La solucin a este apartado se reduce a
obtener un circuito combinacional que en funcin del estado del contador genere la seal de
CUENTA .
CARGA
CUENTA
CLEAR 3210
clk

Dado que las operaciones son sncronas, deberemos generar la operacin de clear en el
estado 5, para que, cuando se reciba el siguiente flanco de reloj, el prximo estado sea el 0 .

r-W
-1
LWIEW
CUENTA

CUENTA = q 2 q0

SUBSISTEMAS SECUENCIALES

239

Como anexo al apartado, podemos decir que este circuito no sufre situacin de bloqueo,
porque si inicialmente se da un estado fuera del rango, sus lneas de control provocarn una
cuenta ascendente o un reset . Por tanto, siempre se llegar a la secuencia de estados prevista .
2 .- Para disear un contador que cuente de 10 a 15, utilizaremos las operaciones de carga
y cuenta . Las lneas de carga del contador debern tener el nmero 1010 correspondiente al
estado inicial . Las seales de control deben ser : (CARGA, CUENTA, CLEAR) = (1,-,-) para
carga y (0,1,-) para cuenta ascendente . Por tanto, la lnea de CUENTA la dejamos a 1, la de
CLEAR puede tomar cualquier valor y la seal de CARGA la generamos en funcin del estado
de cuenta del contador .

clk

El K-mapa para la funcin carga es :


q3

q2

q0
1
4

00

01

11

10

00
01
11
10
CARGA
CARGA = q 2 - q, - q 0
3 . Este apartado es igual que el anterior, salvo que ahora se activa la seal de CARGA
en el estado de cuenta 9, y el dato a cargar es el 0100 .
CARGA = q 3 - q 0
4. Para este apartado es necesario utilizar al menos dos contadores . La seal de carry
del primer contador la utilizaremos para incrementar al segundo . Asimismo, generamos un
clear cuando el valor del conjunto de las lneas que forman los dos contadores sea 34 o lo que
es equivalente, que las lneas q 1 de los dos contadores sean 1 y el resto 0 . Podemos deducir
directamente la expresin de la seal de clear como :
CLEAR = q q
donde el superndice distingue el contador .

240

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Las operaciones a realizar por el contador dos son : inhibicin, cuenta ascendente y
clear, para lo que las entradas de control (CARGA,CUENTA,CLEAR) deben tomar los
valores (0,0,0), (0,1,-) y (0,0,1) . Para ello CARGA se puede poner a 0, CUENTA se conecta
con la seal de carry del primer contador y clear se activa en el estado de cuenta 34 .
Para el contador CONTI, las operaciones a realizar son : cuenta ascendente y clear, para
lo que las entradas de control deben ser (0,1,-) y (0,0,1) . Para ello podemos dejar CARGA a 0,
CLEAR a 1 y controlamos la entrada de CUENTA, de modo que cuando est a 1, se realizar
cuenta ascendente y cuando est a 0 un clear. La seal de CUENTA la obtendremos
invirtiendo la seal que se activa cuando se alcance el estado de cuenta 34 . En la siguiente
figura se muestra el resultado final :
CARGA - 0
CONT 2 CUENTA
CLEAR
3210
1

Cy
CONT 1

CARGA
CUENTA
CLEAR

0
1

3210
1
clk

Problema 6 .- Disee un registro universal de 4 bits . En particular, debe cumplirlas siguientes


especificaciones :
a) Ser sncrono y disparado por flanco positivo de reloj.
b) Tener entrada de puesta a cero asncrona .
c) Tenerlas cuatro formas de operacin siguientes :
- Inhibicin
- Desplazamiento a la izquierda .
- Desplazamiento a la derecha .
- Carga de datos en paralelo .
Solucin P6.- Utilizaremos 4 biestables tipo D, disparados por flanco de subida y con entrada
asncrona de Cl activa en alto . Todos los biestables van a utilizar la misma seal de reloj . La
codificacin que podemos realizar para las 5 operaciones de control es :
L3

c2 cl co

Operacin

0 0 0
0 0 1
0 1 1
0 1 0
1 xx

SHL
SHR
LOAD
INH
CLEAR

L2

L 1.

Lo

Rin
C2

R[4]

C1
co
SOI

q3

q2

ql

q0

Lin

So r

Supongamos que salvo el CLEAR, el resto de las operaciones son sncronas . Entonces,
para cada biestable D tenemos que :

SUBSISTEMAS SECUENCIALES

241

Si c2c1c0 = 000 (desplazamiento a la izquierda), las entradas son :


Di = qi-1

i = 1, 2,3

Do = Lin
Si c2c1c0 = 001 (desplazamiento a la derecha), las entradas son :
Di = qi .1

i=0,1,2

D 3 = Rin
Si c 2c 1 c 0 = 010 (inhibicin), se conectar la entrada a la salida q del biestable :
D i =qi
Si c2c1c0 = 011 (carga), las entradas correspondern con las lneas de carga :
Di =Li
La expresin para la entrada de cada biestable se obtiene uniendo las expresiones
anteriores para cada entrada de control .
Do = L in C 1 co+q1 c1 .co+go .c1
D1 = go . c1 . co + q2

C1

0 +L o .c 1 .c o

.c 1 c o
co+q1 c 1 .co +L 1

D2-q1 -cl .co+q3 - 1 .co+g2 .C1 .co+L2 c l co


D3 = q2 c, co +R in C 1 Co+q3 c 1 c o +L3 c 1 c o
En las expresiones anteriores se ha eliminado la variable c 2 . Esto se puede hacer si
utilizamos c 2 exclusivamente como seal que acta sobre las entradas clear de los biestables .
Cuando c 2 = 1, el biestable se pone a 0 independientemente del valor de su entrada sncrona .
Si c 2 = 0, el estado futuro del biestable depende del valor de su entrada y del estado presente .
En la siguiente figura aparece el circuito correspondiente a la celda i del registro .
c2

(Li n si i = 0)
(Ri n si i = 3)

qi-I
qi+1
qi

Li

Problema 7.- La figura muestra un registro de cuatro bits y sus operaciones . Utilizando
conexiones y circuitera externa adicional a ese registro :

242

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

a) Obtenga un registro universal de cuatro bits ; esto es, tendr carga en paralelo,
desplazamiento a derecha e izquierda, y "no-cambio" (inhibicin) .
SI : Entrada en serie .clk
SH: Desplazamiento a la derecha .
L : Carga en paralelo .
SO: Salida serie.
SH L

REG 4-

0
0
1

REG

0
1
-

SI

3 2

SH
L

X3-Xo
SHR(REG,SI)

1 0

REG

3 2

1 0
SO

Ck

q3 q2 q1 q 0

b) Construya un registro con desplazamiento circular a la derecha y complete el


diagrama temporal mostrado si cuando se activa la seal de carga (L) el valor de las entradas
es X3X2XjXo = 1010.
clk
L

SH
SO

Solucin P7 .
a) Para conseguir la nica operacin no disponible, el desplazamiento a la izquierda,
utilizaremos la operacin de carga de forma que mediante un cableado apropiado entre las
salidas del registro con las entradas de carga se simule este desplazamiento . El registro a
disear debe tener dos seales de control que permitan la realizacin de cuatro operaciones
distintas . Llamemos a estas seales 11 e lo . En la siguiente tabla, aparece una posible
codificacin de stas y su relacin con las seales a activar en el registro .
1 1 Io
0
0
1
1

0
1
0
1

SH L
0
0
1
0

0
1
1

Operacin
Inhibicin
Carga
SHR
SHL

Asimismo, necesitamos controlar los datos de carga en el registro .


Si I 1 I o = 01, los datos de entrada al registro deben ser los propios de la carga, o sea,
X; = D i para i = 0, 1, 2, 3 .
Si 1,10 = 11, se debe realizar el desplazamiento a la izquierda, para lo cual tenemos que
X ; = q;_ 1 para (i = 1, 2, 3) y X o = SIL . ( Esta es una entrada adicional que aadiremos para la
realizacin del registro universal . La salida del desplazamiento a la izquierda ser SOL= q3) .

SUBSISTEMAS SECUENCIALES

243

Uniendo las expresiones anteriores, nos quedan las ecuaciones siguientes :


X 3 - D 3 . 1 +q2

X2 = D2 11 +q, . 1 1
X1 =

D 1 . 1 1 +q0 . 1 1

Xo =DO* I I + S IL . 1
Puede observarse que en las expresiones anteriores se ha eliminado la dependencia de
lo. Esta entrada slo sirve para distinguir entre la operacin interna de carga, y las restantes
(desplazamiento a derecha e inhibicin) . Cuando estas ltimas estn activas, los valores de las
entradas de carga son indiferentes, por lo que la ausencia de I o no afecta a la operacin del
dispositivo .
Nos queda, por ltimo, disear el circuito que adapte las seales de control I 1 l o a las del
registro SH y L. De la tabla inicial, podemos sacar las expresiones algebraicas siguientes :
SH =1 1 ,1 0

L=1 0

L=I 1 +I 0
El circuito resultante es :

b) El registro circular se construye realimentando la salida q 0 con la entrada SI.


- X2 X 1 Xo

I
SH
L

SI 3 2 1 0
REG
3 2 1 0

SO

Ck
q3 q2 q1 q0

Por ltimo, nos falta obtener la forma de onda de la salida cuando se somete al circuito
a la secuencia de operacin mostradas en la figura del enunciado .

244

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

11 11 < 11 .4QIj> 1 1IIIII

Para dibujar la forma de onda de la salida del registro debemos tener en cuenta que los
cambios en la salida suceden en los flancos de bajada de la seal de reloj . Supongamos que
inicialmente el contenido del registro es desconocido . Cuando se recibe el primer flanco
activo, las seales de control L y SH estn respectivamente a 1 y O lgicos . Esto provoca una
carga en paralelo . A partir de este ciclo ya es conocido el contenido del registro . En los cinco
flancos siguientes las seales de control provocan el desplazamiento sucesivo del contenido
del registro . La salida SO se corresponde, en cada momento con el bit menos significativo .
Para los ltimos tres ciclos, el registro se inhibe por lo que no se altera el contenido .

Problema 8 .- La figura representa un registro de 8 bits cuyas funciones son las especificadas
en la tabla . Las salidas DZ deben ir conectadas a un BUS compartido . El BUS EB es
bidireccional.
a) Disee el registro utilizando puertas y biestables de tipo T con entradas de PRESET
y CLEAR activas en alto (H).
b) Aada al diseo realizado en el apartado anterior un circuito para que cada funcin
del registro se ejecute activando una nica lnea . En esta parte pueden utilizarse
subsistemas como elementos de diseo .

X2 XI Xo
0
0
0
0
1

0
1
1
0
-

1
0
1
0
-

Operacin sobre REG[8]


Lectura desde DZ
Escritura en REG
Lectura desde EB

X2
X1
xo

Puesta a cero sncrona


Puesta a cero asncrona

Solucin P8.- Diseamos una celda de este registro . Las salidas al bus DZ deben soportar alta
impedancia por ser este un bus compartido . Para esta salida utilizamos buffers triestados .
Estos buffers se usan tambin en la salida EB, para evitar las colisiones entre la salida del
biestable y el dato de entrada . Partimos de la siguiente estructura :

SUBSISTEMAS SECUENCIALES

245

X2_o
q,

EB i
A ; y B i son las entradas de control de los buffers triestado y D i la entrada de datos que
se obtiene del bus bidireccional . El circuito combinacional C .C . debe generar las seales Ti ,
A i , B i , Cl i y Pri , en funcin de las seales de control Xi , del estado actual y el dato de entrada
D i . Para no extender demasiado el diseo, vamos a utilizar para el circuito C .C subsistemas
combinacionales . La tabla de funcionamiento para C .C . es :
XAX 0
0
0
0
0
1

0
0
1
1
-

0
1
0
1
-

Ti

Ai B i

qi
0
Di @ qi
0
0

0
1
0
0
0

0
0
0
1
0

Cli Pri
0
0
0
0
1

0
0
0
0
0

Operacin
Cero sncrono
Lectura DZ
Escritura
Lectura EB
Cero asncrono

Hemos supuesto que los buses se encuentran en alta impedancia siempre que no se haga
una operacin de lectura que los afecte . En cuanto a las seales asncronas, Pr, como se
observa, no se utiliza por lo que podemos fijarlo a 0 . A la seal Cl podemos asignarle
directamente la variable X 2 . Cuando X2 tome el valor 1, el registro se pone a 0
independientemente de las restantes seales de control . Esto nos sirve para independizar la
expresin de Ti de la variable X2 . Por tanto, podemos deducir que :
q
-X, X o +Di og i .X 1 .X O
Ti = ;
Esto se podr realizar con un multiplexor de 4 canales . Para las entradas de control de
los buffers tenemos :

A;=X2 . X, .Xo
B ; = X2 X, Xo
En este caso hemos preferido no eliminar la dependencia de X 2 para asegurar que se
produce la lectura slamente en los casos que se especifican en el enunciado . El circuito
resultante queda como :

246

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 9 .- a) Disee un contador sncrono con una entrada X, de forma que sea un
contador de mod-16 para X = 0 y de mod-12 para X = 1 .
b) Disee un circuito que genere la secuencia de palabras dadas en el diagrama de
tiempo de la figura utilizando el contador anterior y una ROM .
16

10

11

12

13

14 15

16 1

secuencia para X = 1
secuencia para X = 0

Solucin P9 .
a) Utilizaremos un contador mdulo 16 con puesta a cero sncrona . Si la entrada X est
a 0, lo dejaremos recorrer los 16 estados ; si X est a 1, slo le dejaremos recorrer los 12
primeros estados, para lo cual generaremos un clear cuando el estado de cuenta sea el 11 en
decimal . Si suponemos que la seal de clear (Cl) es activa en alta, tenemos :
X=1
8392
q\ 00 01 11

10

00

01

11

10

o
C1

SUBSISTEMAS SECUENCIALES

247

La expresin algebraica para Cl es :


C1 = g 3 q 1 q o .X
El circuito resultante es :
CI
q0 q q q3
clk
X
b) Para generar la secuencia deseada utilizaremos el circuito anterior y una ROM . Con
esta ltima ser posible generar, para los 16 posibles estados, las salidas za, Zb , Zc Y zd
correspondientes . Por tanto, exigiremos que la ROM posea 16 posiciones de memoria (4 lneas
de direccin, que correspondern con las lneas de salida del contador) y cuatro bits en cada
posicin (valores de las salidas z a , . . . para cada ciclo de reloj o estado del contador) .

ROM
Zd
Zc
Zb
Za

clk
0
1
2
3

md . 12/16
A q q1 q q3

0
1
2
3

En cada ciclo de reloj tenemos un estado de cuenta para el contador y una direccin
activa de la ROM cuyo contenido se mostrar en las salidas z a, . . ., zd . Si para el ciclo 0 ( estado
de cuenta 0), las salidas (za , zb , z c , zd ) = ( 1, 0, 1, 0), la direccin 0 de la ROM deber tener
precisamente este contenido, o sea, (1, 0, 1, 0) . Repitiendo este paso para todos los ciclos,
tenemos la siguiente tabla de programacin de la ROM :
Direccin
$0
$2
$3
$4
$5
$6
$7
$8
$9
$A
$B
$C
$D
$E
$F

Contenido
A
E
$5
$1
$4
$6
$6
$F
$B
$9
$5
$3
$A
$0
$C
$3

248

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 10.- El circuito integrado 74LS 193 es un contador sncrono de 4 bits con carga en
paralelo, seal de puesta a 0 (clear), tambin sncrona, e inhibicin . Utilice un 74LS 193 y las
puertas necesarias para realizar el diagrama de estados de la figura .
Clear Load
0
1
1
1

0
1
1

P. T

0
1

Operacin
P
T
o Clear
c Load

CONT = 0
CONT = D
CONT = CONT
CONT = CONT + 1

D D Do

q3 q2 qi q0
I

o~~o
e

Solucin P10.- Cualquier diagrama de estados puede realizarse fsicamente mediante el


empleo de contadores . Un valor de cuenta en el contador representa un estado del diagrama y
el cambio de cuenta una transicin entre estados . La particularidad de implementar diagramas
utilizando estos dispositivos est en la posibilidad de "adaptar el diagrama" al funcionamiento
natural del contador . Esto conlleva un estudio preliminar del diagrama con el objeto de hacer
un asignamiento de estados apropiado y una simplificacin de las seales de control
necesarias .
Para implementar una transicin entre estados tenemos distintas opciones : a) generar
una carga con el cdigo del estado prximo ; b) activar una cuenta ascendente descendente
si, previamente, hemos asignado cdigos consecutivos a los estados presente y prximo ; y
c) una puesta a cero, cuando el cdigo del estado prximo sea el cero .
En general, podemos decir que, en la mayora de los casos, ser necesario que el
contador realice las transiciones utilizando tanto la operacin de carga como las de cuenta y
puesta a cero . Si utilizramos slo la operacin de cuenta (up/down) y la de puesta a cero

(clear), no podramos implementar cualquier diagrama de estados, ya que pueden presentarse


casos de transiciones no realizables . Sin embargo, utilizando nicamente la operacin de carga
s sera posible realizar cualquier transicin entre estados aunque a costa de un circuito algo
ms complejo . Por esto ltimo, eliminaremos en lo posible la operacin de carga .
El primer paso consiste en asignar cdigos consecutivos a los estados, de forma que
las transiciones entre ellos puedan ser descritas mediante operaciones de cuenta . Para nuestro
problema, asignaremos cdigos consecutivos a la secuencia de estados A-B-C-D-E-F-G . De

SUBSISTEMAS SECUENCIALES

249

esta forma, salvo el paso del estado G al A, todas las transiciones (A-B, B-C, . . .) pueden ser
realizadas sin ms que activar la seal de cuenta .
El segundo paso consiste en asignar el estado de cuenta cero . En general, escogeremos
aquel estado que simplifique el nmero de operaciones de carga . Para nuestro ejemplo, existen
varias soluciones ; asignar la cuenta 0 al estado A, al D o al G . De este modo, el nmero de
operaciones de carga distintas, es de dos, mientras que, si hubiramos escogido cualquier otro
estado, el nmero de estas operaciones sera mayor (esto es equivalente a elegir como estado 0
a aquel estado que reciba el mayor nmero de transiciones) . Si escogemos, por ejemplo el A,
la tabla de asignacin de cdigos queda :
Estado

Una vez elegida la asignacin, recorremos nuevamente el diagrama de estados para


conocer las operaciones que se necesitan en el contador :
Estado A .- Slo tiene una transicin hacia el estado B . Esto se consigue con operacin
de cuenta ascendente (up) .
Estado B .- Slo tiene una transicin hacia el estado C . Operacin de cuenta ascendente
(up) .
Estado C .- Tiene una transicin hacia el estado A que realizaremos con clear y otra
hacia el estado D que haremos con up .
Estado D .- Tiene una transicin hacia el estado E que realizaremos con up y otra hacia
el G en la que tendremos que utilizar la seal de carga . El valor que pondremos en la entrada
paralelo es el 0110 (6 en decimal ).
Estado E .- Una transicin hacia el F mediante operacin de up y otra hacia s mismo que
realizaremos con operacin de inhibicin (o bien de carga) .
Estado F .- Una transicin hacia el G mediante up y otra hacia el estado D mediante una
operacin de carga con el valor 0011 (3 en decimal) .
Estado G .- Una nica transicin hacia el estado A que realizaremos con clear.
Podemos deducir que el contador debe disponer, para realizar el diagrama, de las seales
de control anteriores y de un mnimo de 7 estados de cuenta . Como podemos ver, el contador
de la figura cumple con todos estos requisitos . El siguiente paso consiste en obtener las
expresiones algebraicas que relacionen las seales de control a activar y datos de carga con el
estado presente del contador y la entrada X . Para simplificar esta tarea, vamos a hacer una
reduccin previa ; el contador dispone de cuatro salidas, de las cuales slo nos son tiles tres,
ya que el diagrama tiene siete estados . Vamos a hacer la asignacin, por tanto, ignorando el
valor de q 3.

250

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Estado

q3 q2 q1 q0

0
0
0
0
1
1
1

A
B
C
D
E
F
G

0
0
1
1
0
0
1

0
1
0
1
0
1
0

Partiendo de esta asignacin y teniendo en cuenta la entrada X, queremos disear un


circuito combinacional que active las seales de control apropiadas para generar las
transiciones de estado representadas en el diagrama de estados .

D 3 D2 D 1 D0

P
T
Clear
Load

q q q q

C .C .

----------------------En la figura, hemos fijado D 3 y D 1 a 0 y 1 lgicos respectivamente, puesto que los datos
que cargamos son, o bien -011 (estado D), o bien -110 (estado G) . La tabla de verdad del
circuito combinacional se muestra a continuacin :
X q2 q1 q0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

Clear Load P T
1
1
0
1
1
1
0
1
1
1
1
1
1
0

1
1

1 1
1 1

0
1
0

0 -

1
1
1
1
1
1

1
1
1
1
1
1

D2 D 0

1 0
0 1

1
1
1
1
1
1

SUBSISTEMAS SECUENCIALES

251

En la tabla anterior, para la entrada 0100 del circuito combinacional, se han escogido
para P y T los valores 0 y - respectivamente, de forma que P .T = 0 . Las ecuaciones de salida
para el circuito combinacional son :
P=q2+X

Do =q2

T= 1

D2=q1

CLEAR = q, +qo q 2 +X q 2
LOAD = q o +q, q 2 +X

Problema 11.- Se dispone de una seal binaria con periodo de 1 minuto, contadores de
mdulo 10 disparados por flanco negativo, con entrada de clear sncrona activa en alta y
salida de acarreo (carry), visualizadores de 7 segmentos con entradas BCD y puertas lgicas .
Disee un reloj digital que muestre las horas y minutos .
Solucin P11 .-Podemos deducir, a partir del funcionamiento del reloj, que necesitaremos dos
contadores para los minutos y otros dos para las horas . La salida binaria de estos contadores
puede actuar como entrada a los displays de 7 segmentos como recoge la siguiente figura :
-----------------------------------------CONT4

CONT3

CONT2

CONT1

---------- -------- ------------ --------

clk
El contador CONT1 debe ser capaz de cambiar desde el estado 0 al estado 9 en cada
minuto o ciclo de reloj .
Y

CONT 1

CL 1

q3 q2 q 1 q 0

clk ('imin")

El contador CONT2, debe cambiar de estado cada 10 minutos . Los estados que puede
recorrer van desde e10 al 5 . Como las nicas operaciones que pueden realizar estos contadores
son la cuenta arriba y el clear, nos vemos obligados a dotar a este contador de una seal de
reloj de 10 minutos . sta la podemos conseguir a partir del carry del contador CONT1 .
Adems, cuando el estado de cuenta alcance el valor cinco, activaremos la seal de clear .
CL 2 = qi q

252

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

El superndice de la expresin anterior hace referencia al contador del que extraemos las
salidas q ; . En este caso, el contador CONT2 .

CY2

CONT2

CL2

CY 1 CONT 1

q3 q2 q1 q0

q3 q2 q1 q0

clk

La siguiente figura representa un anlisis temporal de las seales que intervienen en el


minutero .
clk

CY 1

CL2
CONT 1
CONT 2

. . .... . . . . . . .

. . .... . . . . .

.......... Z

EX

0 > C 4

C :
5

iC

El diseo del contador CONT3 es algo ms complejo . ste debe cambiar de estado cada
60 minutos y, en funcin del estado del contador CONT4, debe alcanzar hasta el valor nueve
(cuando [CONT4] < 2 ), o slo hasta el tres (cuando [CONT4] = 2) . Para su entrada de reloj,
utilizaremos la seal de clear del contador CONT2 . Si nos fijamos en la ilustracin anterior,
esta seal genera un flanco negativo, cada 60 minutos, sincronizado con la seal clk . Por otro
lado, debemos activar la seal de clear (CL 3 ) cuando [CONT4] = 2 y [CONT3] = 3 . La
ecuacin para la seal CL 3 es :

CL3 = qi qi . q
Por ltimo, el contador CONT4 debe tener una seal de reloj que lo haga cambiar de
estado cada diez horas, cuando [CONT4] < 2 ; o bien cuando el reloj se encuentre en la
situacin 23 :59 . Esta seal de reloj la podemos obtener uniendo mediante operacin OR, una
seal binaria con periodo de diez horas, con otra con periodo de cuatro cuando el
[CONT4] = 2 . Para la primera, utilizaremos la salida de carry del contador CONT3 . Para la
segunda, utilizaremos la salida q 1 del contador CONT3 (ya que las salidas de un contador
actun como divisores de frecuencia y, por tanto, como la entrada de reloj del contador
CONT3 tiene un periodo de una hora, la seal q0 tendr un periodo de dos horas y la q l , de
cuatro) .

SUBSISTEMAS SECUENCIALES

253

La seal del clear la activaremos cuando [CONT4] = 2 .


CLK4 = qi q1 +CY 3
CL4=q
El circuito resultante se representa en la siguiente figura :
CONT 4

CY4

q q

CL4

CY3

CONT 3

CL3

q3 q2 qi q

qi q

Clk
T=1 hora
1

La siguiente figura ilustra el comportamiento temporal de esta parte del circuito .


clk3
[CONT3]

. ... . . . . . . . . . .

CY3

3
q1

[CONT4]_

>c

Problema 12.- Disee un circuito que genere la secuencia : 1, 1, 0, 0, 1, 0.


Solucin P12 .-Existen mltiples soluciones a este problema . Vamos a plantear algunas .
a) A partir del diagrama de estados . Obteniendo el circuito utilizando el mtodo
sistemtico de sntesis de circuitos secuenciales .
b) Basado en un registro de desplazamiento, donde la salida q5 se conecta a la entrada
Lir , para la generacin peridica de la secuencia .
110010
shllload
g5g4q3q2qiq0

Lin
A

clk

En este caso, el registro necesita ser cargado con la secuencia . Esto se consigue con la
seal de control X, y colocando en las entradas de carga los bits de la secuencia . Si X = 0 se

254

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

carga el dato externo, y si X = 1, se realiza el desplazamiento a la izquierda en cada ciclo de


reloj . La secuencia normal de funcionamiento para este registro, se muestra en la siguiente
figura, donde se ha supuesto que la carga es asncrona .

q5

c) Usando un registro de desplazamiento, pero con un nmero de biestables infertora


los bits de la secuencia a generar .
Como mnimo, el registro de desplazamiento debe tener 3 biestables ya que se necesita,
al menos, 6 estados .

S .R[3]
q2 q1

Lin
q0

C .C .

Puesto que este registro no puede almacenar la secuencia entera, tendremos que disear
un circuito combinacional que en funcin de los bits de la secuencia parcial que se encuentran
en el registro, introduzca el prximo bit de la secuencia por Li n .
Para determinar el circuito se procede de la siguiente manera . Supongamos que
inicialmente se encuentran almacenados en el registro los tres primeros bits de la secuencia, o
sea, g2 g 1 q0 = (1,1,0) . El prximo bit de la secuencia que debe ser introducido por Li n es el 0,
por lo que el circuito combinacional debe generar salida 0 para entrada (1,1,0) . Supongamos
ahora que se recibe un flanco de reloj . El contenido del registro se desplaza hacia la izquierda
y el valor de L in pasa a ocupar la posicin menos significativa, g 2 g l q0 = (1,0,0) . El prximo
bit a introducir por L in ser ahora 1 . Por tanto, C .C . generar salida 1 para entrada (1,0,0) . Si
repetimos este proceso, obtendremos la tabla siguiente :
q2

q1

q0

1 0
0 0
0 1
1 0
0 1
1 1
0
1

Lin

SUBSISTEMAS SECUENCIALES

255

Como puede observarse, para cada entrada obtenemos un nico valor de Li,,, por tanto
podremos generar esta funcin mediante un circuito combinacional . Es probable que en
muchos diseos aparezcan entradas idnticas que generen salidas distintas para Li,, . En tal
caso, deberemos aumentar progresivamente el tamao del registro de desplazamiento hasta
que a cada entrada slo le corresponda una nica salida del circuito a disear . Es entonces,
cuando obtendremos el circuito asociado .
El K-mapa para nuestro problema es :

e
Lin
de donde obtenemos la siguiente expresin :
L,~ = q2 q0+q2 q1
En este tipo de soluciones se pueden plantear problemas de bloqueo . Inicialmente, el
estado del registro es indeterminado . Esto puede originar situaciones de entrada para el C .C .
que no estn contempladas . Estas entradas pueden llegar a provocar una secuencia de salida
que no coincide con la prevista . Para solucionar este problema existen varios mtodos : uno de
ellos es el de generar una carga inicial con parte de los bits de la secuencia ; otro mtodo sera
asignar a aquellas entradas inespecificadas valores de salida que provoquen la incorporacin a
la secuencia vlida .
En nuestro ejemplo no existe bloqueo . Las nicas dos entradas que no estn
contempladas son la g2g1q0 = 000 y la 42g1g0 = 111 . Si inicialmente se da el estado 000, el
prximo estado, el 001, s pertenece a la secuencia y a partir de aqu, el funcionamiento es
correcto . Igualmente, si se da el estado 111, el prximo estado, el 110, tambin pertenece a la
secuencia.
d) Utilizando contadores y lgica combinacional (MUX, ROM, PLA, . . .) .
El contador se utiliza para generar la secuencia de estados . La lgica combinacional se
usa para asignar el valor de salida a cada estado del contador de forma que a cada uno de los
estados corresponda un bit de la secuencia de salida . Por ejemplo, si se utiliza un multiplexor,
el generador quedara como se muestra :
1
1
0
0
1
0

0
1
2
3
4
5
6
mod-6
7
A qo qi q2 2 1 0
1 1
clk

256

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 13.- Utilizando como base un registro de desplazamiento, disee un autmata de


Mealy que funcione como detector de las secuencias: 1111, 0110 0001 .
Solucin P13.- Este problema utiliza el registro como elemento almacenador de los bits de la
secuencia a detectar. En un diseo de Mealy podemos emplear la variable de entrada X en la
expresin de la salida, reduciendo el tamao del registro a tres bits .
ShR
X

REG
q2 qi q

C .C .
,
-----------------

De este modo, el registro almacena los valores de la entrada en los ltimos tres ciclos y,
junto con el valor actual de X, el C .C . puede generar la salida Z . La expresin algebraica para
Z es la misma que la del problema anterior pero cambiando q3 por X .
Z=X . g2 . g1 . q0+X q2 . g1- go+X g2 . g1 q 0

PROBLEMAS CON SOLUCIN RESUMIDA

Problema 14 .- Represente la salida del circuito de la figura siguiente durante 5 ciclos de reloj
suponiendo que el registro tiene almacenada la palabra 110 inicialmente y que la nica
operacin disponible para el registro es el desplazamiento a la derecha .

L
clk

q2 q t qo D Ot
I

Solucin P14 .- Con carcter general podemos decir que la salida se obtiene a partir de la funcin XOR entre el bit 1 y el bit 0 del registro de desplazamiento . De igual manera, el valor de
Z se toma como entrada de desplazamiento del registro . En la siguiente figura se representa la
secuencia de salida para los primeros 5 ciclos de reloj .
A
clk
[reg]
z

Problema 15.- Disee un contador de 4 bits (mdulo 16) que permita carga de datos en
paralelo. El contador debe ser slncrono y podr ser puesto a 0 (clear) . Diselo con biestables
JK y puertas lgicas .

SUBSISTEMAS SECUENCIALES

257

Solucin P15.- En esta solucin se ha supuesto que el clear y el load son asncronos y activos
en alta .
Load

Clear

CI
1

C1

Pr
q0

Pr

C1

CI

Pr

q,

q2

Pr
q3

clk
V
q0

v
q,

q2

q3

Problema 16.- Se desea disponer de un contador con dos entradas de control (1 y D) que
realice las siguientes funciones :
a) Si I=D=O, el contador est inactivo (no cuenta) .
b) Si 1=1, el contador se incrementa (cuenta hacia arriba) .
c) Si D=1, el contador se decrementa (cuenta hacia abajo) .
Se prohibe que las entradas l y D sean simultneamente 1 .
1 . Disee uno de 4 bits, sncrono, con biestables tipo T (no utilice la tabla de estados
global pues tiene 16 estados) .
2. Indique qu ocurre si por error u otra causa hay entradas ID= 11 .
3 . Generalice el diseo para n bits .
Solucin P16.
1) Las ecuaciones para las entradas de los cuatro biestables son :
T o =I+D
T, = I' qo+D' qo

T 2 = 1 . q, .go+D - go'q,

T3 = I . g2 . q, .go+D . g2 . q, .go
2) Si en las expresiones anteriores sustituimos 1 y D por el valor 1, y obtenemos los
valores de entrada T i para cada estado presente % el diagrama de estados, para este contador,
es el representado en la siguiente figura :

258

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

affs o
3) Generalizando para

bits :
n-1

T; = I .

n-1

flg i + D . [J q;
i=0

(i= 1, . . .,n)

i=0
To = I+D

Problema 17.- Se dispone de contadores mdulo 16 con dos seales X, y X 2 que controlan
su funcionamiento :

x,

Xp

0 0
0 1
1 -

Operacin
Puesta a cero
Carga en paralelo
Cuenta ascendente

Tomando como base este tipo de contadores, realice los diseos siguientes :
a) Un contador mod-7 que cuente de 0 a 6 .
b) Un contador mod-7 que cuente de 9 a 15 .
c) Un contador mod-7 que cuente de 4 a 10 .
d) Un contador que cuente de 2 a 34.
Solucin P17 .- En las siguientes soluciones no se han tenido en cuenta los problemas de
bloqueo y adems, se ha supuesto que las operaciones de clear y carga son asncronas .
a) Contador mdulo 7 (de 0 a 6) :
1 1 1 1
3 2 1 0
CONT[41
xo
q3 q2 q1 q 0
clk

SUBSISTEMAS SECUENCIALES

259

b) Contador mdulo 7 (de 9 a 15) :


1 0 0 1
I I I I
3 2 1 0
CONT[41
x
q3 q2 9 i q 0

clk

c) Contador mdulo 7 (de 4 a 10) :


0 1 0 0
1 1 1 1
3 2 1 0
CONT[4]
x
q3 q2 q 1 90

clk

d) Contador de 2 a 34 :
1 1 1 1
3 2 1 0
CONT[4]
93 q2 9 i qo

0 0 1 0
I 1 1 1
3 2 1 0 X
CONT[4]
X
A 93 q2 q 190
I

clk

Problema 18.- Se dispone de un circuito integrado 74198 cuya descripcin es la mostrada :


Operacin

Puesta a 0 asncrona
Inhibicin
Desplazamiento a izquierda
Desplazamiento a derecha
Carga en paralelo

260

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Hay que disear un registro de 8 bits con las siguientes operaciones :


A 1 Ao
0
0
1
1

0
1
0
1

Operacin
Desplazar a derecha introduciendo un 0
Desplazar a derecha introduciendo el bit de signo
Desplazar a derecha introduciendo el bit menos significativo
No desplazar

y que posea una seal de lectura (R) activa en alta, de forma que, cuando no est activa
ponga al dispositivo en alta impedancia .
1) Disee el registro utilizando las puertas necesarias y el 74198 .
2) Suponiendo que inicialmente el registro contiene el dato 10101010, indique qu
ocurre para la siguiente secuencia de entradas (cada valor corresponde a un ciclo de reloj) .
R A,A 0 : 0-0, 110, 011, 001, 100 .
Solucin P18 .
Ap
I[7-0]

07
A1 -

Ds1
Dsr

00 c MR
1

A1
Ao

74198

%~

[8]
O[7-0]

clk

Y
Z[7-0]

RA 1 Ao
[CONTI

0-0

110

011

001

10101010


Z[7-01

( 01010101)

11010101

Problema 19.- Un sistema tiene una nica entrada y dos salidas. El sistema puede estar
fuera de servicio o en servicio . Entra en servicio tras recibir la secuencia 1, 1, 1 y se pone fuera
de servicio tras 0, 0, 0. Una vez que est en servicio, el sistema detecta la secuencia 1, 0, 1

SUBSISTEMAS SECUENCIALES

261

(con solapamiento) ; el ltimo 1 de la secuencia de puesta en servicio no vale como primer 1


de la secuencia a detectar . Una salida debe indicar si el sistema est o no en servicio y la otra
indicar cundo se ha detectado la secuencia .
Haga un circuito de Mealy utilizando un contador y una ROM .
Solucin P19 .
1/00

0/10

O
0/00

Za=l, fuera de servicio


Zb=1, detecta la secuencia
Se ha escogido la siguiente asignacin :
Estado
a
b
c
d
e
f

q2

qt q0

0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 1 1
1 0 1
1 1 0
h1
Con la asignacin anterior, se requieren las seales de control que aparecen codificadas
en la siguiente tabla :
c 1 co

00
01
10
11

Operacin
Up
Inh
Clear
Load

En la siguiente figura se ilustra el circuito resultante y la tabla de programacin de la


ROM :

2 1 0
CONT[31
q2 ql q 0

cl
co

ROM
clk

0
X

Zb
Za

262

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

X q2 ql q0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

D i ci c0 Za Zb
0
1
1
0
1 1
0
0
0
0
0
0
0 1
0
0
0 1
0 1

1
0
0
0
1
0
0
0
0
0
0
1
0
1
1
1

1
1
1
0
0
0
0
1
1
1
0
0
0
0
0
0

0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
0

Problema 20.- Se desea detectar el envo del nmero diez que llega por una nica lnea
comenzando por el bit LSB . Suponemos el caso de existencia de solapamiento en la cadena
de bits . De un diseo con mdulos combinacionales, mdulos secuenciales y el menor nmero de puertas lgicas posibles .
Solucin P20 .
Con un registro de desplazamiento a la derecha y una puerta AND de tres entradas :
X
Rin

clk

SHR[3]

ShR

Captulo 10
MEMORIAS SEMICONDUCTORAS

En este Captulo se trata el uso de las memorias semiconductoras, fundamentalmente las de


acceso aleatorio, tanto de lectura y escritura (RAM) como las de slo lectura (ROM) para
formar unidades de memorias multichip . Los problemas se dedican a analizar o disear los
circuitos de decodificacin que permiten acceder a los distintos chips de memoria . En estas
aplicaciones no tienen importancia las cuestiones tecnolgicas (si se trata de RAM estticas,
SRAM, o dinmicas, DRAM ; si son ROM o EPROM ; etc) . De forma ms marginal se tratan
tambi1n algunas memorias de acceso secuencial .
UNIDAD DE MEMORIA MULTICHIP
Una unidad de memoria multichip forma la memoria principal de un computador . Su organizacin se establece en tomo al procesador y, ms concretamente, al espacio de direcciones y a
la anchura del bus de datos . Con el trmino "espacio de direcciones" nos referimos a las palabras que el procesador distingue por las lneas de direccin ; en este Captulo, salvo expresa
indicacin en contra, se asume que el procesador posee un registro de direcciones de 16 lneas
(A15 A0), lo que da un espacio de 64K palabras (desde la $0000 a la $FFFF, que en decimal
abarca desde la 0(10 a la 65535 (10). Por otra parte, la anchura del bus de datos da el nmero de
bits de las lneas de datos, que en este Captulo ser de 8 bits .
A nivel de bloques la conexin entre el procesador (CPU) y la unidad de memoria se
ilustra en la siguiente figura . Las seales de lectura-escritura R-W salen del procesador y se
conectan a las correspondientes entradas de los chips tipo RAM (no ha lugar con los tipo
ROM) . El bus de datos se conecta con las salidas de todos los chips ROM y con las
entradas/salidas de todos los tipos RAM . Por ltimo, el bus de direcciones est conectado
doblemente con cada chip (RAM o ROM) :
- Con sus lneas de direccin (A 9-A0 para memorias de 1 K ; A 12-A0 para las de 8K ; etc)
- Con la entrada de seleccin de chip (CS), efectundose a travs de un circuito de decodificacin mediante el que se garantiza que en ninguna direccin del espacio de direcciones
hay colisiones entre dos chips de memoria . En el siguiente caso supondremos que el espacio

263

264

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

de 64K se cubre mediante una combinacin de 8 chips RAM y ROM .

16

Bus de direcciones

1
cc

ccI 12
14 CC .
j131c1 13 1cC1 12 IccI 1211
y W
Chip 6 Chip 7
Chip 2
Chip 3 Chip 4
Chip 5
RAM
RAM
ROM
RAM RAM
ROM
16K
8K
4K
4K
8K
4K
. .. ......... ......... ........ ........ . ........... ......... ........ ........ .........
lneas de
direccin de palabra
seleccin
fsica (en cada chip)
de chip
->

I1

CC

12

Chip 8
RAM
4K
.......

EL MAPA DE MEMORIA
De las conexiones mencionadas entre la CPU y la unidad de memoria, las nicas que cambian
de un problema multichip a otro son las del circuito de decodificacin que selecciona cada
chip . Este circuito resulta de una u otra forma segn se asocie cada chip con una regin concreta del espacio de direcciones . A esto nos referimos como "mapa de memoria" . Un ejemplo
de mapa es el que se ilustra a continuacin . El l se observa que, si la palabra lgica (esto es,
la direccionada por la CPU) es $A018, la palabra fsica a la que se accede es la $0018 del
chip 4, cuyo contenido es, en este caso $07 (00000111) . Dicha forma de representar mapas de
memoria es poco efectiva . En su lugar utilizaremos una descripcin basada en los bits ms significativos de las lneas de direcciones con las que se divide fcilmente el espacio global en
regiones de 2 k palabras . En la figura que se presenta, adems de esta forma de representar el
mapa, hemos incluido cules son los valores de las salidas del circuito de decodificacin
(CS 1 , CS 2 , . . ., CS 8) . Como se observa, en cada regin slo hay un chip seleccionado evitndose as los problemas de colisin .

MEMORIAS SEMICONDUCTORAS

0
16383
16384
32767
32768
Registro de
direccin

40959
40960

0000

53247
53248
57343
57344
61439
61440

Chip 1
RAM 16K

Chip 2
RAM 16K

Chip 3
RAM 8K

3FFF
4000

9FFF
A000

A000

Chip 4
ROM 8K

A018

Chip 5
ROM 4K

BFFF

Chip 6
RAM 4K

Chip 7
RAM 4K

BFFF
0000

0000
0018

07

1FFF

CFFF
D000
DFFF
E000
EFFF
F000
Chip 8
RAM 4K

}
65535

Direccin
Direccin Chip 4
del mapa . ROM 8K interna
del chip
de memoria

7FFF
8000

A018
49151
49152

FFFF

A 15

A 14

A 13

A 12

CS 1

CS 2

CS 3

CS 4

CS 5

CS 6

CS7

CS 8

Chip

1 (16K)

2(16K)

3(8K)

0
1

4 (8K)

5(4K)

6(4K)

7 (4K)

8(4K)

265

266

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

MEMORIAS TIPO LIFO Y FIFO


Hay, entre otros, dos tipos de memoria de acceso secuencial que son la memoria LIFO (Last
In First Out) y la memoria FIFO (First In First Out) . Ambas poseen, adems de la "no operacin" (NOP), operaciones de escritura, por la que se almacena un nuevo dato en la memoria,
y de lectura, que es una operacin destructiva en el sentido de que desaparece el dato ledo . El
orden de lectura de datos coincide con el orden de escritura en la FIFO y es al revs en las
LIFO . Con las memorias LIFO se hacen memorias tipo "pila" y las operaciones se llaman
PUSH (escritura o apilamiento de un nuevo dato) y PULL o POP (lectura o extraccin de un
dato apilado) .
ndice del Captulo
Este Captulo desarrolla problemas de las siguientes materias :
- Anlisis de circuitos de decodificacin .
- Diseo de circuitos de decodificacin .
- Memorias de acceso secuencia] .
PROBLEMAS RESUELTOS
Problema 1 .- Dibuje el mapa de memoria para el circuito de la figura, indicando, razonadamente, las posiciones ocupadas por las memorias RAM y ROM .
A 15

1
A15

A 12 -A
3

RAM -,--> D7 -Do


8
8Kx8

ROM
8Kx8

Solucin Pl .
A15
0
1
A14 2 o-A13 - 0
DEC 2 :4 3

A15
CS

A12-A0 ~
a12-a0 g lo D7 -Do
13 9>
ROM

CS
A 1 2-A0 -+~-~ al 2-ao
13
RAM

-,-> D7 - Do

MEMORIAS SEMICONDUCTORAS

267

Respecto a la memoria ROM tenemos :


CS 1 = A 15 , por tanto, la memoria se selecciona cuando A 15 = 0 .
En cuanto a sus lneas de direccin, a12-0 = A12-0, donde A 1 5-0 son las 16 lneas que forman el bus de direcciones externo .
Respecto a la RAM :
CS2 = d1 - d2 = (A15 + A14 + A 13 ) (A15 + A14 + A13), es decir, la memoria se selecciona
cuando A15=0,A14=0yA13=1A14=1yA13=0 .
El bus de direcciones interno a la RAM est compuesto por las lneas a12-0 = A12-0, es
decir, las palabras de la memoria RAM se direccionan de igual forma que las de la ROM .
As, el mapa de memoria al que llegamos es :
A15 A14 A13
0

1
0

RAM (8Kx 8)
RAM (8Kx 8)

ROM (8Kx 8)

Problema 2 .- Determine el mapa de memoria correspondiente al circuito de la figura .


CS 1
A 11 -A0
a l ,-a0
-2 }
0
1
2

A13 A12- 0
A15 -

DEC 2 :4

1
2

A14 - 0
DEC 2 :43

3
Ajo-A0
a to -a0

0
1
2
DEC 2 :4

CS
Ajo-A0
I1

a jo -a0
M3

8
V
DO - D7

268

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P2 .
Sean CS 1 , CS 2 y CS 3 las seales de seleccin de chip correspondientes a ROM 1 , ROM2
y ROM3 respectivamente . Sean a; las lneas de direccin de las memorias M i .
Directamente del diagrama del circuito :
M 1 (2 12 x 8) =M I (4K x 8)
La ecuacin para la seal de seleccin de la memoria es :
CS1 = A 1 5+A 14 +A 1 3+A 1 2
Las lneas que componen el bus de direcciones interno :
al,-, = A11-o

M 2 (2 '1 x 8) = M 2 (2K x 8)
Ecuacin para la seal de seleccin :
CS 2 = (A13+A12+A,5+A14)

(A13+A + [A15+A,4] - [A15+A141) _

= ( A 15 +A 14 +X 13 +A 12) ' ( X, 4 +A 13 +X 11)

Lneas de direccin :
ato-o = Ato-o

M3 (2" x 8) = M 3 (2K x 8)
Seal de seleccin de chip :
_
CS3 = ( A 13 +A 11 + [ A 15 +A 141 . [A ,5+A141) _ (A14+A13+A11)
Lneas de direccin :
a,o-o = Ato-o
A partir de las ecuaciones obtenidas para CS i , podemos evaluar cundo se selecciona
cada memoria . Para ello basta analizar para qu combinacin de las lneas de direccin se tiene
CS i = 0 . As obtenemos el mapa de memoria que se muestra a continuacin . Como se observa,
M 1 (4Kx8) ocupa 4K posiciones en el espacio de memoria : $0000 - $OFFIM 2 (2Kx8) ocupa 12K posiciones en el espacio de memoria :
$2000 - $2FFF
$4800 - $4FFF
$5800 - $5F1-}
$C800 - $CFFF
$D800 - $DFFF
Esto quiere decir que aunque el chip fsicamente slo contiene 2K direcciones, existen
12K direcciones del espacio de memoria que hacen que se seleccione el chip M2 . Por ejemplo,
si en el bus de direcciones externo se fijan las direcciones $2000, $4800, $5800, $C800
$D800, estaremos leyendo una nica direccin fsica en M 2 , la $0000 .
M3 (2Kx8) ocupa 8K posiciones en el espacio de memoria :
$6000 - $67FF
$7000 - $77FF
$E000 - $E7FF
$F000 - $F7FF

MEMORIAS SEMICONDUCTORAS

A15

A14

A13 A12

269

A11

0
0
1
0
0

(4K)

1
1
0
0

0
1
0

0
1
1

1
0

N
(2K)
M3

(2K)

M3

(2K)

M,

(2 K)

0
1

M,

(2 K)

0
1

M3

(2K)

M3

(2K)

1
1

0
1

0
0
0
1

1
1
1

Problema 3.- En el mapa de memoria de un microcomputador de 16 lneas de direccin


(A 15/A 0) se han ubicado una memoria RAM de 8K en las primeras 8K posiciones de memoria
y una memoria ROM de 8K en las ltimas 8K posiciones de memoria . Se desea incluir una
memoria RAM de 32K, para lo que se han propuesto los 3 diseos de la figura . Indique en qu
medida es correcto cada uno de los diseos y, si es posible, determine qu palabra de la RAM
se direcciona cuando A 15-0= $ABCD (hexadecimal) en cada uno de los tres casos . Qu direccin hay que poner en el bus de direcciones para leer la posicin $4680 de la RAM en cada
caso?

270

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

A 14$A 13

A15A14A13 + A15A14AI3

CS

CS

A 15 ,A 13 -A

D -D7

A 15 -A

a14-0

DO-D7
a14-0

A 14 -A
15

CS
D -D7
a14-0

RAM

RAM

RAM

(a)

(b)

(c)

Solucin P3.
Caso a)
La RAM se selecciona cuando su seal de seleccin de chip CS = 0 .
CS = A14 . A13 + A14 .A13, por tanto se puede acceder a la memoria en las combinaciones
A14 A13 = 01 10, y est no seleccionada cuando A14 A13 = 00 11 .
Se comprueba por tanto, que no hay conflicto de seleccin con las memorias RAM ni
ROM previamente posicionadas . En ninguna ocasin se selecciona ms de una memoria simultneamente .
Las lneas de direccin de la RAM (a14-0) son : a14 = A15 , a13-0 = A13-0 . Dado que la
lnea A 13 forma parte simultneamente del conjunto de lneas de direccin de la memoria y del
circuito de seleccin de chip (CS = A140+A13) es necesario hacer ciertas consideraciones . Para
los 8K que ocupan las primeras posiciones de la RAM se tiene que a14 = A15 = 0 Y
a13 = A13 = 0 . Para que CS = 0 ser necesario A 14 = 1 . Por tanto, los primeros 8K de la RAM
ocupan las posiciones del mapa en que A15A14A13 = 010 . Los siguientes 8K son posiciones
en las que de nuevo a14 = A15 = 0 pero a13 = A13 =, con lo que para que se cumpla CS = 0 se
ha de fijar A 14 = 0 . En este caso se estarn ocupando las posiciones del mapa en que
A15A14A13 = 001 . Razonando de igual modo se concluye que los 16K de la RAM con las posiciones ms altas se direccionana para A15A14A13 = 101 y 110 .
El mapa, para el caso a) queda :
A15

A14
0

A13
0
1
0

0
1

RAM inicial del problema


Nueva RAM $RAM : $2000 - $3FFF
v

Nueva RAM $RAM : $0000 - $1FFF

1
0

0
1

1
0

1
1

Nueva RAM $RAM : $6000 - $7171717


V

Nueva RAM $RAM : $4000 - $51.1.1


ROM inicial del problema

$ABCD

MEMORIAS SEMICONDUCTORAS 271

Veamos qu palabra de la RAM se direcciona cuando A15-0= $ABCD .


Para A15-0= $ABCD se cumple que A15-0= 1010 1011 1100 1101, entonces :
A14A13 = 01 por tanto la memoria RAM est seleccionada . Por otra parte,
A15 A13 A12 = a14 a13 al2 = 110 = 6 y A11-0 = al 1-0 = BCD .
Se direcciona, por tanto, la palabra a14-0 = $6BCD de la RAM .
Para leer la direccin a14-0 = $4680 de la RAM, se procede como sigue :
Para esta direccin se cumple a14-0 = 100 0110 1000 0000 . Entonces : a14 = A15 = 1 ;
a13 = A13 = 0 ; a12 = A12 = 0 ; al 1-0 = A11-0 = 680 . Dado que la RAM debe estar seleccionada
para poder acceder a una de sus direcciones internas, se tiene que garantizar que CS = 0, por
lo que como A13 = 0, estamos obligados a que A14 = 1 . Concluyendo, para acceder a la direccin interna deseada, en el bus externo hay que fijar la direccin A15-0 = $C680 .
Caso b) :
La seal de seleccin de la memoria es RAM : CS = A0, es decir, se selecciona para cualquier direccin del bus externo que tenga A0 = 0 . Esto implica que para todas las palabras de
los primeros 8K y de los ltimos 8K con A0 = 0, hay conflicto entre la nueva RAM y las memorias (RAM y ROM) ya existentes . Por tanto, el diseo NO es conecto .
Caso c) :
Seal de seleccin de chip :
_
CS = A15 .A14-A13+A15'A14 .A13
por tanto la memoria RAM se selecciona para A15 A14 A13 = 0 - 1, 0 1 -, 1- 0 y 1 0 -, y est
no seleccionada para A15 A14 A13 = 000 111 .
A partir de esos valores puede decirse que no habr conflicto de seleccin con las memorias ya colocadas (en los primeros 8K, A15 A14 A13 = 000 y en los ltimos,
A15 A14 A13 = 111) .
Las lneas de direccin de la nueva RAM (a14-0) son : a14-0 = A14-0
Por conveniencia, llamaremos Ro a los primeros 8K de la RAM, que se direccionan con
a14 a13 = 00 ; R1 a los siguientes a14 ala = 01 ; R2 a los siguientes a14 ala = 10 y R3 a los ltimos
a14 a13 = 11 de donde se tiene el siguiente mapa :
A15

A14

A13

1
0
1
0
1
0

0
1
0
1
1

RAM inicial del problema


N
R1
U
R2
V
A

Ro
R1
RAM
R2
ROM inicial del problema

- $ABCD

Para A15-0 = $ABCD se selecciona la seccin R1 de la RAM (A14 A13 = 01, y por tanto
a14a13=01) .
Asimismo se tiene A14-0 = $2BCD, de donde se deduce que la direccin interna de la
memoria a la que podemos acceder es a14-0 = $2BCD .

272

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Por ltimo, para acceder a la direccin interna de la memoria RAM a14-0 = $4680 que
pertenece al tramo de R2 slo hace falta determinar A 15 , que puede valer 0 1, como se quiera .
Esto es, se accede a la direccin requerida tanto para A 15 -0 = $4680 como para A 15 - 0 = $C680 .

Problema 4.- Se desea transferir el contenido de las memorias M2 y M3 a la memoria M, (ver


figura). Se dispone de una instruccin :
TRANSFIERE ($N de palabras, $Fuente, $Destino)
Dicha instruccin transfiere un bloque, cuyo nmero de palabras es el indicado, desde
la direccin fuente hacia la direccin destino ; por ejemplo, para transferir 4K-palabras ($1000)
que estn escritas a partir de la posicin $2000 a posiciones de memoria que comiencen en
$7000 se pondra : TRANSFIERE ($1000, $2000, $7000) .
(El sistema interpreta y ejecuta esta instruccin) .
Escriba el programa necesario para el circuito de la figura .

Do - D 7

A 13 -Ao
1lo

14

A15

8
16K

2
3
4
5

A14
A12

6
DEC

3 :8 7

D - D7

A13,A11 - A0
-f-->

13

8
8K

Do - D7

A13,A11 - A
1 10

13

8
8K

Do - D7

Solucin P4 .- Primero obtenemos el mapa de memoria para conocer las direcciones fuente (de
M 2 y M 3 ) y destino (M 1 ) .
Analizando el circuito combinacional de decodificacin :
selecciona M 1
A15A14A12 = 000 001
011
selecciona M2
A15A14A12 =
selecciona M3
A15A14A12 = 110
A continuacin formamos las instrucciones TRANSFIERE (, , ) necesarias . Para ello
dibujamos el mapa de memoria .

MEMORIAS SEMICONDUCTORAS

A 15

A14

A13

0
0

A12
0

Memoria seleccionada

0
0

273

mi

16K palabras
MI

1
0
0
0

4K palabras (A 13 = 0)
M2

4K palabras (A 13 = 1)
M2

4K palabras (A13 =0)


M3

1
1

0
0

1
1

0
1

~\\\\\\\~i~:\\\\"`

4K palabras (A13= 1 )
M3

Para transferir a M 1 la totalidad de M2 Y M 3 hay que utilizar 4 veces la instruccin


TRANSFIERE :
1) La primera mitad de M 2 (A 13 = 0), que son 4K palabras y estn ubicadas entre $5000
y $5FFF, la llevaremos al primer cuarto de M 1 ($0000 a $OFFF) . Entonces :
TRANSFIERE ($1000, $5000, $0000) .
2) La segunda mitad de M 2 al segundo cuarto de M I :
TRANSFIERE ($1000, $7000, $1000) .
3) La primera mitad de M 3 al tercer cuarto de M I
TRANSFIERE ($1000, $0000, $2000) .
4) La segunda mitad de M3 al ltimo cuarto de M I
TRANSFIERE ($1000, $E000, $3000) .

Problema 5.- Utilizando circuitos de memoria de 8Kx8, realice una asociacin de 32Ka partir
de la posicin $6000 .
Solucin P5 .- Para ocupar 32K bytes de memoria con chips de memoria de 8Kx8 necesitamos
4 de estos (M I , M2 , M3 , M4) . Sean CS i el terminal de seleccin de chip y a 12- 0 sus lneas de
direccin .
Conectaremos las lneas del bus de direcciones AB = A15-0 de forma que a12-0 = A12-0,
y la seleccin de memoria la realizaremos con A15 , A14, A13 . Repartiremos las posiciones de
las distintas memorias como muestra la siguiente tabla :

274

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

A15
0
0
0
0
1
1
1
1

A14
0
0
1
1
0
0
1
1

A13
0
1
0
1
0
1
0
1

$comienzo
0000
2000
4000
6000
8000
A000
C000
E000

$final
1FFF
3FFF
5FFF
7FFF
9FFF
BFFF
DFFF
FFFF

M1
M2
M3
M4

CS 1
1
1
1
0
1
1
1
1

CS 2
1
1
1
1
0
1
1
1

CS 3
1
1
1
1
1
0
1
1

CS 4
1
1
1
1
1
1
0
1

Una solucin para el circuito de decodificacin es :

A1
A14
A1

1
2
3
4
5
0
6
DEC 7

D-

n n

CS
a12-0
M1

CS4

CS 2
0 DI

13

a12-0
M2

13

a12-0
M3

0 DI

13

a12-0
M4

A15-A0
16 A12 - Ao

Problema 6.- Se desea disear un sistema microcomputador que tenga 64Kbytes de memoria, de los cuales, 40K sean RAM y 16K ROM . Se dispone de chips de los siguientes tipos :
ROM: 16Kx4
RAM: 16Kx8
RAM : 4Kx8
Disee el circuito de decodificacin necesario .

MEMORIAS SEMICONDUCTORAS

275

Solucin P6.- Lo primero que resolvemos en el problema es la forma de conseguir palabras de


8 bits a partir de memorias de 4 bits por palabra .
La solucin es unir las lneas de datos de dos memorias ROM a las que se accede simultneamente ya que comparten las lneas de seleccin de chip y las de direccin .
El esquema es el siguiente :

cs
cs
a13 0

a13-0
7-0
14

16Kx4

16Kx4

210

3210

L 7161514

16Kx8

3)2)J0)

D7-0
Buscamos ahora la forma de situar 40K de memoria RAM y 16K de ROM en un mapa
completo que ocupa 64K .
De todas las posibles soluciones adoptamos aquella en la que se ocupa el espacio de memoria desde las posiciones ms bajas para la RAM y las ltimas posiciones de memoria para
la ROM . El mapa de memoria queda con la siguiente distribucin :
CS 1 CS2 CS 3 CS4 CS 5

A15 A14 A13 A12

M1

RAM 16Kx8

M2

RAM 16Kx8

M3
M4

RAM 4Kx8
RAM 4Kx8

0
1

1
1

Libre

ROM 16Kx8

0
1

M5

Las seales de seleccin de chip para cada una de las memorias las obtenemos con el
siguiente circuito de decodificacin :

276

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

A15
A14

1
0

0
1
2

DEC 2 :4

EN

CS 3

CS 4

DEC 2 :4

Problema 7.- Se dispone de 3 circuitos de memoria con entrada de seleccin activa en nivel
bajo, dos son de 8K palabras y el tercero de 32K. Estos circuitos van a estar direccionados
por un procesador de 16 seales de direccin (A 15- 0) . Se requiere que los circuitos de 8K ocupen las direcciones menores y las mayores .
a) Proponga un mapa de memoria que utilice los tres circuitos y deje libre las 16K palabras de direccin sobrantes . Disee el circuito que realiza ese mapa .
b) Indique el circuito de memoria y la posicin en dicho circuito que se activa con cada
una de las siguientes direcciones ($A 15-o, en hexadecimal) : $0123, $2345, $4567, $6789,
$89AB, $ABCD, $CDEF y $EF01 .
Solucin P7 .-Descomponemos las 64K direcciones del bus de direcciones externo AB, en
grupos de 8K, cada uno de los cuales est definido por uno de los posibles valores de A15 , A14 ,
y A 13 . La tabla indica una de las posibles soluciones, donde la memoria de 32K ocupa las posiciones intermedias . Para realizar el circuito, describamos cmo son las memorias :
M 1 y M2 son de 8K, por tanto tienen 13 lneas en su bus de direccin (a12-0) .
M 3 es de 32K con 15 lneas de direccin (a14-0) . _
Asumimos que todas tienen su seal de seleccin CS M ; .
A15

A14 A13

0 0

0 1

8K de M 1
Libre

0 1 0

1 1
32K de M 3

1 0 0
1

Libre

Damos dos soluciones para la decodificacin . La primera utiliza un decodificador y la


segunda es un diseo a nivel de puertas .

MEMORIAS SEMICONDUCTORAS

A15
A14
A13
A15
A14
A13

277

>1
esMI

o- USM2

CSM3

=1
USM3

Las lneas de direccin de M1 Y M2 (a12-0) se conectan directamente a las lneas A12-0 .


Para M3 necesitamos 15 lneas A; . En principio hay dos soluciones (en todo caso adems
de A13-0 hay que utilizar A15 A14) :
a14-0 = A14-0
o
a14-0 = A15 A13-0Elegimos la primera pues es la que cubre el mapa de memoria :
CSM3

CS MI

CSM2

Ml

M2

M3

a12-0

a12-0

a12-0

8K

8K

32K

De acuerdo con lo anterior, pasamos a solucionar el apartado b) . A partir de las direcciones A15-0 que se nos indicanm tendremos que deducir del valor de A15 A14 y A13 si se selecciona alguna memoria y cul es . Posteriormente, analizamos el valor de la lneas de direccin de la memoria seleccionada (ale-0 para M1 Y M2 ; a14-0 para M3 ) para averiguar qu direccin interna es activada . En la siguiente tabla se muestran los resultados de dicho anlisis .
$A
A15A14A13A12

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

binario

0
0
0
0
0
0
0
0

Memoria
A11-8

1
3
5
7
9
B
D
F

A7-4

2
4
6
8
A

C
E
0

Palabra de memoria

A3-0

3
5
7
9
B
D
F
1

hexadecimal

M 1
Libre
M3
M3
M3
M3
Libre
M2

a12 al 1-8 a7-4 a 3 -0 =


a14-12 al 1-8
al 4-12 a11-8
a14-12 a l 1 - 8
a14-12 a11-8

a7-4 a 3- 0 = 4
a7 -4 a3- 0 = 6
a7 -4 a3- 0 = 0
a7-4 a3-0 = 2

a12 a l 1 - 8 a7-4 a 3 -0 =

2 3

5 6 7
7 8 9
9 A B
B C D
F 0 1

hexadecimal

278

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 8.- En una memoria LIFO de fondo 6 se va a realizar la siguiente secuencia de operaciones:
3 PUSH, 1 NOP', 1 PULL, 2 PUSH, 2 NOP, 1 PULL, 1 PUSH .
La memoria est vaca en el instante inicial. La anchura de la memoria es de 8 bits . Por
su bus de entrada vienen caracteres ASCII con paridad par, concretamente, los valores durante las sucesivas operaciones de escritura son : N, E, G, 1, C, B.
a) Muestre el contenido de la LIFO al realizar la secuencia de operaciones.
b) Supuesta vaca la LIFO y siguiendo un proceso de 2 operaciones de escritura y 1 de
lectura (despus otras 2 de escritura y 1 de lectura, . . .), indique la secuencia de entradas a la
LIFO para que en la pila est escrita la palabra FINAL en algn momento .
Solucin P8.- Para conocer el contenido final de la pila vamos a obtener los resultados
parciales despus de cada operacin de escritura (PUSH) o lectura (PULL) sobre la pila . En la
siguiente figura aparecen los distintos pasos ; encima de la flecha se escribe el tipo de operacin
y debajo el dato de entrada (X significa que no importa el dato) .
instante inicial
-V -A -C-

PUSH

PUSH

PUSH

PULL

PUSH

PUSH

NOP

NOP

PULL

PUSH
3
B

NOP

b) Resolvemos este apartado de forma equivalente al anterior aunque nos lo planteamos


al revs, es decir, conocemos la palabra que debe estar almacenada como ltimo paso de la
secuencia de operaciones y vamos hacia atrs evolucionando operacin a operacin . Sabemos
que la secuencia de operaciones es alternativamente dos operaciones de PUSH y una de PULL .

NOP : no operacin .

MEMORIAS SEMICONDUCTORAS

PUSH

FINAL
F
PUSH
<
N

PUSH
INAL ~PULL

AL

NAL

PUSH
NAL
N

<

PUSH
PULL
INAL < I
X

279

NAL

PULL
PUSH
< AL
AL
< L
A
X

E-

PUSH

PUSH
< Vaca
A
L
En definitiva, la secuencia de datos necesaria para utilizar en la secuencia de operaciones es : L, A, X, A, N, X, N, 1, X, 1, F . Y como resultado de la octava operacin de escritura
(PUSH), que es la 11 2 operacin, se consigue tener la palabra "FINAL" en el contenido de la
LIFO . Los caracteres ASCII con el bit paridad par como bit ms significativo que aparecen en
este problema son (en hexadecimal) :
F : $C6
C : $C3
E : $C5
A : $41
B :$42
L : $CC
N : $4E
G :$47
1 : $C9
L

PROBLEMAS CON SOLUCIN RESUMIDA

Problema 9 .- Determine el mapa de memoria del circuito de la figura . Indique, si es posible,


= $8000 .
qu palabras de la RAM se direccionan cuando A /A = $4ABC y A
15

15 _o

A13
0

cs
0
A15 -

A12 -

DEC

2 :43 :)

MUX 4 :1

A ,A12,A1o- A o
fi RAM -13
8Kx8

A14 A11

90130-D7

280

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P9.- El mapa de memoria del circuito es :


A15

A14

A13

A12

A11

0
0
0
0
1
1

0
1
0
1

800-$4FFF a12-0 = $0000'-$07FF


$5800-$5FFF

0 = $0800401-1-1

0
1
1
0
0
1
0

0
1

-$ 000-$9'/H a12-0 = $1800411`14;


115.)=$9800-$9FFa'1~ a 0=$1800-$1FFF

0
1

-$ 000-$C7FF a12-0=$1000-$17FF

0
1
1
1
0
0
1
1
1

donde las lneas de entrada de la RAM, ale-0, son :


a12 =A15 , al 1 = A12, ajo-0 = Ato-0 .
Las palabras de la RAM que se direccionan cuando el bus externo A15-0 contiene las
direcciones $4ABC y $8000 son :
a12-0 = $02BC
Si A15-0 = $4ABC
Si A15-0 = $8000

No se selecciona la memoria RAM, por lo que no se accede a


ninguna direccin de sta .

Problema 10.- Para el circuito de la figura, determine las distintas secuencias de salida,
indicando las direcciones en que ocurren cada una de ellas, dentro de un mapa de memoria
de 64K.

MEMORIAS SEMICONDUCTORAS

281

[$1
0
1
2

A15

A14
A13
A12

3
4

1
2
3
4
5
6

5
6
7
8
9

a3 CS d3 ->
a2
d2
>
d1->
A11 - al
A to -ao
do - >

DEC3 :8 7

A
B
C
D
E
F

ROM(24x4)
q
CONTADOR
MOD-4

CLK

q1

0
2
F
B
F
D
E
B
0
1
2
3
A
B
F
C

Solucin P10 .- Las secuencias que se obtienen a la salida de la ROM dependern de los valores de a3 _0 . Las lneas a l y a o estn fijas a A11 y Ajo mientras que a3 y a 2 , al estar conectadas
a las salidas del contador, van cambiando ciclo a ciclo . Analizando los distintos casos se obtiene :
Direcciones internas de la memoria RAM
a3 a2 al ao

A11 Ajo = 00

A11 Ajo = 01

A l , A jo - 10

A11 Ajo = 11

d3 d2 d l do
0

0 0

1 0

1 0

0
0

Secuencia de Salida

0 0
1

0
1

1
0

0 1

0 1

0
1

1 0

1 0

0 0

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

282

Problema 11 .- Utilizando memorias 4Kx4, disee un circuito de decodificacin que permita


situar 16 Kbytes a partir de la posicin $1000.
Solucin P11 .- Solucionamos primero la obtencin de memoria de 8 bits por palabra a partir
de memorias de 4 bits por palabra .
CS
CS

a13-0

a13-0
/

a13-0

14

14

16Kx4
3210

7-0
16Kx4
3210

l7~6l5l4

3)2)1)C)

~ g
D7-0
Las cuatro memorias se colocarn a partir de la direccin A15-0 = $ 1000, es decir :
A 15 = 0, A 14 = 0, A13 = 0, A12 = 1 y A11-0 = 000 (en hexadecimal) . De esta forma, las seales
CSi de cada memoria debern activarse segn la siguiente tabla :

A15

A14

A13

A12

CS 1

CS2

CS 3

CS 4

MI

M2

M3

M4

restantes combinaciones

El circuito de decodificacin es el siguiente :


A15

CS 1
CS 2

A14

CS3
A13

CS 4

A12
DEC 3 :8

Problema 12.- Un sistema basado en el microprocesador R65C02, dispondr de 3 RAM de


8Kx8 y una EPROM de 8Kx8 . Disee el circuito de decodificacin correspondiente .

MEMORIAS SEMICONDUCTORAS

283

Solucin P12.- Tenemos que situar 3 memorias RAM de capacidad 8Kx8 y un EPROM de
8Kx8 en un mapa de 64K . Para ello damos uno de los posibles circuitos de decodificacin .
Hemos colocado las cuatro memorias una a continuacin de otra empezando desde la primera
direccin del mapa completo .

D -D7

A1 - Ap
13 1 a12-a0
RAM I

8
8

0
1
2
3
4
5

A15
A14
A13 -

Da - D 7

A12-

3 a,2- a0
13
1

RAM2
A
Do - D 7

A 12 -A0 .

DEC 3 :8 7

13

DI

-r

a12 -a, )

8
RAM3
A

A 1 2-A0
13

a 12-al)

Do - D 7

ROM

Para este circuito de decodificacin la mitad del mapa queda vaca . Slo se ocupan los
primeros 32K del mapa . Otra opcin en donde se ocupara el mapa completo de los 64K, ya
que cada una de las memorias cubre 16K, es la siguiente :
A
D o - D7

A12-A0
a 12
-a,1

13

8
RAM I
8

A15 -

A12-A0
---o, >
13

Do - D7
a12 -a0

8
RAM2

A14
_-

DEC 1 .2 3

A 1 2-A0
-- .
13

D -D7
a12 -a0

-01

RAM3
A
A12-

Do - D 7

/
a 12-a

13

8
ROM

Do - D 7

284

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 13.- El mapa de memoria de un microprocesador con bus de direcciones de 16 bits


est ocupado por 8K ROM y 20K RAM. Disee el circuito de decodificacin necesario si se
dispone de chips de 8Kx4 ROM, 16Kx4 RAM y 4Kx8 RAM .
Solucin P13 .- En primer lugar, hay que obtener memorias de 8 bits/palabra a partir de las de
4 bits/palabra (vase problema 11) .
Una de las posibles soluciones sera situar las 4 memorias de la siguiente forma :
A15

A14

A13

A12

M 2 : RAM (4Kx8)

M 3 : RAM (4Kx8)

M 1 : RAM (16Kx8)

M4 : ROM (8Kx8)

restantes combinaciones

vaca

Circuito de decodificacin :
0
A15
A14

1
0

1
2

DEC 2 :4 3

EN

1
0

CS 2

1
2

CS 3

DEC 2 :4 3

EN

0
1
DEC 1 :2

CS 4

Problema 14.- Utilizando circuitos de memoria 2Kx4, realice una configuracin 8Kx8 que
ocupe 8K posiciones a partir de la 4096 (10 en un mapa de memoria de 64K .
Solucin P14 .- Una vez que tengamos todas las memorias de 8 bits por palabra para lo que
hemos tenido que hacer una asociacin de memorias de la forma en la que se hizo en problemas
anteriores, hacemos la distribucin de estas memorias a partir de la direccin 4096, es decir,
A15-0 = $ 1000 con lo que : A15 = 0, A 14 = 0, A 13 = 0, A 12 = 1 y A 11 - 0 = 000 (en hexadecimal) .
El mapa de memoria es :

MEMORIAS SEMICONDUCTORAS

CS 1

CS2

CS 3

CS 4

M1

ato-0

M2

a jo -0

M3

ato-0

M4

Al ,

A10-0

$A15-0

A,5

A14

A13

A12

0---

1000

a jo -0

a
2FFF
3000
a

restantes combinaciones

285

HF1-F

El circuito de decodificacin :

CSi

1
CS 1
CS2
CS 3
CS 4

RAM
A jo-A0 -- T-> a10-a0 -yD o -D7
1
2Kx8

Problema 15.- Disee un circuito decodificador que permita situar 20Kbytes de RAM a partir
de la direccin $5000 dentro de un mapa de memoria de 64K . Para ello se dispone de chips
de 8Kx8 y 4Kx4 .
Solucin P15 .- En primer lugar se obtienen todas las memorias de 8 bits por palabra realizando una asociacin para los casos en los que sea necesario .
Damos a continuacin, el mapa de la distribucin de las memorias . Todas estn consecutivamente dispuestas a partir de la direccin $5000 (A 15 = 0, A 14 = 1, A 13 = 0, A 12 = 1
y A11-0 = 000 (en hexadecimal)) .
Mapa de memoria :
A15
A14
A13
A12
0
1
0
1
0
1
1
1
0
0
restantes combinaciones

M 1 (4Kx8)
M 2 (8Kx8)
M 3 (8Kx8)
Libre

CS l

CS2

CS 3

0
1
1
1

1
0
1
1

1
1
0
1

al 1-0 = A11-0
a12-0 = A12-0
a12-0 = A12-0

286

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Circuito de decodificacin :

CS 1

A15
A14
A13

Problema 16 .- Se dispone de RAMs de 8Kx8 y de 4Kx4 . En una CPU de 16 lneas de direccin y 8 de datos :
a) Disee con puertas lgicas un banco de memoria de 28K palabras, a partir de la direccin $4000 del espacio de direcciones .
b) Indique la posicin fsica correspondiente a las direcciones $4567 y $CAFE en el bus
de direcciones .
c) Qu direccin hay que poner en el bus de direcciones para leer la posicin $0123
de una de las memorias RAM de 4Kx4?
d) Indique los cambios que habra que hacer si el bus de datos fuera de 4 bits .
Solucin P16 .
a) Primero asociamos las memorias necesarias para tener todas las memorias de 8 bits
por palabra :
CS

CS
C$a

Cs n

4Kx4

4Kx4

all-0
a11-0

7-0

12

3210

3210

17t I
6

3)2)1)

El mapa de memoria que proponemos es :


A15
0
0

A14
0
1

A13

A12
Libre (16K)

CS I

CS 2

CS 3

CS 4

1
1

1
1

M 1 (8Kx8)

1
0

0
1

M2 (8Kx8)

1
0

M 3 (8Kx8)
M 4 (4Kx8)

1
1

restantes combinaciones

Libre (20K)

MEMORIAS SEMICONDUCTORAS

287

El circuito de decodificacin realizado con puertas se muestra a continuacin :


A15

CS1
.

A14

CS2

CS 3
A13
CS 4
A12

b) Las direcciones de memoria ledas para las direcciones propuestas en el bus exterior
de lneas A 15 -0 son :
M 1 ha sido seleccionada
a 12 -0 = $0567
A15-0 = $4567
Ninguna
memoria
seleccionada
A15-0 = $CAFE
c) Para leer la direccin $0123 de de las memoria de 4Kx4 tendramos que poner en el
bus de direcciones la palabra A15-0 = $A123 .
d) Para tener un bus de datos de 4 bits por palabra, una solucin es conectar al bus de
datos slo 4 de los 8 bits que tenamos antes . El mapa anterior es vlido pero se desaprovecha
la mitad de cada una de las memorias .
Otra opcin es utilizar slo memorias RAM de 4Kx4 . As, para sustituir las memorias
M1, M2 Y M3 anteriores que eran de 8K, hacemos una asociacin de dos RAM de 4K para cada
una de ellas Mi (con i=1, 2, 3) como se indica a continuacin :
A12
A15

A14

A13

A15i
Al5i

Al4i
A141

A131
Alai

A12
0

M2a

M2b

CS i

CSib

CSi a
CS
M ia

4Kx4
A12-0

CS
Mib

4Kx4

3-

288

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 17.- Disee una memoria tipo LIFO de 8 bits de anchura y un fondo de 6 en los
siguientes casos:
a) Con registros de carga en paralelo .
b) Con registros de desplazamiento .
Solucin P17 .
a) Dado que la memoria LIFO tiene 8 bits de anchura y un fondo de 6, el sistema debe
disponer de 6 registros con carga en paralelo de 8 bits cada uno de ellos . La conexin que se
lleva a cabo entre los distintos subsistemas es la siguiente :
8
PUSH
8
> OUT[81

a
'

PUSH PULL LOAD


0
0
1

0
1
0

0
1
1

Rx

OUT

Rx - Rx
HI
R x E- Rx+I, R6 - 0 [R1]
R x E- Rx-1, R6 <-- 1 HI

ppw
1

b) Se usan 8 registros bidireccionales de 6 bits . Las operaciones de PUSH/PULL son


operaciones de desplazamiento a derecha/izquierda, respectivamente, y la salida de la LIFO es
la palabra formada por los bits situados en uno de los extremos de cada uno de los registros .

MEMORIAS SEMICONDUCTORAS

OUT[8]

PUSH
PULL

PUSH PULL
0
0
1

0
1
0

LR

Rx

00
01 R x F-- SHR(R x ,O)
10 Rx F- SHL(Rx>Ix)

OUT
HI
[R0 Ro . . . Rp]
HI

(desplazamiento a derecha)
(desplazamiento a izquierda)

289

Captulo 11
INTRODUCCIN A LOS SISTEMAS DIGITALES

El incremento en la complejidad de los circuitos digitales, provoca que las tcnicas de descripcin y diseo estudiadas hasta aqu (mquinas de estados finitos, K-mapa, .. .) sean poco tiles .
Esto viene motivado, fundamentalmente, por el elevado nmero de estados y seales que poseera un circuito de estas caractersticas . Por tanto hay que introducir herramientas alternativas que permitan el manejo de estos "circuitos complejos" a los que nos referiremos en adelante como sistemas digitales .
SISTEMAS DIGITALES A NIVEL RT
Un sistema digital se compone fundamentalmente de dos partes : unidad de proceso, donde se
realizan operaciones sobre datos de entrada, y unidad de control, capaz de recibir informacin
sobre la operacin a realizar y generar la secuencia de instrucciones que se deben acometer sobre la unidad de proceso . En esta obra se tratarn sistemas digitales sncronos donde una sola
seal acta de reloj de ambas unidades .
Unidad
de
control
x

Zout
)1

Unidad
de
proceso

Dout

x : cualificadores o
entradas de control
z : comandos
D :datos

En la siguiente tabla aparece un estudio comparativo entre sistemas digitales (SD) y circuitos digitales (CD) . La diferencia esencial entre ellos es la unidad de informacin : la palabra
(o conjunto de bits) para los SD, y el bit para los CD . De aqu que el funcionamiento de los SD
sea descrito mediante la transferencia de las palabras o datos a travs de los elementos que los
almacenan (registros) . Para ello se utiliza el nivel de descripcin llamado "nivel RT" (Register
Transfer) .

291

292

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Circuitos
Informacin
Nivel/Lenguaje
Funcionalidad
Componentes
Conexin
Organizacin

0,1
de conmutacin
FSM
puertas y biest .
lneas
combinacional y
almacenamiento

Sistemas
palabras
RT
operaciones
MUX, registros, . . .
buses
procesado de datos
y control

El nivel RT trata a todos los dispositivos capaces de almacenar informacin como registros . As, un biestable se considera como un registro de un bit ; un contador es un registro con
capacidad de incrementar o decrementar su dato ; una memoria es un banco de registros cada
uno identificado por un nombre lgico (direccin) ; y, propiamente, los registros se incluyen
dentro de este concepto .
Con el lenguaje RT podemos describir el contenido de un registro o las operaciones que
se realizan sobre l . Estas ltimas pueden ser de tres categoras :
- Escritura : cambio de dato almacenado en el registro (R) . Es una operacin
secuencia) y se realiza cuando el reloj est activo . Su formato es :
R F- nuevo dato
- Lectura : salida del dato almacenado . Es una operacin combinacional . Su
formato es :
Dout = dato presente
- Control : establece cmo opera el registro (esto es, bajo qu valores lgicos de las
seales de operacin "s" se escribe y se lee) . Su formato es :
f(s) : operacin
(f es combinacional)
Las transferencias de datos entre los registros se realizan mediante lneas que los interconectan . Este conjunto de lneas se denomina bus . En un bus se pueden realizar dos operaciones de inters :
- Lectura del bus, en la que algn registro lee el dato que contiene el bus para almacenarlo (corresponde a una operacin de escritura en el registro) .
- Escritura en el bus, en la que algn registro "vuelca" su contenido al mismo (operacin de lectura en el registro) .
Existen diversos mtodos de interconexin para los registros, dependiendo de las
caractersticas de lectura/escritura de estos . Principalmente los mtodos son por multiplexado/
demultiplexado y por conexin va alta impedancia (buses triestado) . En los problemas se
detallan estos mtodos .

DISEO DE SISTEMAS DIGITALES


El diseo de sistemas digitales es una tarea compleja para la que no existe ningn mtodo
sistemtico . Sin embargo, se pueden aplicar algunas guas de diseo que faciliten el trabajo,
como la de seguir una metodologa top-down . En primer lugar, se especifica el conjunto de
instrucciones que debe realizar el sistema . Se propone, seguidamente, una arquitectura para

INTRODUCCIN A LOS SISTEMAS DIGITALES

293

la unidad de datos que permita realizar el conjunto de instrucciones anteriormente


especificado . A partir de aqu, se obtienen los algoritmos que realicen cada una de las
instrucciones en la unidad de datos propuesta, expresados en primitivas RT. Se ensamblan
todos los algoritmos anteriores y se obtiene la secuencia de operacin y control del sistema
digital . Por ltimo se realiza la unidad de control que ejecute dicha secuencia .
En este tema de introduccin no se trata el diseo de unidades de control complejas que
es estudiado en el tema siguiente .
DESCRIPCIN FUNCIONAL DE SISTEMAS DIGITALES
La descripcin funcional de los SI) requiere de herramientas que especifiquen la operacin de
los mismos . Una de estas herramientas es la carta ASM (Algorithmic State Machine) . Una carta ASM se compone de cajas de estados, cajas de accin condicional y cajas de decisin, agrupadas en bloques ASM . Cada bloque consta, al menos, de una caja de estado y puede poseer
un nmero indeterminado de cajas de decisin y accin condicional . Tanto la caja de estado
como la de accin condicional representan en su interior las acciones o salidas activas del SI) .
La caja de decisin, en cambio, incluye las variables de entrada al SI) .

Acciones

Acciones

Caja de estados

Caja de decisin
Acciones

(Acciones
clones

Caja de accin
condicional

De forma alternativa, se puede utilizar un lenguaje de descripcin de hardware (HDL) .


El HDL que utlizamos aqu es uno muy simple y tiene slo propsitos docentes . El formato
general de instruccin consta de : un identificador (N) ; un campo de condiciones, donde se reflejan las decisiones sobre las entradas (cualificadores) ; un campo de acciones, bien de transferencias entre registros, bien de comandos y un campo de identificadores de prxima instruccin (J, K, . . .) . A este formato general pueden hacrsele algunas simplificaciones .
N
condicin1 accin 1/ .. . J
condicin2 accin 2/ .. . K

ndice del Captulo


Este Captulo desarrolla problemas de las siguientes materias :
- El nivel RT.
- Interconexin mediante buses .
- Tcnicas formales de descripcin (cartas ASM y lenguaje HDL) .
- Diseo de sistemas digitales (con unidades de datos simples o propuestas ).

294

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

PROBLEMAS RESUELTOS

Problema 1.-Compare las siguientes declaraciones RT .:


a)A+B:
ESC+D
d)A 8:
b) A+ B:
E<-- C v D
e) A B :
c)C+D :
E4-A+B
f)A B:

E<-- CAD
E<-- C D
EF--CxD

Solucin Pl .- El convenio para declaraciones RT establece la forma general :


f (X I ,X2 , . . .) : RD E- G(RF I , RF2 , . . .),
donde :
X I , X 2 , . . . son variables lgicas
f( ) es una funcin combinacional,
RD, RF I , RF2 , . . . son registros (destino, fuente 1, fuente 2 . . .)
y G( ) es una operacin aritmtico-lgica entre los datos de los registros
fuentes .
Entonces :
- El smbolo "+" que aparece a la izquierda de " :" significa una operacin OR entre las
variables correspondientes (A y B en los casos a y b, C y D en el caso c) . Anlogamente,
representa la operacin AND entre A y B en los casos d, e y f.
- A y B son variables lgicas en los casos a, b, d, e y f y son registros en el caso c . Al
revs ocurre con C y D (variables en c y registros en los dems casos) .
- Para distinguir entre suma aritmtica y suma lgica (OR), se reserva "+" para el primer
caso y "v" para el segundo (casos a y b respectivamente) . Anlogamente, en caso necesario,
y/o "x" se reservan para la multiplicacin aritmtica y "A" para la operacin AND . El valor almacenado en E es, por tanto,
a) E F- C + D con "+" como suma aritmtica .
b) E; 4- OR (C;, D i ) Vi
c) E 4- A + B con "+" como suma aritmtica .
d) E ; 4- AND (C ;, D i ) Vi
e, f) E; 4- C x D con "x" como producto aritmtico .
- Las dimensiones de los registros son :
E[n], C[n] y D[n] en los casos a, b y d.
E[n], A[n] y B[n] en el caso c .
E[2n], C[n] y D[n] en los casos f y e, ya que el producto aritmtico de dos
nmeros de n bits, da como resultado un nmero de 2n bits .

Problema 2 .- En la unidad de datos de la figura se activan las seales de acuerdo con la


siguiente secuencia de control:
ciclo EN d l do S I S o
1
2
3
4
5
6

0 1
1 1
0 0
0 0
1 0
0 1

1 0 0
1 1 1
0 0 1
1 1 0
0 0 0
0 1 1

INTRODUCCIN A LOS SISTEMAS DIGITALES

295

Describa qu operaciones se hacen (a nivel RT) as como la operacin global en los


seis ciclos de reloj.

1
2 3
Si- 1 0
0
nxMUX4 :1
So
n
1n1

d1

fn
n1

n1

n1

w A

w B

w C

w D

n/

n/

n/

A 1

DEC 1

d o- 0 2 .4 2
3
1
EN

Solucin P2.- Analicemos las operaciones realizadas en cada ciclo de reloj .


Ciclo 1 . Como EN = 0 el decodificador est activo y por lo tanto se est seleccionando
uno de los registros para escritura . Como d 1 d0 = 11 el registro seleccionado es D . Por otra parte
S I S O = 00 lo que implica que el dato que se encuentra en el bus de entrada es [A] . As la operacin realizada en este ciclo de reloj es D 4- A .
Ciclo 2 . En este ciclo EN = 1 lo que implica que nign registro tiene activa la seal de
escritura. No se realiza ninguna operacin entre registros .
Ciclo 3 . En esta ocasin EN = 0 y d e do = 00 luego el registro seleccionado como destino
es el registro A . Como SISO = 01 el dato que se encuentra en el bus de entrada de los registros
es [B] y la microoperacin que se realiza es, por tanto, A 4- B .
Ciclo 4 . Para este ciclo encontramos activas la seal EN y d a d o = 01 (se selecciona como
destino el registro B), mientras que SISO = 10 y por tanto el dato en el bus ser [C], la microoperacin entonces es B 4- C .
Ciclo 5 . Al igual que en el ciclo 2, no se realiza operacin alguna entre los registros .
Ciclo 6 . En el ltimo ciclo la seal EN est activa y con d 1 do = 10 se activa escritura
de C . Como S I S O = 11 el dato en el bus es [D] y la microoperacin realizada es C E- D .
En resumen, la secuencia de microoperaciones es :
1 .D4-A
2 . NOP

296

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

3. A - B
4 .B-C
5 . NOP
6 .C<--D
Globalmente, por tanto, la operacin realizada es : D F- A y A - B E- C

Problema 3.-Sobre un registro A deben realizarse las tres operaciones siguientes, siendo B

el contenido de n bits de un bus de datos :


TNOR :
TNAND:
TEQ :
Disee una etapa del registro A de n

A - A + B
A F- AB
A<- A O+ B
bits con biestables JK .

Solucin P3 .- Las operaciones pueden traducirse a las de una sola etapa A j :


Aj
A + Bi
TNOR :

TNAND :
Aj <-- A i B i
TEQ :
Aj - AJ O+ Bj
Entonces en funcin de los valores de TNOR, TNAND, TEQ y B j y del valor actual de
Aj , podemos escribir el mapa de Karnaugh para el valor prximo de Aj (tabla de estados de la
etapa j) :
TNOR TNAND TEQ
Aj B j
000 001 011 010 110 111 101 100
(Aj = qj)
00 0
1
1
1
01

11

10

Qj = Aj * Bj

(* = NOR, NAND, EQ)

A continuacin pasamos a la tabla de excitacin donde consideraremos que la transferencia de estado es llevada a cabo por biestables JK .
TNOR TNAND TEQ
Aj i
000 001 011 010 110 111
(Aj = %)
00 01- -1----

1-

101 100
1-

0-

0-

0-

-0

-0

-1

-1

-0

-1

-0

-1

Jj Kj

INTRODUCCIN A LOS SISTEMAS DIGITALES

297

de donde deducimos las ecuaciones de excitacin :


Jj- = TNAND + TEQ Bj. + TNOR B.
Kj = TNOR + TNAND Bj + TEQ Bj
Por tanto, el circuito correspondiente a la etapa j es :
TNAND

TEQ
q

Bj
TNOR

Problema 4 .-Se definen las siguientes operaciones de desplazamiento a la derecha :


- LSR (Logic Shift Right) : Se trata del llamado desplazamiento lgico, en el que el bit
de entrada serie es 0 .
- ASR (Arithmetic Shift Right) : Se trata del llamado desplazamiento aritmtico, en el que
el bit de entrada serie es el bit de signo .
- ROR (Rotation Right) : Se trata de la llamada rotacin, en la que el bit de entrada serie
coincide con el bit de salida serie .
a) Describa las tres operaciones a nivel RT para un registro de n bits, representando el
circuito correspondiente .
b) Realice los tres circuitos anteriores para el caso n = 8 utilizando el registro 74198 .
c) Realice un circuito basado en 74198 que permita realizar las siguientes funciones

A j A 0 Operacin
00
LSR
01
ASR
1ROR
X7-o

18
MR S I S o

Operacin

0-100

Puesta a 0 asncrona
Inhibicin
Desplazamiento izqda .
Desplazamiento dcha .
Carga en paralelo

sr
c MR
SI

74198
[81

101

110
111

Ck
07-0
Solucin P4 .

a) A nivel RT las operaciones son las siguientes (donde B es un registro de desplazamiento a la derecha) :
LSR : SHR(B, 0)
ASR : SHR(B, B,-i)
ROR : SHR(B, B 0)

298

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Los circuitos que corresponden a cada una de ellas son :


0

1
n-1

LSR

ASR

ROR

b) En los tres casos ser necesario utilizar el circuito 74198 en modo de desplazamiento
a la derecha, para ello se fijarn las entradas MR, S 1 y S o a 1, 1 y 0 respectivamente . La entrada
D sr deber ser conectada a 0, B i - 1 Bp segn la operacin que se desee realizar . En los circuitos que se muestran a continuacin, las siglas NC indican "no conectado" .
LSR : SHR(B, 0)

ASR : SHR(B, Bn-1)

NC

sr
C

MR
S1
S

NC

7-0

B 74198

07 (- B7)

Ck
07-0
NC

ROR : SHR(B, B0)


1

Ck

sr
MR
S1
S

NC

7-0
B

74198

0 0 (= B0)

c) Ya que la nica diferencia para los tres casos (apartado b) radica en la entrada Dsr ,
bastar multiplexar a dicha entrada la seal correspondiente :

A1 A0

Dsr

00
01
1-

0
07
00

INTRODUCCIN A LOS SISTEMAS DIGITALES

299

Alternativamente, podemos obtener DSr como funcin de A 1 , A0, 0 7 y 00


07 00
10

A1
00
01

DSr = A100 + 1 Ao 07

11
10

Problema 5.-Se dispone de cuatro registros con datos (R o, R 1 , R2 y R3) y una ALU, todos de
n bits. Se desea disear un sistema que permita a cualquiera de los registros ser datos-operandos y/o destino del resultado . El registro fuente del dato A es seleccionado por dos bits, A 1
y A o; el de B, por 8 1 y B O; y el de destino, por D 1 y Do. Muestre la estructura del sistema e
indique cmo se realiza una operacin en los siguientes casos :
1) Un esquema de conexin basado en multiplexado, usando registros con terminales
de entrada y salida separados.
2) Un esquema de conexin basado en buses triestado, usando registros con terminales de entrada y salida separados .
3) Usando registros con terminales de datos bidireccionales .
Aada en cada caso los dispositivos que se necesiten . Indique, tambin en cada caso,
la secuencia de activacin de las seales de control de los dispositivos indicando de dnde
provienen.
Solucin P5.
1) Solucin basada en multiplexores .
Los registros utilizados son como el que se describe a continuacin :
IN

w=1 :REG4-IN
w = 0 : REG E- REG
OUT = [REG]
OUT
Los datos A y B se obtienen multiplexando los datos de los cuatro registros RO, R1,

k2

y R 3 . El destino se obtiene decodificando D 1 D O , lo que permite activar la escritura w de slo


uno de los registros .

300

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Entonces, la unidad de datos es :

EW
DI - 1

n/
3

DEC 2
Do - 0 2 :4 1
0

y
R0

R2

n/

0 1 2 3
Aln x MUX 4 :1
A0-0

B I- 1 0 1 2 3
n x MUX 4 :1
B0- 0

ALU

SEL OP

Cada operacin aritmtico-lgica se selecciona con SEL OP y se ejecuta en un ciclo de


reloj (una microoperacin) :
1 .R D -R A *R B
Las seales a activar son SEL OP (que selecciona la operacin *), y la combinacin
adecuada en las seales A 1 , A0 , B 1 , B 0 , D 1 y D 0 para seleccionar los registros fuente y destino
deseados . Es conveniente (aunque no obligatorio) introducir una seal de habilitacin de escritura (EW) para que slo se escriba en un registro Ri cuando se desee .
2) Solucin basada en buses triestado .
Los registros que utilizamos en este caso son :
IN
w = 0 : REG - REG

w=1 : REG-IN
r = O :OUT=HI
r = 1 : OUT = [ REG]
Se permite r = w = 1

INTRODUCCIN A LOS SISTEMAS DIGITALES

301

Entonces la unidad de procesado :


n
wo
ro Ro

n
w
rW )

R1

w2

w
r3 3 R3

r2

EW
n
3

> w3

DEC 2
D o - 0 2 :4 1
0

> w2
>w1

Di -

RT

> wo
r3

A l /13 1 2
DEC

A 0/13 0 - 0 2 :4 1
0

r2
ALU

rl

SEL OP

ro

El registro RT es necesario para almacenar el dato B temporalmente . Puede obtenerse a


partir de los registros que estamos utilizando sin ms que fijar a 1 la entrada de control de
lectura .

Cada operacin requiere dos ciclos (dos microoperaciones) :


1 .RT4-R A
2 .R D 4-R B *RT
Es obligatorio usar EW, debe ser 0 en la primera microoperacin y 1 en la segunda .
Se necesitan tres buses de datos :
- Uno triestado, con n lneas, desde R; a RT y dato A .
- Dos estndares, con n lneas, desde RT al dato B y desde la ALU a R; .
En cuanto a la generacin de las seales de lectura, el controlador debe poner :
A ;/B i = B i en el ciclo 1
A ;/B i = A; en el ciclo 2 .
3) Solucin basada en registros con UO .
Utilizamos registros con terminales bidireccionales (I/O), por lo que la conexin es a
travs de un bus triestado .

302

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

DAT

rw

REG E-

DAT

0 0
01
10
11

REG
DAT
REG
prohibida

HI
entradas
[REG]
prohibida

Como por un bus slo puede haber un dato y necesitamos tres (A, B y A * B), se necesitan dos registros ms para el almacenamiento temporal . De estos, uno se destina a almacenar
un dato-operando (por ejemplo B) y el otro puede :
1) almacenar el otro dato-operando (A), en cuyo caso el resultado A * B puede almacenarse en el registro de destino R D
2) almacenar el resultado A*B, en cuyo caso el dato-operando A es suministrado
por RA .
Solucin 1) :
wo
ro Ro

w
r,

w
r22

R,

W
r33 R3

R2

TA

TB

SEL OP

ALU

CB

La salida de la ALU debe poseer buffer triestado con el fin de que no haya problemas
de cableado con el bus triestado cuando R A o R B viertan datos . El control de los buffers (CB)
debe activarlo la unidad de control cuando realice la escritura en R D .
Una operacin requiere tres microoperaciones :
1 . TA -R A
2 .TB <-- RB
3 .R D E-TA*TB
Es obligatorio incluir EW, que slo se activara en la microoperacin 3 . En lo dems, la
generacin de w es como en los casos anteriores .

INTRODUCCIN A LOS SISTEMAS DIGITALES

303

La generacin de las seales de lectura rj es como la discutida en la solucin basada en


buses triestado .
Solucin 2)
w
r p RO

w-w
r ~' R,

-w
- r33 R3

W
r2 2 R2

WB
TB

ALU

SEL OP

El registro TD debe tener salidas triestado para su conexin al bus .


Una operacin requiere tres microoperaciones :
1 . TB F- RB

2 .TD <-- R A * TB
3 . RD - TD
La generacin de las seales de lectura rj y de escritura wj son como en el caso anterior,
siendo obligatorio incluir EW (a activar en microoperacin 3) .
Problema 6.-Obtenga la carta ASM para un biestable JK .
Solucin P6.- Este es el caso ms sencillo de realizacin de cartas ASM . Se trata de describir
un biestable como un sistema con dos salidas (acciones en la carta) y dos entradas .

JK

00
01
10
11

q
0
1
q
Ck

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

304

Como puede observarse en la figura anterior cuando el biestable almacena un 0, la


salida q se encuentra activa permaneciendo en esta situacin mientras que J = 0 . Si J = 1 se
produce un cambio . Las entradas del biestable pueden ser ahora JK = 10 JK = 11, por lo que,
en el ciclo siguiente, se activar la salida q (2 bloque ASM) . Esta nueva situacin se mantendr hasta que la entrada K se active .

Problema 7.-Construya la carta ASM del circuito secuencial dado en las siguientes tablas .
Asimismo describa ambas mquinas usando el lenguaje HDL .
a)

q1 q 0,,

0 1

X1X0

b)
q1

00 00,0 01,1
01

11,0 01,1

11

10,0 11,0

10 10,0 00,0

01

11

10

10

10

00

00

00

01

00

01

01

00

11

01

01

01

01

10

10

11

01

10

Q]Q0, z

z 1 z2 z3

0 1 1

QlQo

Solucin P7 .
a) Cada estado de la tabla dar lugar a una caja de estado en la carta ASM ; las seales
de entrada al circuito (en este caso slo hay una : X) darn lugar a posibles cajas condicionales ;
las salidas tipo Moore sern seales a activar en las cajas de estado, mientras que las salidas
tipo Mealy se activarn en cajas de accin condicional .

Descripcin HDL :
0
1
2
3

x
x
x
x
x
x
x
x

0
z
z

-j

3
1
2
0
2
3

INTRODUCCIN A LOS SISTEMAS DIGITALES

305

b) Es una mquina de Moore, por tanto todas las salidas se activarn en cajas de estado .

00
Descripcin HDL :
1

x0

x0
1

XO

XO
xO_

Z 1 Z3
Z 1 Z3
Z I Z2

x0x1
xOx1

Z1Z2
Z 2Z3
Z2Z3
Z2Z3

0
2

0
1
2

1
1

Problema 8.-La figura muestra una carta ASM de un sistema as como la unidad de datos correspondiente . En dicha carta, x e y son entradas que pueden tener cualquier valor binario, permaneciendo constantes desde que Xs se hace 1 .
Especifique todos los errores de esta carta comentndolos brevemente .
Ra

R b Rc

Wa~
Za

A
Zb~

Z~

306

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

B 4- C
1

A 4- B
C4-0

A4-C

Cf-A
s

( B-AD

no

v
A~B

V
Solucin P8.- Hay cuatro errores en la carta ASM . Estos se muestran en la siguiente figura
en tramos ms gruesos .
Error (1) : El camino "0" nunca se toma, ya que si x y = 1, x + y no puede valer 0 .
Error (2) : Se trata de un bucle infinito . La estructura de este bucle es, en general, correcta aunque en este caso es errnea . Esto es debido a que segn el enunciado del problema, los
valores de x e y permanecen consantes desde que X s se hace 1 . As, en este caso, el sistema se
queda permanentemente en ese bucle (2) lo que es causa de error.
Error (3)_ : En este bucle no se pasa por ninguna caja de estado .
Error (4) : Lectura simultnea de los registros A y B (no es posible pues hay un nico
bus de datos) . Adems, A es ledo y escrito en el mismo ciclo ; esto es un error ya que A tiene
I/O bidireccional .

INTRODUCCIN A LOS SISTEMAS DIGITALES

307

error (2)

0
C - B
error (3)
1
1
error (1)
A - B
C<-0

C <- A
si

B <-A

no

error (4)

A<-B

Problema 9 .-Se desea construir un sistema digital que realice todas las operaciones posibles
de suma y resta entre dos nmeros que se encuentran inicialmente en los registros A y B, y
que almacene el resultado en cualquiera de ellos . (No hay que obtener la unidad de control,
slo la unidad de proceso y el conjunto de microoperaciones que realiza) .
Solucin P9 .-

Supongamos que el sistema inicia su operacin cuando se activa la entrada X g ,

y, para avisar de la finalizacin, activa la salida FIN .


Se puede entonces hacer una distincin clara entre la unidad de control y la unidad de
procesado del sistema digital . La primera recibe la seal de inicio, X S , y el cdigo de la operacin a realizar (a travs de IR) y activa la seal de FIN y las seales de control necesarias
para que la unidad de procesado ejecute la operacin (Z) . La segunda procesa los datos A y B
y almacena el resultado .

308

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

FIN
->
CONTROL

U . PROCESADO

A
B

IR

usuario

sistema

Como componentes claros de la unidad de proceso estn los registros A y B, que contienen inicialmente los datos, y la ALU, que permite operar con estos . El conjunto de operaciones que debe realizar este sistema son :
B E- A+B
A E- A-B
B E- A-B
A E- A+B
E-A+B

B
E-A+B

A
E-A-B
B E- -A-B
A
Por lo que slo se necesitan 3 bits para codificarlas .
Una posible arquitectura de la unidad de proceso que posibilite la ejecucin de estas macrooperaciones se muestra en la siguiente figura . Todos los buses y componentes que aparecen
son de n bits . Se han distinguido dos tipos de buses, los que se han dibujado en gris son unidireccionales y dedicados, mientras que los que se han dibujado en negro son bidireccionales,
compartidos y triestado .
------------------------------------------------------------------------------------DB : Bus de datos

T
RA

A
:

WA

ab
[AC]

AQ

RB
: WB

-0- RAC
f- WAC
ZAC

--

UNIDAD DE PROCESADO
t
(del controlador)
RA WA

RB

WB

WT

RAC WAC ZAC

INTRODUCCIN A LOS SISTEMAS DIGITALES

309

Se realiza a continuacin una descripcin de todos los elementos que componen esta
unidad con el objeto de eliminar posibles ambigedades en el funcionamiento de los mismos .
Registro T

Registro X (X es A o B)

IN

DD

~j

Rx

E- Wx

`_ WT

OUT
Rx
0

Wx
0

1
1

0
1

X- DD=
X
HI
DD
Entrada
X
[X]
Prohibida

WT

T<--

OUT=

0
1

T
IN

[T]
[T]

Registro AC (ACumulador)
IN
OUT 1

RAC
WAC
ZAC

IN,

ZAC RAC

WAC

0
1

0
0

0
0

0
0
1
Otras Prohibidas

AC AC
0
AC
IN

OUT ] =
[ACI
[ACI
[AC]
[AC]

OUT2 =
HI
HI
[ACI
HI

IN2

s
0
0
1
1

r
0
1
0
1

OUT =
(No importa)
IN, - IN 2
IN, + IN2
Prohibida

OUT
Para la unidad de procesado propuesta y para cada una de las macrooperaciones definidas, se obtiene el conjunto de microoperaciones o "pasos" necesarios a realizar en cada ciclo
de reloj, para obtener la ejecucin de cada macrooperacin . Por simplicidad, slo se detallar
el caso de A - A+B .
Observando la arquitectura, deducimos que para obtener la suma de A y B, hay que
situar el primer operando en el registro AC, y sumarlo posteriormente con el segundo

310

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

operando . Ntese, adems, que el dato que se transfiere al acumulador es la suma o resta del
contenido del registro T con el contenido del propio acumulador . Por tanto, en primer lugar,
se transfiere el contenido del registro A hacia el registro T y, simultneamente, ponemos a 0
el acumulador .
1 .T-A,AC-O
En el segundo ciclo de reloj, podemos transferir al acumulador el contenido de T
([TI = A), e incluso traernos el segundo operando al registro T .
2 . T<-B,ACE--AC+T
Sumamos a continuacin los dos operandos :
3 .AC-AC+T
En el ciclo de reloj siguiente, podemos ordenar el almacenamiento del resultado en el
registro A .
4 .A<--AC
Si este proceso se repite para las macrooperaciones restantes obtenemos la siguiente
tabla :
.tOP

A<-A+B

B-A+B

B<-A-B

AC<-0, T<--A

2
3

A-A-B

T<--B, AC-AC+T
AC-AC+T

AC<-AC-T

A<--AC

B<-AC

A-AC

B-AC

tOP

A-(-A)+B

B<--(-A)+B

A-(-A)-B

B<-(-A)-B

AC-O, T<--A

TAB, AC-AC-T

3
4

AC-AC+T
A<-AC

AC-AC-T
B<-AC

A-AC

B-AC

De forma equivalente obtenemos la tabla que representa las seales de control a activar
por la unidad de control :
OP

A<-A+B

B<--A+B

A-A-B

ZAC,WT,RA

WT, RB,WAC,s

3
4

WAC, r

WAC, s
WA,RAC

B-A-B

WB,RAC

WA,RAC

WB,RAC

INTRODUCCIN A LOS SISTEMAS DIGITALES

.tOP

A-(-A)+B

A-(-A)-B

BE-(-A)-B

ZAC,WT,RA

2
3

B4-(-A)+B

311

WT, RB,WAC, r
WAC, s
WA,RAC

WAC, r
WB,RAC

WA,RAC

WB,RAC

Para disear el sistema digital completo necesitaramos describir el comportamiento de


la unidad de control y disearla . Esto lo dejaremos para el siguiente Captulo, por lo que la solucin mostrada hasta aqu es suficiente para este tema de introduccin .

Problema 10 .- Considere un sistema con tres registros (A, B, C) de ocho bits . Ha de tener
lugar la siguiente secuencia de operaciones en el orden que se describen :
Cuando se activa una seal de comienzo (X5) los datos de entrada se cargan en A . El
complemento de los datos de A se cargan en C . Finalmente, los datos de C se almacenan en
B . Con los datos de A y B se hace la operacin OR y el resultado se almacena en C . Finalmente, los datos de C son situados en las lneas de salida, tras lo cual el sistema va al estado
de espera .
a) Describa las operaciones a nivel RT.
b) Disee la unidad de datos que pueda realizarlas .
c) Haga la carta ASM de las seales a activar por el control.
d) Habra que hacer algn cambio para imponer que las lneas de salida estuviesen
en alta impedancia cuando no mostraran el dato? En su caso, cules son?
Solucin P10 .
a) La secuencia de operaciones descritas a nivel RT es la siguiente :
0.
Xs
0
(1)
Xs
1.
A E- DIN (2)
2.
C E- tk
(3)
3.
B E- C
(4)
4.
CE-A+B(5)
5.
OUT = [C] (0)
Los estados que aparecen entre parntesis podran suprimirse en notacin RT .
b) Para disear la unidad de datos debemos tener en cuenta que el registro A debe recibir
su entrada de D IN, el registro B debe recibir el contenido de C, el registro C debe recibir f1 y
A+B . En la siguiente figura se muestra cmo hay que conectar los registros entre s . Tambin
se describen los registros a nivel RT.
Los registros A, B y C son como el descrito a continuacin :

312

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

I DIN
W

WA

8
8
WK

8
x OR

8 x INV
8

0
SEL

WK

K <--

z=

0
1

[K]

[K]

8 x MUX 2 :1

RC
8 x BUF tri-estados
OUT

e) La carta ASM del controlador es inmediata . Basndonos en las soluciones obtenidas


para los apartados a) y b) obtenemos :
So
0

WA

SI

WC

S2

WB
1

SEL, WC

S4

RC

S5

d) En nuestro caso ya hemos hecho que OUT = HI cuando no estemos en la microoperacin 5 mediante los 8 buffers triestado que slo estn activados en S 5 .

INTRODUCCIN A LOS SISTEMAS DIGITALES

313

PROBLEMAS CON SOLUCIN RESUMIDA

Problema 11 .- Describa a nivel RT un contador ascendente mdulo 64 con puesta a cero,


carga en paralelo e inhibicin .
Solucin P11 .- El contador ha de ser de 6 bits, una posible descripcin a nivel RT sera la siguiente :
DIN5-0

S1
So
Ck

Cy

S I SO

Z5_0 =

C E-

Cy

00
01
10
11

[C]
[C]
[C]
[C]

C
C+1
DIN
0

859493929190

Problema 12.- Un registro A con n etapas individuales se acopla a un bus cuyas lneas llevan
los bits B . Los componentes del registro A son biestables SR. Dibuje el diagrama lgico de un
circuito asociado a una etapa del registro que nos permita ordenar la transferencia A ; E- A;B; .
Reptalo para A ;<-- A ;+B , A ;F-A BBB , A ;<-- A ;+OB, .
Solucin P12 .
Suponiendo

T=0 : A - A
T = 1: A - A * B

(* = AND, OR, XOR, XNOR), se obtiene :


B;

0-

AND
1

A;

A;
R
Ck
XOR

Ck
XNOR

314

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 13.- Un registro universal de 8 bits (RU8) tiene la siguiente descripcin :


- Entradas de datos : 8 en paralelo (X7- o) y dos en serie (X R y XL), una para desplazamiento a derecha (XR) y otra a izquierda (XL ) .
- Salidas de datos : 8 en paralelo (Z7-0).
- Entradas de control: la del reloj (Ck) y dos para las distintas operaciones (S 1 S o, con
00 para inhibicin, 01 para desplazamiento a la derecha, 10 para desplazamiento a izquierda
y 11 para carga en paralelo) .
Descrbalo a nivel RT . Especifique un registro equivalente cuyo control se efecte con
slo una entrada activa por cada operacin de cambio de datos . Diselo utilizando un RU8 .
El registro RU8 tiene la siguiente descripcin RT..

So
Ck

s i so

Z7 -o =

RU8 -

0 0
0 1
10
11

[RU8]
[RU8]
[RU8]
[RU8]

RU8
SHR (RU8,XR )
SHL (RU8,XL)
X7-o

Solucin P13.- El registro equivalente, RE[8], tendr 3 seales de control, W para la carga en
paralelo, SR para desplazamiento a la derecha y SL para desplazamiento a la izquierda . Su descripcin y su diseo a partir del RU[8] se muestran a continuacin :
DIN7_o
W SR SL

ZRE _

RE <r-

000
001
010
100
Otras

[RE]
[RE]
[RE]
[RE]

RE
SHL (RE,L)
SHR (RE,R)
DIN A - o
Prohibidas

1-

RU8[8]

RE = Registro Equivalente

Problema 14.- Se dispone de un registro con terminales de entrada y salida separados que
posee una nica seal de control para escritura, W. Se pretende incorporar este registro a un
sistema ya dado a travs de un bus 3-estados bidireccional . Describa cmo se implementa la
incorporacin .
Solucin P14 .- Veamos dos posibles soluciones :
- A : Solucin con desconexin mnima .
- B : Solucin con desconexin total .

INTRODUCCIN A LOS SISTEMAS DIGITALES

315

a incluir
DB

Sol . A
W

o-

SISTEMA
R

a incluir

Sol . B

SISTEMA

Problema 15.- En un sistema digital se desean implementar las siguientes microoperaciones


condicionales :
W. M F- MBR (El dato de MBR se escribe en memoria).
R: MBR - M (Se lee de memoria un dato y se escribe en MBR) .
E: MBR - EXR (Se carga en MBR el dato de un registro externo EXR).
La memoria M es RAM de 2k x n, con bus de datos de entrada y de salida separa do s,
con una seal de habilitacin activa en alta (EN) y seal de control de lectura-escritura (R/W) ;
cuando no se lee de la memoria, sus salidas muestran un 0 lgico . El registro MBR es de n
bits, con entradas y salidas separadas, seal de carga en paralelo (L) y salidas incondicionales. El registro EXR, de n bits, tiene salidas incondicionales .
Describa a nivel RT los dispositivos con memoria y construya la unidad de datos del sistema . Las seales W, R y E son generadas por el controlador . No importa cmo se generen
las seales de direccin de la memoria .
Solucin P15 .- En la figura se muestra cmo interconectar M, EXR y MBR para poder realizar
las microoperaciones que se piden . A la derecha del circuito se describen los tres dispositivos
a nivel RT.

316

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Memoria :

DIN

R/W

M
EXR[n]

2 k xn

k
A

DOUT

EN R/W

DOUT =

M-

0 1 0
1 1

0
0
[M($A)]

M <- M
M($A) F- DIN
M E- M

MBR :
SEL

1
n x MUX 2 :1

Salidas =

MBR -

0
1

[MBRI
[MBRI

MBR
Entradas

MBR[ ]
1

EXR : Salidas = [EXRI

Problema 16.- Determine la carta ASM para un contador ascendente que dispone de una
entrada de control G que, cuando est activa, provoca que ste funcione como un contador
Gray, y si est inactiva, como un contador binario . Describir como carta de Moore y como
carta de Mealy.
Solucin P16 .- En una realizacin como mquina de Moore, no hay cajas de accin condicional . Son necesarias ocho cajas de estados que representan todos los estados posibles del contador y un conjunto de cajas de decisin que, dependiendo del valor de G, marcarn el flujo
hacia los prximos estados . En la figura se puede observar que la evolucin de estados corresponde a la de un contador binario para G=O, y a la de un contador Gray para G=1 .

INTRODUCCIN A LOS SISTEMAS DIGITALES

317

zo

z l zo

z2

0
z2

zo

z2 zi

z 2 z 1 zo

Para obtener la carta como mquina de Mealy procedemos de la siguiente manera . En


primer lugar obtenemos las secuencias de los dos tipos de contador . Para cada estado o paso
de la secuencia, comparamos las salidas activas de ambos . Las comunes se colocan en la caja
de estados y las propias de cada uno en una caja de accin condicional situada tras la caja de
decisin que identifica el tipo de contador . As obtenemos la siguiente carta :

318 PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Problema 17.- Construya la carta ASM correspondiente al circuito de la figura . Describa su


funcin en lenguaje HDL .

Ck

INTRODUCCIN A LOS SISTEMAS DIGITALES 319

Solucin P17 .- Los estados son : So (g1g2 = 00), SI (g1g0 = 01), S2 (g1g2 = 10),
S3 (glg2 = 11) . Tras analizar el circuito y obtener su tabla de estados se obtiene la siguiente
carta ASM :

Descripcin HDL :
0.
1.
2.
3.

x
x
x
x
x
x
x
x

z
Z
Z

0
2
0
1

z
z
z

3
1

Problema 18.- Desarrolle una carta ASM y una tabla de transicin para un generador de
formas de onda controlable que dependiendo de dos entradas X, y X2 generar las cuatro
formas de onda que se muestran en la figura . El periodo de las dos primeras formas de onda
es cuatro ciclos de reloj, el de la tercera es de dos ciclos de reloj y el de la cuarta es de tres
ciclos.
XIX2
0 0
0 1

1 0

320

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P18 .

X 1 X2
00

11

10

S 1 00 01,1

01,1 01,1

01,1

10,1

10,1 00,0

10,1

9190

S2

01

01

S3 10 11,0 11,1
S4 11

11,1 00,0

00,0 00,0 00,0 00,0


Q1Q0 , z

Problema 19.- Se desea realizar la siguiente microoperacin :


K T0
A E- Bo
T1
A E- 8 1
T2
A E- 82
T3
A E- 83
NOR (T0+T1 +T3+T4)
NOP
donde K identifica esta microoperacin, To-T3 son las variables de entrada, los registros son
de ocho bits y, en cada instante, hay como mucho una seal de entrada a 1 (esto es,
Ti
T = 0 V i, j).
a) Represente el bloque ASM correspondiente .
b) Muestre una implementacin del circuito que realiza esta instruccin en los dos casos
siguientes :
1 . Los registros Bi tienen salida estndar en paralelo .
2. Los registros Bi tienen salida triestado (alta impedancia) en paralelo .

INTRODUCCIN A LOS SISTEMAS DIGITALES

321

Solucin P19.
a) En el bloque k se evalan los valores de T 0 , T 1 , T 2 , T3 y se realizan las transferencias
necesarias . Posteriormente se pasa al bloque k+1 .

b . 1) Las salidas de cada uno de los registros B i se conectan a las entradas de A mediante
multiplexores . Un codificador se encarga de seleccionar el registro B ; correspondiente al T i activo .
B1[8]

Bo[8]

B2[81
8

8
T

T1

-~] f7,

al

T2

1 COD 1
4 :2

T3

B3[8]

2 3

8 x MUX 4 :1

A[8]

b .2) En este caso la conexin se puede realizar mediante un nico bus .

To -

B o [81

B 1 [81

T2-

B2[81

B 3 [81

A[81

Problema 20.- Disee el sistema digital que permita realizar de la operacin A <-- 4*(A+B) .
(No disee la unidad de control) .

322

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P20 .- En este caso, el sistema digital slo tiene una macrooperacin que realizar .
Para ello se puede plantear una arquitectura similar a la del problema 9 :

DB : Bus de datos

T
WT

RA

WA

b
a
[AC]

B
AC

SHL

RB
WB

f WAC
ZAC

UNIDAD DE PROCESADO

Slo se ha aadido una seal al registro AC (SHL) que simplifica el proceso del clculo
de la multiplicacin por cuatro . Dos desplazamientos hacia la izquierda generan este producto
de forma rpida .
La descripcin de los registros de esta unidad de proceso es idntica a la realizada en el
problema 9 salvo por el registro acumulador, que ahora tiene una nueva seal de control . Por
tanto, obviamos la descripcin de los dems registros y slo presentamos la del acumulador .
RAC WAC SHL ZAC

0 0
0 0
0
1
1 0
0
0

ACEAC
0
SHL
IN
AC

OUT,
[ACI
[ACI
[ACI
[ACI
[ACI

OUT2
HI
HI
HI
HI
[AC]

La secuencia de microoperaciones, se muestra a continuacin :


1 . TE-A,ACE-0 ;
2 .ACE-AC+T,TE-B ;
3 . AC F- AC + T ;
4 . SHL ;
5 . SHL ;
6 .AE-AC .

INTRODUCCIN A LOS SISTEMAS DIGITALES

323

Problema 21 .- Un procesador posee los siguientes registros : un contador de programa (PC),


un registro de direcciones de memoria (MAR) de 16 bits, un registro de datos de memoria
(MDR) de 24 bits y un registro de instrucciones (IR) de 8 bits . MAR podr ser cargado con el
contenido de PC (cuando se activa una seal LPC) o con los 16 bits menos significativos de
MDR (cuando se activa una seal LMDR). Los 8 bits ms significativos de MDR se almacenarn en IR (cuando se active una seal TMDR) .
a) Indique a nivel RT las transferencias hacia MAR y hacia IR, y dibuje un diagrama de
bloques del procesador.
b) Se dispone de circuitos integrados, cada uno de los cuales incluye un registro o un
contador de 8 bits . Indique cuntos circuitos integrados se necesitan para construir el sistema
indicado, mostrando su ubicacin en el diagrama de bloques anterior.
c) Disee MAR utilizando el Circuito Integrado 74198.
d) Disee MAR utilizando el C . l . 74298.

74298
CIR[41

CIR

0
1

CIR 4- IA
CIR 4- IB

Q3-0

Nota: C. L 74198 ver problema 4 de la seccin de problemas resueltos .


Solucin P21 .
a) Las transferencias hacia MAR y hacia IR a nivel RT :
LPC : MAR 4- PC
LMDR : MAR 4- MDR15-0
TMDR : IR 4- MDR23-16
El diagrama de bloques del procesador :

PC[ 16]

MDR[24]
23-0

24

J16

8
15-0 .

23-16

TMDR

4
IR[8]

LPC
LMDR

. 15-0

MAR[16]

324

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

b) 8 circuitos integrados (3 para MDR, 2 para PC, 2 para MAR y 1 para IR) .
c) Diseo de MAR con el C .I . 74198
MDR 15-0

PC 15-0

16 x MUX 2 :1
8 MSB

16

/ 8 LSB

1 -c MR
S1
S,

--cMR
S1
S

LPC
LMDR

74198

MAR15-8

MAR7 - 0

d) Diseo de MAR con el C .I . 74298 . Como el 74298 es de 4 bits, se necesitan 4 C .I .


para hacer MAR .
PC 15-0

MDR 15-0

LPC

/4

15-12 y
' S A 74298 B

/4
7-4

SA

B
74298

cA

74298 B
/4

Ckint
MAR15-12
MAR11-8
--------------------------------------

MAR7-4

MAR3-0

CkMAR

LMDR
LPC

-------------------------------------

- adapta flanco activo


- realiza la NOP por parada del reloj interno Ck i t

Captulo 12
DISEO DE UNIDADES DE CONTROL

Como ya exponamos en el Captulo anterior, los sistemas digitales se componen de dos partes :
unidad de procesado y unidad de control . En ste se aborda fundamentalmente el diseo de esta
ltima. En el Captulo anterior se introdujeron las cartas ASM y los lenguajes de descripcin
de hardware como herramientas para la descripcin de sistemas digitales y se usaron para la
descripcin de unidades de procesado . Las unidades de control tambin son descritas mediante
cartas ASM o lenguajes de descripcin de hardware de un modo anlogo . La nica diferencia
es que las acciones a realizar consisten, en este caso, en seales a activar por el controlador .
En los problemas de este Captulo, cuando se utilicen cartas ASM para describir controladores
se mantendr la informacin relativa a la unidad de procesado (transferencias a nivel RT) aadindose la relativa a la unidad de control (seales a activar) .
Existen diversas estrategias para la realizacin de unidades de control, desde el diseo
como mquinas de estados finitos, hasta estructuras microprogramadas que usan PLA o ROM .
En esta obra nos centraremos bsicamente en dos modalidades :
- Diseo con mnimo nmero de biestables .
- Diseo con un biestable por estado .
DISEO DE CONTROLADORES COMO MQUINAS DE ESTADOS FINITOS
Esta estrategia se basa en considerar al controlador como una mquina secuencial sncrona y
disearla utilizando los mtodos del Captulo 8 . Para ello, es necesario obtener un diagrama de
estados a partir de la carta ASM . La equivalencia entre ambas formas de descripcin es la siguiente : por cada caja de estados de la carta ASM se tiene un estado en la mquina ; por cada
seal que aparezca en alguna caja de accin condicional se tiene una entrada de la mquina ;
las salidas a activar por el controlador son las salidas de la mquina . Las salidas que aparecen
en cajas de estado son salidas tipo Moore y las que aparecen en cajas de accin condicional
son salidas tipo Mealy . En los problemas 4 y 5 se detalla este mtodo .
Si el proceso de sntesis se realiza minimizando el nmero de estados y utilizando una
codificacin con el mnimo nmero de variables posible, se obtiene un diseo para el contro-

325

326

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

lador que utiliza el nmero mnimo de biestables . Esta alternativa de diseo proporciona realizaciones muy buenas (incluso ptimas) a costa de un proceso complejo, costoso en tiempo y
quiz excesivamente especfico .
DISEO BASADO EN UN BIESTABLE POR ESTADO
En esta estrategia de diseo se obtiene el circuito mediante una aproximacin formal a la carta
ASM . Por cada elemento de la carta se obtiene un elemento de circuito . En concreto, por cada
estado se incluye un biestable, de ah la denominacin "un biestable por estado" . Este mtodo
se basa en una codificacin de los estados de la carta mediante el cdigo 1-out-of-n (excepto
para el estado de espera al que se asigna el cdigo 0) . As, la codificacin de estados es :
estado

cdigo
gog1q2q3 . . qn

So
SI
S2
S3
S4

0000
1000
0100
0010
0001

.
.
.
.
.

.
.
.
.
.

.0
.0
.0
.0
.0

Sn

0000 . . . 1

Con una codificacin de este tipo la transicin entre estados puede realizarse fcilmente
mediante un registro de desplazamiento en el cual se introducen ciertas modificaciones . En la
siguiente figura se muestra el esquema bsico de dicho registro . Se ha omitido la seal de reloj
que es comn a todos los biestables . Por otra parte, en cada biestable aparece un nmero 'J"
indicando que la variable de estado correspondiente es % . Esto se ha hecho por simplicidad y
se mantiene en el resto del Captulo .

Xs
-D

q -

D
4

En el estado de espera todos los biestables almacenan el valor 0 . Cuando se produce un


pulso de un ciclo de duracin en X s , el primer biestable pasa a almacenar el estado q = 1 con
lo que el estado del controlador ser S I . Realizar una transicin entre dos estados S i y S, consistir en hacer pasar el "1" almacenado en el biestable "i" al biestable f' . De ah las modificaciones a realizar sobre el registro de desplazamiento : hay que establecer caminos entre los
distintos biestables de manera que se puedan realizar todas las transiciones contenidas en la
carta ASM . A continuacin se muestran algunos ejemplos de transiciones en la carta ASM y
la correspondiente modificacin en el circuito bsico :

DISEO DE UNIDADES DE CONTROL

327

---------------------------------------------------------------------------------------------------q
D
q
D
i
q
D
k
-----------------------------------------------------------------------------------------------------Si

q
q
k

Por ltimo, las salidas de la unidad de control son seales que se activan bien en uno o
ms estados (salidas tipo Moore ; por ejemplo, una salida W AC que se activase en los estados
S 2 y S 5 ), bien cuando ocurre cierta condicin de entrada en un estado (salida tipo Mealy ; por
ejemplo, que W AC se activase para X 3 = 0 en S 3 ) . Como estas seales suelen estar accesibles
en el registro de desplazamiento modificado (en el ejemplo seran q 2 , q5 y la salida del canal 0
del demultiplexor de q3 : q 30 ), para obtener la salida deseada WAC bastara sumar (OR) esas
seales : WAC = q2 + q5 + q30 .
Aunque esta tcnica de diseo no optimiza el coste en puertas y proporciona controladores especficos al problema, la tcnica en s es muy genrica, vlida para todas las unidades
de control, y consiste en una mera traslacin formal desde las cartas ASM o programas HDL .
Por ello el tiempo diseo es muy corto .
ndice del Captulo
Este Captulo desarrolla problemas de las siguientes materias :
- Diseo de unidades de control para casos especficos .
- Realizacin completa de sistemas digitales .
PROBLEMAS RESUELTOS
Problema 1 .- Para la unidad de datos de la figura, disee un controlador que permita escribir
en B el nmero de "1 " que hay en A . El contador C, es de tres bits (mod . 8) y el C2 de 8 bits
(mod. 256). Qu cambio hay que introducir para escribir en 8 el nmero de "0" de A?
Nota:
Z; = Puesta a 0 sncrona,
1 = Incrementar,
CY; = CARRY.

328

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

- WA

A[8]

- WB

B[81

- RA

- RB

A
8

3
1

RD
WD

CY 2

CY 1
REG . DESP.

C1

C2

R1 W1 11 Z1

R2 W 2 12 Z2

SHR OR

Solucin Pl .- La macrooperacin a realizar es : B - n - de 1 en A .


En primer lugar describiremos a nivel RT los registros de la unidad de datos .
- Registros A y B :
WxRx
00
01
10
11

BUS 7_ 0 =

x E-

HI

[x]

x
x

entrada
prohibida

BUS7-0
prohibida

BUS7-0
- Registros C 1 y C 2 :
Wi R i I i Z i
Yi

Wi R i I i Z i

CY i =

BUSn-1,0 =

Ci-

1000
0100
0010
0001
0000

1 si [Ci ] _

entrada

= 1 . . .11

[Ci]
HI
HI
HI

BUSn-1,0
Ci
Ci + 1
0
Ci

- Registro de desplazamiento D :

W
RD
SHR

WDRD SHR

BUS7-0 =

D <--

100
010
001

entrada
[D]
HI

BUS7-0
D
SHR(D,iR )

D[8]

7_

DISEO DE UNIDADES DE CONTROL 329

El nmero de "1" en A puede variar entre 0 y 8 . Para contarlos necesitamos 4 o ms bits .


[81
(mdulo 256) realizar esta cuenta . La idea que sustenta la solucin es :
C2
a) Transferimos A a D, preguntando por OR sabremos si el bit ms a la derecha es 1 o no .
b) Si OR es 1 incrementamos C 2.
c) Desplazamos el registro D para acceder al siguiente bit del dato A original . Esto hay
que hacerlo 8 veces .
d) Para conocer el nmero de desplazamientos, utilizamos el contador C 1 que es
mdulo 8 .
La carta ASM (incluyendo simultneamente RT y control) es :

NOP

D-A,C 1 -O,C 2 <_0


WD, RA, Z1, Z2

D f- SHR(D,0), C 1 - C +
SHR, I 1

SI

S2

S 1 : Inicializacin . Tras el primer ciclo, C 1 y C 2 estn a 0 y D tiene escrito


el dato A .

S2: Es el ncleo de la solucin .


- Siempre se ordena el desplazamiento de D y el incremento de C 1 , ya que
siempre vamos a evaluar un nuevo bit .
- La primera vez que se alcanza S 2,
se cumple : O R = A0, [C 1 ] = 0
Segn el resultado de la evaluacin de
OR, se incrementa o no C 2
- La segunda vez que se alcanza S 2,
se cumple : O R = A 1 , [C 1 ] = 1 .
As sucesivamente ; la octava vez :
OR = A7, [C 1 ] = 7 por lo que CY 1 =1
saliendo hacia S 3 .

CY
B-C 2
WB , R2

NOP
FIN

S3 : Se escribe el nmero de 1's en B .


(Al alcanzar S3, [D]=0 y [C 1]=0 pues
el contador C 1 ha pasado de 7 a 0) .

330

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

El controlador se obtiene directamente de la carta ASM :

CY 1
0
1

y
W D , RA , Z 1 , Z 2
SHR, 1 1

OR

WB , R2

FIN

El nico cambio que es necesario realizar si se quiere escribir en B el nmero de "0"


de A es modificar la caja de decisin de O R y el demultiplexor correspondientes a S 2 .

Problema 2.- La figura muestra la memoria (MEM) de un sistema : el registro de direcciones


(MAR) puede ser cargado con el contador del programa (PC) o con el puntero de pila (SP), el
registro de datos (MDR) est conectado a un bus de datos internos (IDB), al que tambin se
conecta el registro A, y otro externo (EDB) conectado con la memoria .
Se quiere incorporar las dos operaciones de pila : EMPUJAR (PUSH) y EXTRAER
(PULL) que consisten respectivamente, en llevar A a la pila y en llevar de la pila a A (recuerde
que PUSH A implica MEM E- A y SP - SP + 1, mientras que PULL A implica SP f- SP - 1
y A F- MEM(SP) ) . Cuando no hay operacin de la pila, el puntero SP apunta a la primera
direccin libre.
Disee el controlador que permita ejecutar las operaciones de PUSH (/,l o = 00) y PULL
(t i l o = 01).
Nota: los cdigos 1,10 = lX estn reservados para otras operaciones no definidas en el
enunciado.

DISEO DE UNIDADES DE CONTROL

IPC

PC[ 161

- ISP
DSP

SP[ 16]
1

IDB [8]

A
WPC WSP -

331

RA
A[8]

1
WA

MAR[ 16]
RI

MDR[81

CS R W

WI

RE
WE

AB [ 16]
MEM
EDB[8]

Solucin P2 .- Segn el enunciado, la pila se va llenando desde las direcciones ms bajas a las
ms altas . Las dos operaciones de pila implican direccionar la memoria MEM con la direccin
que indica el puntero de pila, SP . De aqu que, tras apuntar SP a la direccin adecuada, habr
que transferir SP hacia MAR . En ambas operaciones la transferencia entre MEM y A debe pasar por MDR .
Operacin PUSH (1 1 lo = 00) : Como SP apunta a la direccin vaca, es ah donde hay que
transferir A y, despus, se incrementa SP para que contine apuntando a la primera direccin
vaca .
microoperacin

seales a activar

1 . MAR F- SP / MDR <-- A


2 .MEME-MDR/SPE-SP+1

WSP / RA / W I
CS/W/RE /ISP

Operacin PULL (I l Io = 01) : Hay que decrementar SP para que apunte a la ltima direccin llena . Slo entonces se lleva SP a MAR para, despus, leer de MEM hacia MDR y, de
este registro, llevar el dato ledo hacia A .
microoperacin

seales a activar

1 .SPF-SP-1
2 . MAR F- SP
3 . MDR E- MEM
4 . A F MDR

DSP
WSP
CS/R/WE
R I /WA

332

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Representemos ahora una posible carta ASM para reunir ambas operaciones teniendo
en cuenta que los cdigos 1 1 = 1 x estn reservados para otras operaciones :

Otras
operaciones

MAR E- SP / MDR f- A
WSP / R A / Wl

SP - SP DSP

MEM <- MDR / SP f- SP +


CS/W/RE /ISP

MDR - MEM
CS/R/WE

A E- MDR
R /W

El controlador correspondiente segn la aproximacin de "un biestable por estado" se


muestra en la siguiente figura :

DISEO DE UNIDADES DE CONTROL

333

(para otras operaciones)


q
D

X
D
1

q
D

U
' y

WSP

W I DSP
RA

W
RE
ISP

FIN

W E CS W
A

Problema 3.- Un nmero decimal de dos dgitos se almacena en dos registros de cuatro bits
en forma BCD . El registro M contiene los dgitos ms significativos ; el L, los menos . Los
nmeros se transfieren para que aparezcan en un registro R de ocho bits . Para efectuar la
transferencia se dispone de un bus de cuatro bits accesible a M y L, pero slo a las cuatro
posiciones de ms a la derecha del registro R. La operacin de transferencia se realiza
respondiendo a un conmutador .
a) Establezca una arquitectura para el sistema especificando los terminales de control
de cada registro.
b) Construya la carta ASM .
c) Disee el controlador del sistema usando el mnimo de biestables y dibuje el circuito
lgico .
Solucin P3.- a) Para que M[4] y L[4] puedan escribir sus datos en el nico bus de 4 bits
(BUS) hay dos soluciones :
1 . Conexin por multiplexado : Las salidas de M y L son estndares (Z M = [ M] y
ZL = [ L]) por lo que no se necesitan seales de lectura de los registros .
Mediante 4 x MUX 2 :1 se escribe el contenido de L (para S = 0) de M (para S = 1) en
el BUS .

S : BUS = [L]
S : BUS = [M]

334

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

2 . Conexin de bus nico (BUSU) : Las salidas de M y L tienen HI por lo que se necesitan seales de lectura . Slo una de ellas puede activarse en cada ciclo .
RM

RL

R1

M[4]
. k

L[4]
ZM

ZL

4
RX : Z x = HI
RX : Zx = [X]

BUSU[41
El registro R[8], adems de la carga en paralelo de los 4 ltimos bits, necesita estar dotado de desplazamiento a la izquierda para transferir datos a sus 4 bits ms significativos :

R[8]
WR
SL

WR SL

Operacin

00

Rf-R

10

R3-0 - X3-0 , R7-4 E- R7-4


R - SHL(R,0)

01

Observemos que :
- La carga en paralelo deja sin cambio a los 4 bits ms significativos .
- El bit serie que entra en el desplazamiento puede ser cualquiera aunque nosotros hemos optado por introducir un 0 .
Con todo ello la arquitectura del sistema es :
RL
RM
M[4]

L[4]

Xs
CONTROL
WR
SL

R[8]

Ck
donde suponemos que Xs , salida del conmutador, es un pulso de duracin 1 ciclo de reloj .

DISEO DE UNIDADES DE CONTROL

335

b) La carta ASM para la unidad de datos y la unidad de control es la siguiente :

NOP

So

Con la seal del conmutador X S se pasa


a almacenar en R el dgito BCD ms significativo, que es el almacenado en M . Despus,
mediante 4 desplazamientos a la izquierda

se coloca ese dgito en la mitad ms significativa del registro R .


R 3 _0 4- M

SI

RM , WR

R 4- SHL(R,0)
SL

Por ltimo se escribe el dgito BCD menos significativo (el almacenado en L) en R .


Con esto el registro R[81 contiene el dgi-

S2

to BCD ms significativo en sus 4 bits de la


izquierda y el menos significativo en los 4
bits de la derecha .

R 4- SHL(R,0)
SL

S3

1
R E- SHL(R,O)
SL

S4

R F- SHL(R,0)
SL

S5

R3_ 0 4- L
RL, WR

S6

c) Para utilizar el menor nmero de biestables en el diseo de un controlador, el primer


paso es obtener la tabla de estados a partir de la carta ASM . Despus se realiza el proceso ya
conocido para el diseo de un circuito secuencial sncrono genrico . No haremos el desarrollo
detallado pues dicho proceso ya se cubri suficientemente en el Captulo 8 . En la siguiente figura se muestran la tabla de estados/salida obtenida a partir de la carta ASM, la codificacin
elegida para los estados y las ecuaciones resultantes . Se han utilizado biestables T para la realizacin de la mquina de estados .

336

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

a
s
s
mu
N
s
s

R L R MW R SL

So

Ecuaciones de excitacin :
T2 = 9190 + q2q 1

0000
0 1 1 0

Codificacin

00 0 1

S 0 : 000
S1 : 0 0 1
S2 : 0 1 0

T 1 = g0 + 9291
_
T0 = q0 + q2q1 + Xs + 9281

g2g1q0

00 0 1
00 0 1

S6

00 0 1

so

1 0 1 0

S3 : 0 1 1
S4 : 1 0 0
S5 : 1 0 1

Ecuaciones de salida :
RL = 9291
RM = 829190- _
WR =_g2g1+ g2g190

S6 : 1 1 0

SL = q2q1 + q2 q1

Problema 4 .- Un sistema digital tiene como unidad de datos la representada en la figura . Inicialmente, al menos uno de los bits de A es un cero . El sistema debe dar como salida el nmero binario de la posicin del "0" menos significativo de la palabra almacenada en el registro A .
a) Describa, a nivel RT, los componentes de esta unidad de datos .
b) De la carta ASM y disee el controlador (basado en la tcnica de un biestable por
estado).
c) Si el valor inicial de A es : 10101011, represente en el tiempo (hasta que se ha generado la salida deseada) los siguientes parmetros : BUS, seales de control (RA , S,, So , CLC,
UP) y las salidas del sistema digital. Cul es el contenido de RU8 y de CONT tras regresar
al estado de espera?
A[81
CLC
UP

CONT mod-8

CY

u=
R

S I SO
00
01
10
11

RU8
0 2-0

operacin
inhibicin
carga paralelo
despl . izquierda
despl . derecha

Solucin P4.
a) Descripcin de componentes :
RA
0
1

BUS7_0
HI
[A1

A <-A
A

DISEO DE UNIDADES DE CONTROL

u:

CLC

RU[81

S I SO

Z7-0 =

RU8 E-

00
01
10
11

[RU8]
[RU8]
[RU8]
[RU8]

RU8
BUS 7- 0
SHL(RU8, BUS O )
SHR(RU8, BUS 7 )

CLC UP

CY =

00
01
10
11

1 si
[CONTI _
= 111

02-0 =

CONT -

[CONTI
[CONTI
[CONTI
Prohibida

CONT
CONT + 1
0
Prohibida

CY

CONT mod . 8

UP

02-0

337

b) Carta ASM .

Sa

NOP

S a : Estado de espera .
S b : Puesta a cero del contador y transferencia
del dato A al registro universal RU8 . El control activar RA , pondr S I S O = 01 y activar

CLC .
RU8 E- A
CONT - 0
R A , S 0 , CLC

S C : Al entrar en S ., CONT = 0 y Z0 = A0 . Si
Z0 = 0, la posicin del 0 menos significativo
de A est dada en CONT (0 2-0) ; si Z0 = 1,
debemos pasar a evaluar A 1 (lo cual hacemos
desplazando a la derecha RU8) al mismo
tiempo que hacemos que se incremente el
contador para que seale la posicin de A l .
Esto lo repetimos hasta que por Z 0 aparezca el
primer cero que necesariamente hay .

S F : Seala el final . La posicin deseada est


escrita en binario en las salidas del CONT

SHR (RU8, BUS 7


CONT - CONT + 1
S 1 , S 0 ,UP

(02, 01, 00)

FIN
1

338

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

El controlador pedido es :

L/

xs

L D

D
b

zo

V
RA

S
UP

CLC

FIN

c) BUS est en HI salvo en el ciclo del estado S b . Las seales de control R A , S o y CLC
se activan en S b y S 1 , S o y UP en los ciclos de reloj en que se permanece en S c . [A] = 10101011
siempre .

Sa

Sb

Se

Se

10101011

HI

HI

SC

SF

HI

HI

Sa

Sa

HI

HI

Sa

SI

So
CLC

UP
BUS7 _0

HI

[RU8]

[CONTI

10101011 91010101 :99 101010 :


000

001
1

010
2

Ya no varan

1 . En el bit ms significativo de RU8 (esto es, en RU8 7 ) se almacena un valor desconocido, 0 1, debido a que BUS 7 est en HI . (Otra solucin posible es leer A, RA = 1, en la caja
de accin condicional del estado S 2, en cuyo caso '7" se sustituye por "1" que es el valor de
BUS 7 = A7)2 . Como Zo = 0, no se activa ninguna seal y se pasa a S F .
3 . Al volver a S o (estado de espera), [RU8] = ??101010 con ? = 0 1 .
[CONTI = 010, que es la posicin del cero menos significativo de A (A2 ) .

DISEO DE UNIDADES DE CONTROL

339

Problema 5.- Dado el controlador de la figura basado en un biestable por estado :


a) Realice la carta ASM correspondiente a dicho controlador .
b) Obtenga el controlador equivalente basado en lgica discreta utilizando biestables
tipo D y optimizando el coste .

X I . XZ
Nota : Este controlador no tiene seal de comienzo ni estado de espera inicial . Resuelva el
apartado (b) sin preocuparse de este hecho .
Solucin P5 .

a) La carta se obtiene directamente del controlador :

340 PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

b) Para hacer el controlador en lgica discreta hemos de obtener a partir de la carta ASM
la tabla de estados y seguir con los pasos del proceso de sntesis de circuitos secuenciales sncronos . En la siguiente figura se muestran la tabla de estados junto con la codificacin de estados y la tabla de transicin obtenida .
X1X2

X 1 X2
01

11

10

q 1 q0

S2,1

S2,1

S2,1

S1

S
S1

S2,1

S 2 S3 1

S3,1 S3,0 S3,1

S 3 S 4 ,0 S4 ,1
S4

S 4 ,1

S1 ,0

S 1 ,0 S 1 ,0 S 1 ,0 S 1 ,0

00
00 01,1

01

11

10

01,1 01,1

01,1

11,1

11,1

S2 01

11,1

S3

11

10,0 10,1

S4

10 00,0 00,0 00,0 00,0

NS, z

11,0

10,1 00,0

Q1Q0 , z

Utilizando biestables D, las ecuaciones de excitacin y salida que resultan son :


D1 = Q1 = glgo +Xeqo + X1g0
Do = Q0 = q1
z=X1g1 +glg0+X281 +X2glg0
Problema 6.- Un sistema digital debe analizar su lnea de entrada X con objeto de contabilizar
el nmero de pulsos de esa seal que tiene de anchura 1, 2 3 ciclos de reloj . El sistema
dispone de tres salidas (z 1 , z2, z3) con las que se indica cul de los tres tipos de pulsos es
ms numeroso . (Por ejemplo, si se han recibido siete pulsos de un ciclo de reloj, cuatro pulsos
de dos ciclos y nueve pulsos de tres ciclos, el sistema generara como salida z 1 z2z3 = 001).
Desde que se le da la orden de comienzo, se debern analizar 256 ciclos de reloj, volvindose
despus al estado inicial. Disee dicho sistema, utilizando los subsistemas habituales .
Nota 1 : Nunca se recibirn pulsos de ms de tres ciclos de reloj.
Nota 2: En caso de igualdad se activan las salidas correspondientes .

Solucin P6.- La organizacin del sistema es la mostrada, con una unidad de control y una
unidad de datos o de procesado :
- .

X
unidad
de
control
Ck

unidad
de
datos

ZI

z2
. z3
-

341

DISEO DE UNIDADES DE CONTROL

La unidad de procesado deber contener los siguientes dispositivos :


- Un contador (C256) de mdulo 256 para contar los 256 ciclos .
- Tres contadores (C 1 , C 2 y C3 ) para contar los pulsos de duracin de 1, 2 y 3 ciclos
respectivamente . El tamao de estos contadores debe ser suficiente para contar el nmero
mximo de pulsos posibles en cada caso ; esto es, en 256 ciclos de reloj puede haber hasta :
- 128 pulsos de 1 ciclo de duracin (para X : 01010101 . . .) .
- 85 pulsos de 2 ciclos de duracin (para X : 011011011 . . .) .
- 64 pulsos de 3 ciclos de duracin (para X : 01110111 . . .) .
As, estrictamente, el dimensionamiento mnimo es C I de mdulo 256, C 2 de mdulo
128 y C 3 de mdulo 128 . Sin embargo, podemos utilizar nicamente contadores de mdulo
128, ya que en el caso de pulsos de 1 ciclo de duracin basta contabilizar el pulso nmero 86
para saber que forzosamente los pulsos ms numerosos son los de 1 ciclo y no necesitamos
seguir contndolos . Entonces C 1 , C2 y C3 sern de mdulo 128 . El estado 86 en C 1 es el estado
1010110, para detectarlo basta ver que para el contador C 1 se cumple g6g4q2q1 = 1
Todos los contadores tendrn una seal de puesta a 0 asncrona (CL256, CL 1 , CL2 y
CL3 ) . Adems C 256 ha de tener una salida de acarreo (CY) que se activa (CY = 1) si
[C 2 5 6 } = 255 . Los contadores C 1 , C 2 y C 3 tienen entrada para contar hacia arriba (UP I , UP2 y
UP3 ) mientras que C256 se incrementa con todos los pulsos del reloj Ck .
Se necesitan, adems, tres comparadores de magnitud de 7 bits, con salidas G (mayor),
E (igual), L (menor), para comparar las salidas de C 1 y C2 , C 1 y C 3 , y C2 y C3 . (Podra resolverse el problema con un solo comparador, pero aumentado el nmero de estados y la complejidad de la interconexin) .
Con ello, la unidad de procesado es :

CL I
UP I Ck-

C1

CL256
Ck

CL2UP 2Ck

C2

CL 3UP 3 Ck

CY

C3

a
a>b a=b a<b

a>b a=b a<b

a>b a=b a<b

G23 E23 L23

G13 E13 L13

G12 E12 L12

Una carta ASM para el sistema se muestra en la siguiente figura .


El estado S 1 es el estado de inicializacin de los contadores, a continuacin los estados
S 2 , S 3 y S 4 , nos permiten determinar si hay o no pulso y, en su caso, si la duracin es de 1, 2
3 ciclos, incrementando el correspondiente contador .

342

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

so
NOP
0
1
C256 , C I, C2, C - 0
CL256, CLI, CL2, CL3

si

S3

C - C +1

S4

C <_- C +1

FIN
1

Las salidas z 1 , z 2 y z3 son funciones combinacionales de G ;i , E ;i y L1:


zl =G12(G13+E13)+G13 (G12+E12)+E12E13 = G12L13+G13L12+E12E13
z2 = L12 L23+G23 G 12+E12E13
z3 = L13 G23 + L23 G13 + E12 E13
La unidad de datos deber incluir, por tanto, un circuito combinacional que realice estas
ecuaciones :
COMP

COMP

COMP

Circuito Combinacional

> ZI
> Z2
> z3

DISEO DE UNIDADES DE CONTROL

343

Problema 7.- Un sistema digital (ver figura) consiste en una cerradura electrnica que se
abre mediante una combinacin adecuada de 8 bts . La combinacin est almacenada en el
sistema . El modo de operacin es como sigue . La seal RESET lleva al sistema al estado inicial (no hay que incluirla dentro de la carta ASM ya que se considera asncrona) . La seal BIT
indica el bit correspondiente de la combinacin (comenzando por el ms significativo) . La
seal LEE (al activarse) indica que se puede leer la entrada BIT . La seal INTENTA (al activarse) indica que ya se ha introducido la combinacin y si es correcta se abrir la caja (ponindose la salida ABRE a 1) .
RESET
LEE
INTENTA
BIT

ABRE

a) Disee la unidad de datos del sistema .


b) Exprese el algoritmo de control mediante una carta ASM (no es necesario disear la
unidad de control) .
Solucin P7 .-Existen muchas posibles soluciones . De ellas, vamos a presentar una, en la que
almacenaremos los 8 bits en un registro de desplazamiento (A) y, tras activar la seal INTENTA, compararemos la palabra almacenada en A con la combinacin correcta mediante un comparador (COMP) de 8 bits. Un contador (CONT) mdulo 8 con salida de carry (CY) contar
los 8 bits . En caso de que se introduzcan 9 bits o ms se regresar al estado inicial sin activar
la seal ABRE .
a) La arquitectura del sistema (unidades de control y de procesado) ser :
BIT
U . de procesado
RESET
r


LEEUnidad
de control
INTENTA

ABRE
SHL A[81

UP
CY

combinacin
correcta

COMP[81

CONT
I

E
donde RESET se conecta a la puesta a 0 asncrona de A, de CONT y de la unidad de control .
Cuando esta puesta a 0 no est activa :

SHL

OA =

A E-

0
1

[Al
[Al

A
SHL(A, BIT)

SHL

344

UP

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

UP

CY =

CONT E-

0
1

1 si

[CONTI = 111

CONT
CONT + 1

CONT

b) La carta ASM ser :

A - SHL(A, BIT) : SHI


CONT <-- CONT + 1 : UP

S1

S2
44*1y

-04WV
ABRE

Suponemos que al conectar el sistema por primera vez se activa RESET . Esto hace que
se comience en So y que CONT = 0 (y A = 0) . Realizamos la carta para que, al volver, siempre
CONT = 0 en S0.
Al avivarse "LEE" procedemos a almacenar BIT y a incrementar CONT . Esto puede
hacerse en el mismo estado S O (por lo que es caja de accin condicional) .

DISEO DE UNIDADES DE CONTROL

345

Al mismo tiempo se pregunta por CY . Si CY no es 1, hay que seguir esperando un nuevo


bit . Si CY = 1, ste es el ltimo bit almacenado y se va a S 1 . Como se activ SHL y UP, en S 1
ya [A] = 8 bits y CONT = 0 de nuevo .
En S 1 , si LEE no es 1 se espera a que INTENTA = 1, en cuyo caso se mira en la salida E
del comparador (COMP) si la combinacin es correcta (y se activa ABRE) o si es incorrecta
(no se activa ABRE) regresando a S0.
En S 1 , se espera a que INTENTA = 1, en cuyo caso se mira en la salida E del comparador (COMP) si la combinacin es correcta (y se activa ABRE) o si es incorrecta (no se activa
ABRE) regresando a S 0 .
Si en S 1 se activa nuevamente LEE (9 - bit) se pasa a un estado S2 de espera, sin abrir la
cerradura en ningn caso, ya que la combinacin ha de ser forzosamente de 8 bits, y cualquier
combinacin con ms bits es incorrecta .
Como en S 1 y S 2 CONT = 0, no hay que hacer un clear del contador al regresar a S 0 .

Problema 8.- Un sistema digital controla el proceso de llamadas telefnicas a travs de la red
telefnica . Las llamadas pueden ser provinciales (7 dgitos) o nacionales (9 dgitos) . Tras activarse la seal de comienzo Xg, en cada ciclo de reloj llega el dgito correspondiente del
nmero marcado . El usuario activa una seal (YA) cuando termina de teclear . El sistema debe
responder de la siguiente forma : si el nmero de dgitos recibidos es incorrecto, durante un
ciclo de reloj debe activarse una seal de error (E) ; si el nmero de dgitos es correcto, durante
un ciclo de reloj debe activarse la seal de llamada (LLAMA) y una seal adicional que indicar si la llamada es provincial (P) o nacional (N) . El sistema recibe una seal, (COM), que
indica si el telfono de destino comunica . En este caso deber volver a realizar la llamada hasta tres veces sin necesidad de volver a marcar. Disee el sistema .
Nota : No se preocupe de cmo se almacena el nmero .
Solucin P8 .- Vamos a necesitar tres contadores, uno de mdulo 7 (C 1 ) y otro de mdulo 9
(C2 ) para contar el nmero de dgitos marcado y otro de mdulo 3 (C 3 ) para contar las llamadas
en caso de que comunique . La descripcin de estos contadores es la siguiente :
CL
UP

Ci

CL UP

CY =

Ci d

W
CY

00
01
1-

1 si [Ci ] = 11 . . .l l

C;
C; + 1
0

La carta ASM correspondiente a las unidades de datos y de control se muestra a continuacin .

346

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

So
0

C2,C3 - 0

C1 L ,CL 2 ,CL

Cl - Ci+l, C2 F- C2+1
UP 1 , UP2

S1

LLAMA, P

LLAMA, N

(E)

S3

C3 E- C3+1
CL LAMA, UP

FIN
1

> a So

DISEO DE UNIDADES DE CONTROL

347

El controlador es :
LLAMA
P

q
D
2p

ACL,,CL 2 ,CL3
1

D
i>

CY

YA

cY,

COM

CY

q
D
2n

UP,
UP2

v
LLAMA E

v
LLAMA

FIN

PROBLEMAS CON SOLUCIN RESUMIDA

Problema 9.- Para la unidad de datos de la figura, disee un controlador que permita realizar
la operacin de intercambio de informacin entre los registros A, B y C de la siguiente forma :
A-> B--3C

Rt -

wt-

RT

Ra
A
a

Rb
A

B
Wb

ALU

RAC
WAC
ZAC

AC
8
8

SHL

348

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P9.- La carta ASM correspondiente a la operacin solicitada se muestra a continuacin junto con el controlador pedido .
Xs = I

1
AC E- 0 / RTF-A

SI

AC E- AC + RT / A<-C

S2

C E- B

S3

-.--

1
B E- AC

Ck
S4

1
FIN
a So

Zac
Ra

A Wac

Rt

Wt

Rc Wa

Rb

R ac

Wc

Wb

FIN

Problema 10.- Para la unidad de datos del problema anterior, disee un controlador basado
en registro de desplazamiento que permita realizar la operacin C = 4 - (A + B) .
Nota : La entrada SHL del acumulador produce un desplazamiento lgico hacia la izquierda,
introduciendo un "0" por la derecha .
Solucin P10 .-

Carta ASM :

Xs

ACE-0/RTE-A
Zac , Wt , Ra

AC E- AC + RT
Wac, A, R t

S5

S1

S6

S2

1
RTE-B

S7

Wt , Rb

AC E- AC + RT
Wac, A, Rt

a So

DISEO DE UNIDADES DE CONTROL

349

Controlador :

xs
1

- --

-1

-r-

Zac
Ra

wt

Rt
A
Wac

Wc
Rac

SHL

Rb

FIN

Problema 11 .- Para la unidad de datos de la figura, disee una unidad de control basada en
registro de desplazamiento de forma que, en funcin de dos bits de entrada 1 1 e lo, pueda
elegirse entre una de las cuatro macrooperaciones siguientes :
1)A-A+28
2) A*-A-2B

Rt
RT

Wt -

3)CF-A-2B
4)C<-- 2A+2B

SHIFT REG .

Rsh
Wsh
SHL

Ra
a

SEL

Rb

MUX 1

B
Wb

ALU

C
8

Rac
Wac
Zac

ACUM

350

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin Pll .
Si escogemos la siguiente codificacin para cada una de las macrooperaciones :
1 1 10

macrooperacin

00
01
11
10

A-A+2B
AE-A-2B
CE-A-2B
CE-2A+2B

Esta solucin corresponde a una unidad de control tipo Moore .


Xs = 1
ACUM E- 0 / RTE-A

SI

ACUM E- ACUM + RT / SR - B

SR <-- SHL(SR,O)
1

1
ACUM 4-ACUM + SR

ACUM 6-ACUM + RT

S5a

ACUM F-ACUM - SR

A E- ACUM

S4

S5b

C - ACUM

S6

FIN

SF
a So

DISEO DE UNIDADES DE CONTROL

El controlador :

1
0

q5a

q3

5b

q5b

4b
q31

FIN

RA = WT = ZAC = g1

SHL=q3
WC = q6
WAC = A+q4b

RB = WSH = q2
WA = q5b
A = RT+q4a

S = q4b
RT=q2+q5a
R SH = SEL =q4a + q4b

RAC = q5b + q6

Problema 12.- En la unidad de datos de la figura se han representado todos los componentes
y los caminos de datos . Suponga que, adems, existe disponible una seal, FIN, que se pone
a 1 cuando se han sumado n bits. Se desea realizar las tres macrooperaciones siguientes :
CE-A+B
CE-A CE-B
a) Especifique las seales de control y operaciones de los registros y el biestable .
b) Disee el controlador .

A[n]
Ao

/ 1

B>

in

Sumador
completo

B[n]
b

Cout

IN
Biestable
OUT

C[n]

352

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P12.
a) Descripcin de componentes :
Rin

X = A, B
SR X
CL X-

CLEAR

x
Wbiest

SR c = 1 : SHR(C, Rin)

CLX = 1 : X - 0
SR X = 1 : SHR(X, d)
d=0 1 X7X0

IN
Biestable
OUT

i cj
CLEAR = 1 : Biestable E-- 0
Wbiest = 1 : Biestable - Ci+l
siempre C;= [Biestablel

b) Para la siguiente codificacin de las operaciones, obtenemos la carta ASM y el controlador .

IR, IR0
0
0
1
1

0
1
0
1

microoperacin
C-A+B
C-A
C E- B
otras

DISEO DE UNIDADES DE CONTROL

xs

353

2
FIN

IR1IR o
V
V V
CLEAR
CLB CL A

Wbiest

SRC

SR A
SR B

Problema 13.- Para la unidad de datos que se presenta, se quiere realizar un sistema digital
capaz de comparar dos nmeros de 8 bits (A y B), y almacenar en A el mayor de ellos y en B
el menor. Tras finalizar la operacin, el sistema generar una seal de FIN .
a) Defina correctamente las operaciones de los registros .
b) Obtenga la carta ASM y el controlador .
c) Sin aadir elementos nuevos, se puede simplificar la arquitectura de
esta unidad de proceso? Razone la respuesta .

A[81

C[8]

B[8]

/ I / I

]1

E E>F E=F
F
E<F

D[8]

1
CONT. mod-8

Solucin P13.
a)

Rx
X[81

w
X = A,B

UP
CL

HCY
CONT. mod-64

I/O

jo

WxRx

Z7_ o =

X -

UP CL

Cy =

CONT F-

00
01
10
11

HI
[X]
entradas
prohibida

X
X
entradas
prohibida

00
01
10
11

1 s
[CONTI
= 1 . . .11

CONT
0
CONT + 1
prohibida

354

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

W TRy SLy

Y7- 0

0 0 0
001
010
1 0 0

HI
HI
[Y]
entradas

b)

so

NOP

CONT4- 0 / C E-A
CL / W c , R A
v
D 4- B
WD, RB

SI

S2

t
C 4- SHL(C, X) / D 4- SHL(D, X) / CONT4-CONT +
Wc , SL c / WD, SL D / UP

C 4- A
Wc , RA
1
A4-B
WA , RB

B 4- C
WB , Rc

FIN
a So

Y 4Y
SHL (RU8,YL )
Y
entradas

DISEO DE UNIDADES DE CONTROL 355

X
1
C
E>F E F

>,-

V
CL

RA

WD

V
RB

RD=O
SL C
SL D
UP

WC

WA

V
RC

FIN

WB

c) S . Se resuelve en el siguiente problema .

Problema 14.- La figura muestra la solucin al ltimo apartado del problema anterior. Recordemos que se trata de almacenar en A el mayor y en B el menor de los datos previamente
almacenados en A y B. Determine la carta ASM para esta unidad .

A
Ra

Wa SHLa -

8
A[8]

me

11*

8
I> q4

11

C[8]

I>

-__ Rc
- Wc

A
Rb
Wb SHL b

8
B[8]
8

UP
CL

CONT. mod-8

CY

356

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P14.

so

NOP

v
CONT- 0 / C E-A
CL, W,RA

SI

S2

00,11

A E- SHL(A,A 7 ) / SHL>
B E- SHL(B,B 7 ) / SHLb
\ONT E- CONT + 1 / Ulj
0
CY

01,10

B E- SHL(B,B 7 )/ Wb,SHLb
CONT- CONT + 1 / UP

S3

0
CY

B E- A
Ra,Wh

v
AE-C
Re' Wa

v
B E- C
Re , Wb

NOP

SF

Problema 15.- Disee un sistema digital (ver figura), que opere como sigue . Sincronizado
con Ck 1 , recibe por XS un pulso de un ciclo de duracin y, a continuacin, 8 bits de datos en
serie por una lnea Din
.

DISEO DE UNIDADES DE CONTROL

357

El sistema, deforma sincronizada con Ck2, en primer lugar debe generar por Xo un pulso de un ciclo de duracin y, seguidamente, retransmitir por Dout los 8 bits de datos recibidos .
xs
Din

SISTEMA
DIGITAL

Ck

out

Ck
CLK

Solucin P15 .- Componentes de la unidad de datos :


DIN

R
SR

SR R

DOUT =

REG F-

0 0
0 1
1 0
11

HI
REG 0
HI
REG0

REG
REG
SHR (REG, DIN)
SHR (REG, DIN)

DOUT

CY

INC

INC CLEAR

CY =

CONT F-

x 1
1 0
0 0

1 s
[CONT]
= 11 . .1

0
CONT + 1
CONT

CONT mod .8

CLEAR

Ck
Componentes comunes a la unidad de datos y a la unidad de control :
- Un biestable SR asncrono y un multiplexor, se utilizan para obtener la seal de reloj
que se conectar tanto a las seales de reloj del registro y el contador como al controlador .

a los Ck

358

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Las seales S y R sern activadas por el controlador .


La carta ASM :
NOP

%Biest 4- 0, CONT F- 0
btest, CLEAR

REG F- SHR(REG, D IN ), CONT 4- CONT +


SR, INC

Biest E- 1
XO, Sbiest

v
REG E- SHR(REG, D IN), CONT F- CONT + 1, DOUT = REG
SR,

Rbiest,

INC
0

NOP
FIN
1

El controlador :

\I

3
4

CY
CY

Xs ---J
V
CLEAR

y
INC
SR

V
FIN

xo

Sbiest

Rbiest

Captulo

13

MISCELNEA

Problema 1 .- Para el dispositivo de memoria que se muestra a continuacin :


a) Obtenga su tabla de excitacin .
b) Razone si es posible implementar cualquier mquina de estados utilizando este tipo
de dispositivo como elemento de memoria .
c) Con dos de estos elementos de memoria y las puertas necesarias, realice un circuito
que implemente la tabla de estados . Elija una asignacin de estados adecuada, sin consideraciones de costes .

0
1

0
q

A,1

B, 1

Tabla de estados

Ck
Elemento de memoria y su
tabla de comportamiento

NS, Z

Problema 2.- En una prctica de laboratorio se pretende montar el circuito siguiente :

Sin embargo el laboratorio es un desastre .


a) El da que va el grupo M resulta que no hay mu/tip/exores, con lo nico que podemos

359

360

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

contar es con una puerta NAND de ocho entradas adems del decodificador previsto . Obtenga el circuito equivalente al dado con el material disponible.
b) El da que va el grupo P ya disponemos de los multiplexores necesarios, pero ahora
han desaparecido los decodificadores . Obtenga un circuito equivalente al dado utilizando un
slo multiplexor como el previsto en la prctica .
Nota 1 : Disponemos de las variables en nico ral .
Nota 2: La entrada de habilitacin del multiplexor hace : F=0 si E=0 y F=MUX si E=1
Problema 3 .- Se pretende realizar un dispositivo como el mostrado en la figura :

> ZI
C .C .

> Z2
CLA uP
>
CK

CONT
mod-16

La entrada CLA pone a cero el dispositivo de forma asncrona . Por la lnea X se reciben
pulsos positivos de uno o ms ciclos de reloj. Con independencia de la duracin de cada pulso
y contando a partir de la ltima vez que se activ CLA, se desea activar Z, a partir del final del
segundo pulso recibido por X y activar Z2 a partir del comienzo del quinto pulso . Una vez
activada cada salida, se mantendr activa hasta que se active CLA otra vez .
Disee el circuito combinacional (CC en la figura) utilizando exclusivamente puertas
NAND y suponiendo variables en doble ral .
Problema 4.- Considere la palabra 10100110 . Interprete, si es posible, la informacin de esta
palabra segn sea : nmero binario, representacin signo-magnitud, representacin complemento a 1, representacin complemento a 2, cdigo ASCII, cdigo ASCII con paridad par, cdigo ASCII con paridad impar o cdigo BCD .
Problema 5.- Se desea disear una calculadora que realice las siguientes operaciones :
1 .A-2A+B
3 .B-2A+28
2.A'-A-B
4 . BOA-28 .

MISCELNEA

36 1

Para ello se dispone de la unidad de datos de la figura en la que todas las salidas de
los registros son condicionales. Se pide:
a) Especificar completamente la unidad de datos .
b) Disear la unidad de control correspondiente .
Problema 6 .- En el circuito de la figura hay, entre otros, un sumador paralelo de "n" bits y un
bloque TRANSFIERE/COMPLEMENTA B (representado por n XOR) . Describa funcionalmente el circuito . (Esto es, represente formalmente su operacin y explquela verbalmente) .
A
B
xi

x3

x2

Problema 7.- Un sistema digital de 4 entradas recibe sincronizado con una seal de reloj,
caracteres de 4 bits . El sistema genera z = 1, durante un ciclo de reloj, tras recibir cuatro
caracteres seguidos idnticos .
Cuntos elementos (bits) de memoria deber tener, el sistema? Disee dicho sistema
utilizando registros de 4 bits, comparadores de magnitud y puertas .
Problema 8.- Responda a las siguientes cuestiones :
a) Un cdigo binario de nmeros decimales se dice que es un cdigo pesado cuando la
posicin de cada bit lleva asociada un peso numrico y se denomina autocomplementable si
el complemento a 9 de cada dgito D = d 3 d2d 1 do es Ca9(D) = 9;d-2d
El cdigo 8CD natural
es un ejemplo de cdigo decimal pesado pero no autocomplementable . El cdigo exceso-3
es un ejemplo de cdigo decimal no pesado pero es autocomplementable . Muestre que el
siguiente cdigo es ambas cosas : pesado y autocomplementable y determine el peso de
cada bit .
0 = 0000
1 = 0001
2 = 0011
3 = 0100
4 = 1000
5=0111
6= 1011
7= 1100
8= 1110
9= 1111
b) El circuito de la figura contiene una puerta de 5 entradas que puede ser una NAND5,
una NOR5 o una XNOR5 . Cul es el test ms simple que se podra aplicar para averiguara
qu puerta corresponde?
7

c) Sea la funcin z(x 1 , x2, . . ., x, .,) que se define como :


z(x 1, x2, . . ., x) = 1 si y slo si x; * x1 para algn valor de (i, j) .

362

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

- Si consideramos esta funcin como un operador de n variables, podramos decir que


es funcionalmente completo?
- D una expresin algebraica para z .
Problema 9.- Sean A= A 4A3A2A 1 A o y 8=B4B3B28 1 B 0 dos nmeros binarios que nunca pueden representar el valor "-0" . Hay dos seales S 1 y So, que indican el tipo de representacin
numrica, de acuerdo con el siguiente cdigo .
S 1 S0 =00 A y B nmeros sin signo
S 1 SO=01 A y B nmeros en signo-magnitud
S 1 S0 =10 A y 8 nmeros en Ca2
S 1 S0 =11 A y B nmeros en Ca 1
Disee un comparador (A>B, A=B, A<B) utilizando un comparador de magnitudes de
4 bits y los MUX 4 :1 que se necesiten .
Problema 10.- La siguiente figura muestra la unidad de procesado de datos de un microprocesador con bus de datos de 8 bits (D 7 - Do) y bus de direcciones de 16 bits (A 15 - A 0) .
AB [ 16] (a Memoria)
A15 - A0
MARH[8]

PCH[81

MARL[8]

PCL[8]

RT[8]

IR[8]

ALU

AC[81

CONTROL

MDR[81

1-11

DB[81 (a/de Memoria)


I> D - D o
7

Como puede observarse, el bus interno de comunicacin es de 8 bits y dispone de los


siguientes registros:
- MAR (Memory Address Register) : de 16 bits, est formado por la concatenacin de
dos registros de 8 bits : MARH y MARL .

MISCELNEA

363

- MDR (Memory Data Register) : de 8 bits, su finalidad es servir como registro intermedio entre el procesador y la memoria externa . Todo dato que entre o salga del procesador deber ser almacenado previamente en MDR .
- IR (Instruction Register): es de 8 bits .
- PC (Program Counter) : es de 16 bits y est formado por la concatenacin de dos
registros de 8 bits : PCH y PCL .
- AC (Acumulador) : es de 8 bits .
- RT (Registro Tampn) : es de 8 bits y es utilizado para el clculo de operaciones
intermedias .
Obtenga la secuencia de microoperaciones necesarias para realizar la siguiente
instruccin indicando cules corresponden al ciclo de FETCH y cules al de EXECUTE :
LDA $B043
Nota : Cada instruccin ocupa 3 palabras de 8 bits consecutivas de la memoria . En la primera
aparece el cdigo de operacin (LDA) ; en la segunda aparecen los 8 bits de direccin ms
significativos del operando (A 15 - A8); y en la tercera aparecen los 8 bits de direccin menos
significativos (A 7 - A 0) .
Problema 11 .- Una puerta umbral (ver figura 1) activa su salida, Z = 1, si el valor de sus
entradas tomadas como nmero binario A110 = (a- 1 , . . .,a 1 , a 0)(2 es mayor o igual al umbral
interno "i".
a) Disee una puerta umbral de n entradas, utilizando subsistemas combinacionales y
puertas lgicas .
En la figura 2, aparece un circuito formado, nicamente, por puertas umbrales .
b) Analice dicho circuito.
c) Rediselo utilizando, exclusivamente, MUX de 4 canales .

c
1 si A>i
OsiA<i
Figura 1

Problema 12.- En el diseo de la funcin :

Figura 2

f = fl

( 4, 5, 6, 7, 8, 9) - d (0, 2, 13, 15)


se ha
dado como solucin el circuito de la figura . Las variables estn en nico ral .
a) Determine, si los hay, todos los errores de la solucin y corrjalos .
b) Para el circuito de la figura, dibuje la forma de onda de salida si b es una seal
peridica de frecuencia 20 Mhz y acd=011 se mantienen constantes, suponiendo que todas
las puertas poseen un tiempo de retraso de 5ns .

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

364

Problema 13.- Obtenga la carta ASM de un circuito secuencial sncrono con una entrada x .
La nica salida, z, es 0 a menos que la entrada x haya permanecido constante (a 1 a 0)
durante los ltimos cuatro ciclos de reloj . La salida se pondr a 1 coincidiendo con el cuarto 1
( 0) de la entrada . Suponiendo que el circuito se dispara en el flanco de bajada, dibuje la
forma de onda de salida para la secuencia de entrada de la figura, indicando las cajas de
estado por las que pasa .
Ck
x

Problema 14.- En la figura se muestra una tabla de implicantes primas para f(a,b,c,d) en la
que se desconocen algunos de los encabezamientos de las filas y columnas . Se sabe que
todos los mintrminos y las implicantes primas de la funcin estn en la tabla .
a) Determine los mintrminos e implicantes primas que corresponden a las filas y
columnas desconocidas. Es nica la solucin?
b) Escriba los maxtrminos de f y obtenga la expresin ptima para f .
0
A=b d

B= ?

C=bcd
D=?
E=?
F=?

8
X

10

15

cl

c2

X
X
X

X
X

Problema 15.- Un perro puede estar tranquilo, irritado, asustado o irritado y asustado
simultneamente, con lo cual muerde . Si le damos un hueso se queda tranquilo. Si le

MISCELNEA 365

quitamos uno de sus huesos se pone irritado, y si ya estaba asustado, nos morder . Si le
amenazamos se asusta, y si ya estaba irritado tambin nos morder . No es posible realizar
dos acciones simultneamente sobre el perro . Obtenga el diagrama de Moore y realice un
circuito que simule la conducta del perro .

Problema 16.- Disee un circuito combinacional que tenga como entradas tres nmeros sin
signo A, B y C de n bits cada uno, y una salida Z que indique cul de los nmeros B o C es
ms prximo al nmero A . Haga un diseo con subsistemas combinacionales . Suponga que
A# B, A#CyC ;, ,-- B.

Problema 17.- Considere el circuito de la figura . Inicialmente los biestables


do 0. La operacin del circuito empieza con un pulso de "Start" aplicado a
PRESET de los biestables X e Y. Determine las secuencias o las formas de
X, Y, A, Z y W para 20 ciclos de reloj despus del comienzo de la operacin

C
K C

1-K

K A X

estn en el estalas entradas de


onda en A, B, C,
.

A-

CLK Y

Zr
PR

PR

D Y

S tart

Problema 18 .- Para un sistema con 16 lneas de direccin se necesitan 40K de memoria dejando libre el resto . Se dispone de una _
RAM de 32K y otra de 8K, ambas con seal de seleccin de chip CS, de lectura/escritura R/W y buses compartidos . La memoria resultante deber
tener seales de lectura R y de escritura W separadas y activas en alta, sin seleccin de chip .
a) Disee el circuito .
b) Determine qu palabras de memoria se corresponden con las direcciones lgicas siguientes: $FOCA, $4342, $9CAD.
c) Cul es la direccin lgica que hay que poner para acceder a la palabra $7531 de
la RAM de 32K?. Cul sera para la $0246 de la RAM de 8K? .

366 PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

SOLUCIONES A LOS PROBLEMAS PROPUESTOS


Solucin Pl .
a) Directamente de la tabla de estados del biestable se obtiene su tabla de excitacin :
q-Q
0->0
0-> 1
1-40
1-> 1

La transicin 1 ---> 0 se puede conseguir


con cualquier valor de y, 0 1 .

b) Para una mquina de estados genrica, siempre habr "transiciones" de alguna


variable de estado desde 1 hacia 1 . Esta "transicin" no puede ser llevada a cabo por el
biestable "y", al menos directamente . En consecuencia, en general no hay garantas de poder
implementar cualquier mquina con este tipo de biestable .
c) De acuerdo con el apartado b), para realizar la mquina del enunciado debemos
encontrar una asignacin para la que no ocurran transiciones de 1 a 1 .
Una asignacin vlida se puede encontrar bien razonando adecuadamente, bien por el
mtodo de prueba y error . La opcin razonada es como sigue :
El grafo de transicin de estados, sin tener en cuenta ni el valor de X ni el de Z, es :

ID

El estado B es el que ms transiciones recibe (tres) . Teniendo en cuenta que en el elemento de memoria el problema es la transicin q ; : 1 -> 1, le asignaremos el cdigo glg2 = 00
(de esta forma evitamos la transicin problemtica hacia el estado B) . La nica transicin que
parte del estado A va hacia el estado B . Por tanto, no se produce la transicin problemtica si
le asignamos a A : q1q2 = 11 . Por ltimo, como C y D son prximos estados uno del otro, le
asignamos cdigos complementados, por ejemplo C : q1 q2 = 01 y D : q1 q2 = 10 .
Una vez realizada la asignacin, de forma que se ha evitado que existan transiciones que
no pueden implementarse con el elemento de memoria, el proceso de diseo contina como
siempre .
X

q 1 q\ 0
B=0 0 01,0

1
1 1,1

C=O 1
A=1 1

00,0

10,0

00,0

00,0

D=1 0

01,0

00,1

Q1 Q2
Tabla de transicin/salida

q 1 q\ 0
01
00
01
Od

11
ld

y2 =X91

11

dd

dd

Z=Xq2

10

dl

d0

YiY2
Tabla de excitacin

y1 =X

Ecuaciones de excitacin
y salida

MISCELNEA 367

El circuito final queda :

Z
2

Solucin P2 .- El primer paso es calcular una expresin de la funcin F(x,y,z), para lo cual hay
que analizar el circuito . Daremos nombre a cada una de las lneas .

Segn la ecuacin de salida del multiplexor tenemos :


F = do ( d 2 s 1 -s o + d 3 s 1 s 0 + d4 s 1 -SO + d5-s1 s0 )Cada una de esas seales son salidas del decodificador, por tanto :
d3 =x+y+z
d 4 =x+y+z
do =x+y+z d 2 =x+y+z
sp=x+y+z
d 5 =x+y+z
s 1 =x+y+z
Sustituyendo en la expresin anterior para F :
F = II (0,5) = 1 (1,2,3,4,6).
a) Implementaremos esa misma funcin haciendo uso del decodificador anterior y una
puerta NAND de ocho entradas . Dado que por las salidas del decodificador tenemos las expresiones de los maxtrminos de tres variables (las que actan de entrada al decodificador), basta
elegir aquellas salidas del decodificador correspondientes a los mintrminos de F . As, al usarlas como entradas de la puerta NAND, a la salida de sta obtenemos la funcin deseada .

x
y
z

b) Para este apartado tenemos que usar el multiplexor que aparece en el enunciado .
Como estamos en nico ral, vamos a buscar qu disposicin de variables es vlida para que
no encontremos residuos de la funcin que posean variables complementadas .

368

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Residuos
de F :

Residuos
de F :

De las tres disposiciones de variables anteriores, slo la segunda permite resolver


nuestro problema . Con ello, el diseo final del circuito sera :
1

xz

Solucin P3 .- Para contar los pulsos recibidos, como la duracin de estos es variable, dos flancos consecutivos en la entrada (subida y bajada) representan un pulso . Cada pulso, pues, necesita dos estados : uno que reconoce el flanco de subida en X y otro, el de bajada. El diagrama
de estados para la mquina ser :
0/00

1/00


1/00

0/00
0/00

lo

411

0 0

1/00
1/00

0/ 0

0/10

0/10

0/10
1/10

lo

donde el estado "0" es el de ausencia de pulso ; el estado "1" se alcanza cuando X = 1 (comienzo de pulso) y en l se permanece hasta que X = 0 (final del pulso) ; tras este primer pulso se
permanece en un estado "2" hasta la llegada del nuevo pulso (X = 1) ; etc .
Para realizar estos cambios de estado utilizaremos el contador . Si asignamos los valores
0000, 0001, 0010, . . . a los estados 0, 1, 2, . . ., respectivamente, el estado coincide con el valor
del contador y los cambios de estado del grafo se llevan a cabo activando o no la entrada UP
segn :
UP=O

UP =

Entonces, las ecuaciones de excitacin del contador (UP) y de salida (Z 1 , Z 2) se obtienen mediante el siguiente mapa de Karnaugh que representa la "tabla" de excitacin-salida :

MISCELNEA

369

q2

00

000
000

001
010

011
---

010
010

110
111

111
---

101
110

100
100

01

100

110

---

011

011

---

010

000

11

110

110

---

---

---

010

000

10

000

010

---

---

---

110

100

q1 q0

---

UP Z 1 Z2
Las expresiones de las que se obtiene el circuito son :
UP = X - qO+X . g3 . g0

Z1 = X'g1'g0 + q2 + q3

Z2 = g0 'g 3 +X q 3

Solucin P4.
Como nmero binario : 10100110 = 166 (1 0
Como signo-magnitud : 10100110 =- (0100110) =- 38 (10
Como complemento a l : 10100110 = - (01011001) = - 89 (10
Como complemento a 2 : 10100110 = - (01011010) = - 90(10
En cdigo ASCII : problema mal formulado, pues el cdigo ASCII es slo de 7 bits .
En cdigo ASCII con paridad par : 10100110 - & .
En cdigo ASCII con paridad impar: 10100110 no puede estar escrito como cdigo de
paridad impar pues tiene 4 unos .
En cdigo BCD : un dgito sera 1010 y otro 0110, pero como 1010 no es un dgito BCD,
el problema est mal formulado .
Solucin P5 .
a) Desarrollaremos las instrucciones mediante microoperaciones y, de aqu, iremos implicando algunas necesidades de operaciones en los registros . Previamente, analizaremos la
unidad de datos de la que disponemos :
Hay dos buses compartidos (BI y BD), por lo tanto, las salidas de los registros A, B y
AC son salidas con buffers triestado . Como las salidas son condicionales, los registros tienen
seales de lectura: nica para RT (seal RT) y AC (seal RAC) y doble para A y B, segn se
lea en BI (seales RA I, RBI) o en BD (seales RAD, RB D).
La ALU opera sumando o restando entre BD, con el que se pueden leer los contenidos
de los registros A, o B, o AC, y el contenido de RT que puede ser cargado desde el registro A
o el B .
Hay que especificar cul es el sustraendo en caso de resta ; en nuestro caso, elegimos RT
como sustraendo . Con ello, la descripcin de la ALU es :

370

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

(BD)

(RT)

s r
0 0
0 1
1 0
11

out
a-b
a+b

out (BD)

Para obtener los valores 2A y 2B podran utilizarse desplazamientos a la izquierda .


Aqu, sin embargo, se realizarn mediante sumas sucesivas :
2A + B : se almacena A en RT y se suma RT dos veces .
A - 2B : se almacena B en RT y se resta RT dos veces .
2A + 2B : adems de hacer lo mismo que 2A + B, se almacena
oportunamente B en RT y se vuelve a sumar .
Con ello, basta que los registros posean seales de lectura y escritura, permitiendo que
ambas se activen simultneamente (excepto en A y B para lectura sobre BD) . A y B son iguales
entre s y RT y AC, tambin .

RA, RA D W A

A<--

BI =

BD =

RAC WAC

AC4-

out =

000
001
010
100
101
110
-11

A
BD
A
A
BD
A
proh .

HI
HI
HI
[A]
[A]
[Al
proh .

HI
entrada
[A]
HI
entrada
[Al
prohibida

00
01
10
11

AC
in
AC
in

HI
HI
[ACI
[AC]

MISCELNEA

371

El desarrollo de cada macrooperacin y su codificacin es :

cdigo

X I Xp=00

X I Xp=01

X I X0 = 10

X I X 0 = 11

OP .

AE-2A+B

AE-A-B

BE-2A+2B

BF-A-2B

op l

RTE-A

RTE-B

RTE-A

RTE-B

op2

ACE-B+RT

op 3

AC E- AC + RT

op 4
op 5

ACF-B+RT
AC E- A - RT

A E- AC

AC E-AC + RT, RTE- B

AC E- A - RT

AC E- AC + RT

AC E- AC - RT
B E- AC

b) La carta ASM, sealando en ella tanto las microoperaciones como las seales a activar por el controlador, queda como sigue :

372

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Tambin podran haberse reunido los estados S3a Y S3b segn se muestra :

MISCELNEA 373

Obteniendo el controlador directamente de la carta ASM :


RB D
A
93a0
q
D2

q
q2 D3a

D
FIN

9a
93a1

910
q

q
DF

XI
93bC

911

q
D

3b

q
D

93b1

0
1

q2

911
93a

> RBI

q1
93al

WT

93b
951

WB

XI

V
RA I

q50

- q51

93b
q5

> r

WAC
93a
93b

q2
s

q6

q50 -~

Solucin P6 .- Si x2 = 0, tenemos que, independientemente de x 1 , se realizar la operacin


F = A+B si x 3 = 0, o F = A+B+1 si x 3 = 1, ya que el canal seleccionado del multiplexor es el
0, y ste controla la entrada de acarreo del sumador .
Si x2 = 1 y XI = 1, independientemente de x 3, por la entrada b del sumador tenemos el
complemento de B y la entrada de acarreo es 1 . Por tanto, la salida F = A+B+1, esto es F = A-B
en Ca2 .
Si x 2 = 1 y x1 = 0, independientemente de x 3, la entrada de acarreo se encuentra a 0, y
el circuito complementador deja pasar, tal cual, el dato B . Por tanto la operacin de salida es
F=A+B .
En resumen, si x 2 = 1 se trata de un sumador/restador segn el valor de xl (0/1,
respectivamente) y, si x 2 = 0, hace la suma con x 3 como acarreo de entrada .
XI x 2 x3

0
0
0 1
1 1

0
1
-

F
A+13
A+B+1
A+B
A+B+ 1

374

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P7 .- Este sistema digital debe tener, por un lado, capacidad de almacenamiento para
un carcter de 4 bits, con el objeto de poder hacer comparaciones con los caracteres que
incidan en posteriores ciclos de reloj . Adems, el sistema debe llevar la cuenta de las
coincidencias existentes, por lo que necesitaramos un contador de cuatro estados o 2 bits . En
total, y como mnimo, necesitaremos seis biestables . No obstante, a la hora de implementar el
sistema, no disponemos de contadores, slo de registros . En este caso, utilizaremos los
registros para almacenar los caracteres en distintos ciclos de reloj . Los registros estn
conectados entre s de modo que en conjunto simulan un registro de desplazamiento de
caracteres . Slo es necesario utilizar 3 registros ; con ellos y la entrada actual se conocen los
caracteres correspondientes a cuatro ciclos de reloj .

X3 :0
R[4]

-1

R[4]

R[4]

El sistema debe generar salida 1 durante un ciclo de reloj, cuando se detecte una
secuencia consecutiva de cuatro caracteres idnticos . Cuando se recibe esta secuencia, tanto
la entrada como los registros, contienen el mismo dato . Utilizando comparadores de magnitud
se puede detectar cundo se ha recibido la secuencia correcta . Estos dispositivos tomarn la
entrada y el contenido de los distintos registros y los compara por parejas, de forma que en total
necesitaremos 3 comparadores .

X3 :0

R[4]

R[41

a>b a=b a<b

R[41

b
b =b a<b

a>b a=b a<b

El
Cuando el contenido de los 3 registros y la entrada coinciden, las salidas E l , E 2 y E3
toman simultneamente el valor 1 . La salida z la podemos construir mediante la operacin
AND de las tres salidas anteriores :
z = El . E 2

E3

Solucin P8 .
a) Los pesos son : d 3 -* 4, d 2 -* 3, d, -* 1, do -* 1 . (Se obtiene de forma inmediata : do
de cdigo del 1 ; d 2 del 3 ; d3 del 4 ; y d l de, por ejemplo, el 2) .

MISCELNEA

CDIGO

VALOR DECIMAL

0000

0 = 0x4 + 0x3 + Ox 1 + Ox 1

0001

1 =0x4+0x3 +Ox1 + 1x1

0011

2=Ox4+Ox3+ Ixl + 1x1

0100

3=0x4+1x3+Ox1+Ox1

1000

4 = 1x4+0x3+Ox1 +Ox1

0111

5=0x4+1x3+ 1x1 + 1x1

1011

6= 1x4+Ox3+ 1x1 + 1x1

1100

7=1x4+1x3+Ox1+Ox1

1110

8=1x4+1x3+1x1+Ox1

1111

9= 1x4+ 1x3+ 1x1 + 1x1

375

Adems, el cdigo es autocomplementable . :


dgito

d 3 d 2 d 1 dp

Ca9

d3 d2 d i do

0000

1111

0001

1110

0011

1100

0100

1011

1000

0111

0111

1000

1011

0100

1100

0011

1110

0001

1111

0000

b) La funcin XNOR(a, b, c, d, e) toma los siguientes valores :


- 0 si el nmero de entradas a 1 es impar .
- 1 si el nmero de entradas a 1 es par .
Es suficiente con dos combinaciones de entrada para deducir qu tipo de puerta es :
SOLUCIN 2

SOLUCIN 1 :
a b c d e
11000
11100

NAND

NOR

1
1

0
0

XNOR abcde
1
11111
01111
0

NAND
0
1

NOR
0
0

XNOR
1
0

376

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

c) S, es funcionalmente completo porque se puede implementar la funcin NOR


(NOT-OR) que lo es .
OR(a, b) = a + b = z(a, b, 0, 0, . . .,0) .
NOT (a) = = z(a, 1, 1, . . .,1) ;
Expresiones algebraicas de la funcin z pueden ser las siguientes :
z = (x1(Dx2) + (x1Ox 3 ) + (x1(Bx 4 ) + + (x;Oxi ) + . . .
z = (x1+x2+ +xn)(x1+x2+ +xn )
Solucin P9 .- En todas las representaciones nmericas que se nombran en el enunciado, salvo
la de nmeros sin signo, el bit de mayor peso representa el signo del nmero . Este bit de signo
permite hacer una comparacin rpida entre dos nmeros, ya que los positivos son mayores
que los negativos . Esto, en principio, es vlido si restringimos el uso del nmero - 0, que, existe en notaciones como Cal o S-M . Si comparamos el + 0 con el - 0, el resultado no debe dar
como mayor al primero, puesto que los dos nmeros representan la misma cantidad .
Si comparamos dos nmeros positivos o dos nmeros negativos, tenemos que comparar
las magnitudes de ambos, dando como mayor, en el primer caso, al de mayor magnitud y en el
segundo caso, al de menor magnitud .
En resumen, utilizaremos el comparador de magnitudes para los 4 bits menos
significativos de los nmeros A y B, y nos serviremos de los bits ms significativos para
activar las salidas en caso de nmeros de distinto signo .
Llamemos g, e y 1 a las salidas del comparador de las magnitudes A3-0 y B3-0 :
A3-0
B3-0
Sean G, E y L las seales que comparan los nmeros con signo A4-0 y B4-0 . Podemos
obtener, en funcin de S 1 , S 0, A4 y B4 la siguiente tabla :
A4B 4
S~ 00
gel
00

01
001

10
100

11

gel

100

001

leg

gel

100

001

gel

gel

100

001

gel

01
10
11

gel

GEL
Para nmeros sin signo, S I S O = 00, tenemos las siguientes posibilidades :
a) A4B 4 = 00 y A4B 4 = 11 el resultado de la comparacin depende de los 4 bits menos
significativos, esto es, GEL = gel.
b) A 4B 4 = 01, el nmero B es mayor que el A, por tanto L = 1 .
c) A4 B 4 = 10, el nmero A es mayor que el B, G = 1 .
Para nmeros en notacin S-M, tenemos las siguientes posibilidades :
a) A 4B 4 = 00, los dos nmeros tienen el mismo signo y, por tanto, el resultado de la

MISCELNEA

377

comparacin depende de la magnitud, de forma que el que tenga mayor magnitud, ser el ms
grande (GEL = gel) .
b) A4 B 4 = 01, el nmero A es positivo y el B, negativo, el mayor es el primero, G = 1 .
c) A4B 4 = 10, el mayor es el nmero B, por ser positivo, L = 1 .
d) A 4B 4 = 11, los dos nmeros son negativos y, por tanto, el mayor ser el que tenga
menor magnitud, GEL = leg .
Para nmeros en notacin Cal, tenemos las siguientes posibilidades .
a) A4 B 4 = 00, los dos nmeros son positivos : por tanto, el mayor de los dos ser el que
tenga mayor magnitud, GEL = gel .
b) A 4B 4 = 01, el nmero A es mayor por ser positivo, G = 1 .
c) A4 B 4 = 10, el nmero B es el mayor por ser positivo, L = 1 .
d) A4B 4 = 11, los dos nmeros son negativos . Hay que determinar la magnitud de ambos
para saber cul es el mayor . Para resolver este caso, observemos primeramente un ejemplo de
nmeros negativos de 4 bits en esta notacin .
- 7 : 1000
- 6 : 1001

- 3 : 1100
- 2: 1101

- 5 : 1010
- 1 : 1110
- 4 : 1011
- 0: 1111
Apartando el bit de signo, las magnitudes de los bits restantes son tanto mayores cuando
el nmero es mayor . Por tanto, las salidas GEL = gel .
Por ltimo, se puede demostrar que, para nmeros en notacin Ca2, obtenemos los
mismos resultados que en Cal .
Podemos ya determinar el circuito resultante, utilizando MUX de 4 canales :
g o

0
1
1 2
3 1 0
g

A3-0

B3-0

0
1
g

0
1
2
3 10
0
1
2
3 10
0
1
2
3 10
11
0
1
2
3 10
0
1
2
3 10
0
1
2
3 10
II
A4 B 4

0
1
2
3 10

l
2
3 10

SISO

378

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Solucin P10 .- En el ciclo de fetch (bsqueda) se debe encontrar la posicin a la que apunta
inicialmente el contador de programa (PC), (por ejemplo, la direccin $K) . A continuacin
buscar la siguiente ($K+1) y, por ltimo, la direccin $K+2 .
Ah terminara el ciclo de bsqueda y habr que dejar al contador de programa (PC)
apuntando a la siguiente direccin de memoria $K+3 .
De esta forma, la secuencia de microoperaciones a realizar es :
1.

MARH - PCH

Almacena parte H de $K .

2.

MARL *- PCL

Almacena parte L de $K .

3. MDR E- MEMORIA, PC - PC + 1
4.

IR E- MDR

5.

MARH 4- PCH

Carga "LDA" en MDR y pone PC a


K+1 .
Carga "LDA" en el registro IR .

Similar a 1, 2 y 3, ahora para traer


"BO" a MDR y poner PC a K+2 .

6. MARL F- PCL
7. MDR E- MEMORIA, PC 4- PC + 1
8.

RT 4- MDR

9.

MARH 4- PCH

Almacena "BO" en el registro RT .

Similar a 1, 2 y 3, ahora para traer


"43" a MDR y dejar a PC apuntando
a la siguiente instruccin K+3 .

10 . MARL F- PCL
11 . MDR 4- MEMORIA, PC E- PC + 1

Aqu termina el ciclo de fetch . Comienza, por tanto, el ciclo execute de la instruccin
LDA, que consiste en cargar el acumulador con la palabra de direccin $B043 :
AC 4- MEMORIA($B043)
Para ello :
12. MARH E- RT
Pone la direccin de la palabra en el registro MAR .
13 . MARL 4- MDR
14 . MDR 4- MEMORIA

El contenido de esa palabra se carga en MDR .

15. AC F- MDR

Desde MDR se transfiere al acumulador .

Con ello se termina la ejecucin . Ahora volvera a iniciarse el siguiente ciclo de fetch .

MISCELNEA

379

Solucin P11 .
a) Dada la expresin de la puerta umbral en la figura 1, para su realizacin basta con un
comparador de magnitud de n bits que compare "A" e "i" y un inversor para obtener la salida Z .
En la siguiente figura se muestra dicho esquema :
n
Comparados
de "n" bits
Z
b) Para analizar el circuito de la figura 2, construimos la tabla de verdad para cada una
de las salidas de las puertas umbrales : Z2 es la de la puerta con umbral en 2, Z 1 la del umbral
en 1, Z 3 en 3 y F es la de la puerta con umbral en 5 y cuyas entradas son Z 2 , Z 1 y Z3 . Partiendo
de estos datos se obtienen los siguientes resultados :
a

Z2

Zi

Z3

Z2

Z1

Z3

0
0

De estas tablas se puede obtener la funcin F(a, b, c, d, e) . Para ello, dada una combinacin de las cinco variables, se evala cada una de las funciones Z2 , Z 1 y Z3 y posteriormente
se obtiene el valor de la funcin de salida del circuito F . Realizando este clculo para todas
las combinaciones de entrada se obtiene el siguiente mapa binario :

000

001

010

011

100

101

110

111

ab 00

RO= 0

01

Ro = 0

10

11

R2 = R3
R3

F
c) Del mapa anterior, usando "a, b" como entradas de seleccin del MUX-2 cuya salida
es la funcin F y diseando el residuo R 2 con un MUX-2 de entradas de seleccin "c, d", se
llega al circuito final que se muestra a continuacin :

380

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

\d
e
0

00

01

10

11

1
Residuos
de R 2 :

1
2

2
310

310

II

II
a b

c d

Otra solucin de menor coste es :


0
0
d

0
1

1
ac
Solucin P12.
a) En los mapas de Karnaugh se ven las diferencias entre la solucin y la funcin :
FUNCIN
ESPECIFICADA

CIRCUITO
ab

ab
00

cd
00

01

11

10

00

cd\ 00

01

11

10
0

01

01

11

11

10

10

Los errores son que la solucin considera que las posiciones 6 y 7 son mintrminos y en
realidad son maxtrminos . En cambio, en la solucin las posiciones 10 y 11 son maxtrminos
cuando deben ser mintrminos .
La funcin correcta es : f = a b + a c + b . Por tanto, para corregir los errores, en la puerta central en vez de conectar b y c hay que conectar a y c . Por otra parte, es posible eliminar la
conexin de la seal d en la puerta de ms abajo del circuito, ya que las celdas 0 y 2 estn inespecificadas .

MISCELNEA

381

b) Llamando a las seales internas del circuito como se muestra en la figura :

z=MN

M=b
c
1
L= b
Ld

N=L

El diagrama de ondas queda de la siguiente manera :


50 ns
b

M=L
s

~5 n$~
5ns
i
N

-4

_4-5ns

--4

'1-5 n

-4

n1-

Esto es, z presenta un azar (esttico) de 5ns de duracin, que aparece l Ons despus del
cambio de bajada en b .
Solucin P13 .- Los estados de la carta ASM seran los siguientes :
Estado A : se han detectado tres o ms "0" ; si x = 0 se activa "z" y se permanece en A ;
si x = 1 se pasa a B .
Estado B : estado en el que se ha detectado el primer "1" .
Estado C : estado en el que se ha detectado "11" .
Estado D : estado en el que se ha detectado tres o ms "1 " . Si x = 1 se activa z y se permanece en D ; si x = 0 se pasa a B .
Estado E : estado en el que se ha detectado el primer "0" .
Estado F : estado en el que se ha detectado "00" .

382

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Con lo que la carta ASM queda:

A ( . . .000)

B (. . I )

C ( . .11)

E ( . . .0)

D ( . . .111)
F ( . . .00)
0

La forma de onda y la secuencia de estados son :

Ck
X
z
S

Solucin P14 .- La resolucin del problema puede efectuarse utilizando una representacin de
la informacin que aporta la tabla del enunciado sobre un mapa de Karnaugh . En esta tabla
aparecen expresiones de dos implicantes primas y faltan por conocer expresiones de las otras
cuatro (B, D, E y F) . Adems, aparecen todos los mintrminos menos dos (c l y c2) . Con los
mintrminos conocidos el mapa queda como sigue :

MISCELNEA

383

=..o
....
.am.
...=
Implicante A = b d : Vale 1 cuando b = 0 y d = 0 . Son las posiciones 0, 2, 8 y 10 sobre
un mapa de Karnaugh . Como 0, 8 y 10 son mintrminos de f y esta implicante no cubre ni
c 1 ni a c 2, en la posicin 2 del mapa hay una inespecificacin, d .
La implicante prima B cubre al mintrmino 0 y a c l . Adems, B es la nica implicante
que cubre al mintrmino c 1 , de forma que no puede agruparse con ningn otro mintrmino distinto del 0 . Por tanto, el mintrmino c 1 slo podra estar o en la posicin 1 en la posicin 4
del mapa .
La implicante C = bcd cubre slo a los mintrminos 7 y 15 .
La implicante D cubre al 15 y a c 2. Por lo que c 2 tiene que ser adyacente al 15 y, por
tanto, slo puede ser el 11 el 13 el 14 . Como no existe ninguna implicante prima que cubra
ni al mintrmino 10 ni a c 2, no pueden ser ni el 11 ni el 14 (ambos adyacentes del 10) . Por
tanto, c2 es el 13 .
La implicante E cubre al mintrmino 8 . Como es implicante prima debe cubrir, adems,
alguna inespecificacin . Esta puede estar en celdas adyacentes al 8, esto es, en el 9 en el 12 .
La implicante F cubre a c2 = 13 . Adems, debe cubrir alguna inespecificacin que podr
estar situada en las celdas 5 9 12 . Si la celda 5 fuese inespecificacin, la implicante C no
sera prima. Por tanto, slo puede estar en la 9 12 .
Con lo que hemos deducido el mapa queda como sigue :

d?

U
Resta por determinar si el mintrmino c 1 es el 1 el 4 y si hay inespecificacin en el 9
el 12 . Existen dos soluciones posibles .
La primera es considerando que c 1 = 1 . En este caso, la inespecificacin est situada en
la celda 12 . El mapa quedara de la siguiente manera :

384

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

00

01

11

10

a
~..~

LU]

B=(0,1)
=aEc
D=(13,15)=abd
E=(8,12) =acd
F=(12,13) = a b c

f =1(0,1,7,8,10,13,15)+ d(2,12)

La segunda es considerando que c 1 = 4 . En este caso, la inespecificacin est situada en


la celda 9 . El mapa quedara de la siguiente manera :

_
r

CJ
-0
L

B=(0,4)
=cd
D=(13,15)=abd
E = (8,9)
= a Ec
F=(9,13) = a c d

f = 1(0,4,7,8,10,13,15)+ d(2,9)

b) Para la primera solucin, las expresiones ptimas en forma sp y ps son :

fsp = bd + a-bc + bcd +abd


fps = ( b+d) (a+b+c) (a+b+c) (+b+d)
Como las expresiones mnimas sp y ps tienen el mismo coste, ambas son ptimas .
Solucin P15.- El comportamiento del perro puede emularse mediante una mquina secuencial, de la siguiente forma :
- Estados de entrada .
Son las acciones que se pueden hacer al perro . Existen 4 posibles estados de entrada :
Jo : Darle un hueso .
1 1 : Quitarle un hueso .
12 : Amenazarle .
13 : Nada.
- Estados de salida .
Son las posibles acciones del perro :
Op : No muerde .
0 1 : Muerde .

MISCELNEA

385

- Estados de la mquina .
Son los estados del perro :
T : Tranquilo .
1 : Irritado .
A : Asustado .
IA : Irritado y asustado .
Como el perro muerde (O 1 ) si y slo si est irritado y asustado (IA), la tabla de estados
sigue el modelo de Moore . La tabla se obtiene directamente del enunciado y se muestra en la
figura:

lo

11

12

13

00

IA

00

IA

00

IA

IA

IA

IA

01

NS
Se aplica el mtodo exhaustivo para la asignacin de estados ya que es una mquina de
slo 4 estados . Las posibles asignaciones son las siguientes :
Estados

Asignaciones de coste distinto


1
II
III
00
00
00
01
01
11
11
10
10
10
11
01

T
1
A
IA

Los cuatro estados de entrada se codifican mediante dos entradas de forma que se tiene
X1X0 : 00 (I 0 ), 01 (I 1 ), 10 (I 2 ), 11 (1 3 ) . Entonces, las tablas de transicin/salida :

ASIGNACIN II
X I Xo

ASIGNACIN 1
X1X0
q1g

00 01

11

01

T=00

I=01 00 01

X I XO

01

11

10

T=00 00 01

00

10

T=00

10 0

I=01 00 01

01

11

IA=01

10 Z
11

01

ASIGNACIN III

g1go

00

A=11 00

10

11

11 0

IA=11 00

IA= 10 00

10

10

10

A=10 00

Q1Qo=D1D0

glgo

11

11

11

I=11

11

10

10

A=10

Q1Qo=D1Do

00 01 11 10

00

11

00

10

00 01

01

01

00

11

11

01

00 01

10

10 0

Q1Qo= D1Do

386

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Utilizando biestables D las tablas de excitacin son las mismas que las de transicin . De
ellas se obtienen las ecuaciones de excitacin/salida, para cada asignacin :
Para la asignacin 1 :
D 1 = Xo g l

+ X1 X0

Do = X 1 X 0 g 1 +X 1 X 0 g o +X 1 g 1 g 0 +X 1 X D g 1 g 0

Z=g 1 g o
Para la asignacin II :
D 1 = X 0 g 1 +X 1 X 0
D =X 1 X0 +X
o
1 80

Z= g1g0
Para la asignacin III

D 1 =X 1

X0 g 0 +X 1 .X0 .g 1 +X0 .g 1 q 0 +X 1

X 0 q 1 q 0

D o - X 1 X 0 +X 180

Z=q 1 q 0
La solucin de menor coste es la segunda (II) .
X
X

D
1

xl

Solucin P16.- Supongamos que Z = 1 indica que el nmero B es ms cercano que C, al


nmero A ; y Z = 0, indica que C est ms cerca .
La salida Z la podemos obtener a partir de un comparador de magnitudes, cuyas entradas
sean las distancias de los nmeros B y C, al A .

IA-BI
IA-CI

MISCELNEA

387

Ahora debemos obtener el circuito que realice las operaciones A-B1 y A-CI . La
definicin del valor absoluto de A-B es la siguiente :
A - B si A>B
IA-BI =
B-AsiB>A

De interpretar la expresin anterior podemos deducir los elementos que necesitaremos


para el diseo del circuito . Por un lado un restador que, en funcin de la comparacin de los
nmeros A y B, realice A-B o B-A ; comparadores de n bits y multiplexores de buses para la
seleccin del substraendo y el minuendo .
B

Minuendo

A
B
A --, - x
n

RESTADOR

IA-BI

Sustraen d o

x>y
x=y
x<y

Si el nmero A es mayor que el B, entonces A-B1 = A-B . En el circuito anterior, si se


cumple esta condicin, se escoge el canal 1 de los multiplexores, por lo que el restador den bits
realiza la operacin A-B .
Si el nmero A es menor que el B, A-B= B-A . En el circuito anterior se escogen los
canales 0 de los multiplexores y el restador calcula B-A .
Repitiendo esta estructura para A-CI, nos queda el circuito siguiente :
B
A
A

Minuendo

RESTADOR
Sustraendo

A -7n- x
B

x>y
x=y
x<y

--/-n

x
C
A
A
C

A ~n

A-B

Minuendo

x>y-x=yx<y

RESTADOR
Sustraendo

A-Cl

x>y
x=y
x<y

Solucin P17 .- Cuando Start = O, los biestables D se ponen a 1 de forma asncrona . Los biestables D, tras un pulso Start, operarn con cada flanco negativo de W segn la secuencia :

w
Y

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

388

puesto que D x = Y y D y = X .
Si X + Y = 1, los tres biestables JK tienen como entradas JK = 1 1 y operan como un
contador de rizado (A es el menos significativo) .
Si X + Y = 0, el biestable A tiene J A KA = 00 y no cambia de estado por lo que ningn
otro biestable JK cambia de estado .
W = B + C produce un flanco negativo (de 1 a 0) cuando B C pasa de 0 - - 0 a 11 .
De todo lo anterior se obtiene la siguiente secuencia de seales :
Start L I
CLK
A
B
C

X
Y

Solucin P18 .
a) Queremos conseguir una memoria de 16 lneas de entrada con dos seales de control
independientes para lectura y escritura . Para ello, tenemos que relacionar las nuevas seales de
control con las correspondientes a cada una de las memorias de las que partimos por separado .
Mostramos el siguiente diagrama de bloque y la relacin entre las distintas seales :

R W
a14-0

CS R/W
32Kx8

a12-0

CS RIW
0 0

Inhibicin

0 1

Escritura

1 0

Lectura

1 1

Prohibido

8Kx8

Para resolver el problema, primero determinamos la relacin entre las distintas seales
de control de cada una de las memorias . Para ello, seguimos la siguiente tabla :

MISCELNEA

R W

CS

CS 2

0 0

0 1

1 0

R/W

R/W2

389

* : segn el mapa de diseo que haremos


a continuacin .

1 1
De dicha tabla puede deducirse la siguiente relacin :
R/W 1 = R/W2 = R .
A continuacin se resuelve el mapa de memoria . Para ello colocamos la memoria de
32K en las primeras posiciones del mapa y la otra memoria de 8K a continuacin de sta . As
ocupamos los 40K que nos pide el problema .
A15

A13

A14

Mi

M2

1
1
1

0
1
1

1
0
1

Vaco

Una posible solucin para el circuito de decodificacin es el que se muestra a


continuacin :

La puerta NOR (R,W) habilita los decodificadores de memorias si hay acceso (RW = 01
o 10) e inhabilita dichos decodificadores si no hay acceso (RW = 00) .
Para la seal CS 2 se ha utilizado un decodificador 2 :4 dejando as libres las lneas 1, 2
y 3 de salida para posibles expansiones del circuito . Si se desea reducir el coste se puede
eliminar dicho decodificador y poner en su lugar una puerta OR (m, A14 , A13) donde m es la
salida 1 del decodificador 1 :2 .

390

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

A14 -

- CS 2

A13
b) Analizamos las palabras que se leeran de las memorias si en el bus externo de datos
colocamos las siguientes direcciones :
A 15 - A0 = $FOCA
No hay palabra fsica seleccionada .
A15 A14 A13 = 111
A 15 - A0 = $ 4342
Acceso a M 1
A15 =0
A 14 - A0 = $ 4342
Se accede a la palabra $4342 de M 1 .
A 15 - A0 = $ 9CAD

A15 A14 A13 = 100


A12 Al 1 - A0 = $ 1 CAD

Acceso a M 2
Se accede a la palabra $1 CAD de

M2
c) Para poder acceder a la direccin $7531 de M 1 tenemos que poner A 15 = 0 y as seleccionar dicha memoria . Para el resto de las lneas de entradas A 14 - A 0 = $ 7531 . Por tanto,
la direccin que se necesita fijar en el bus externo es A 15 - A0 = $ 7531 .
De forma anloga, para acceder a la palabra $0246 de M2 tenemos que fijar
A15 A14 A13 = 100 y el resto de las lneas tomaran los valores siguientes :
A12=0yA 11 -A0 =$246 .
Por tanto, uniendo todos los valores de las 16 lneas que componen el bus externo, la
direccin que necesitamos colocar en dicho bus para acceder a la que nos planteamos es
A 15 - A0 = $ 8246 .

BIBLIOGRAFA

Nuestro propsito aqu es citar un pequeo conjunto de textos, a travs de los cuales se pueden
alcanzar dos objetivos : 1) que el lector pueda estudiar en ellos la teora de la materia que se
aplica en este libro ; 2) que en ellos se aporten suficientes lneas y enfoques como para que el
lector pueda profundizar en los aspectos que desee o necesite . Somos conscientes de que puede
haber otras selecciones apropiadas, pero confiamos en que la que ahora damos sea suficientemente adecuada.
La materia correspondiente al nivel de conmutacin (Captulos 1-10) est suficientemente soportada en textos : existen muchos y poseen un variado enfoque, lo que garantiza el
progreso en cualquier lnea . Nosotros hemos tenido que seleccionar los que consideramos mejores . En cuanto a la materia correspondiente al nivel RT la situacin es bien distinta . Aunque
el nmero de autores que la tratan va aumentando cada vez ms, todava no est adecuadamente delimitado el cuerpo de doctrina . De aqu que esta materia se encuentre mucho menos estructurada en los libros existentes .
Los fundamentos matemticos (Captulos 1, 2 y, en parte, el 6) suelen estar bien presentados tanto en los textos ms recientes [Garc92, Haye96, Llor96, Mano9l, Nels95, Sand90,
Wake94], como en otros ms clsicos [Cava86, Givo70, Haye86] . En cualquiera de ellos pueden estudiarse la mayor parte de las cuestiones de estos temas . Para profundizar, en particular,
la codificacin binaria est ampliamente estudiada en [Garc92] ; un tratamiento elegante, simple y riguroso del lgebra y de las funciones de conmutacin se da en [Givo70] ; los aspectos
relacionados con la aritmtica del computador se desarrollan ampliamente en [Cava86] ; y un
buen equilibrio se encuentra en [Haye86/96, Nels95, Wake94] . Adems, para ampliar conocimientos sobre funciones especiales puede estudiarse [Unge89] .
En el bloque relativo a los circuitos combinacionales, nuestro Captulo 3 dedicado al
anlisis lgico (incluyendo circuitos slo NAND (NOR)) y temporal (incluyendo azares) est
bien tratado en [Garc92, Katz94, Mano91, Nels95, Wake94] . El diseo de circuitos a nivel de
puertas (Captulo 4) est tratado mediante mapas de Karnaugh en todos los textos
mencionados ; en [Givo70, Mano9l, Nels95, Wake94] se da una visin ms acorde con
nuestros contenidos, incluyendo el mtodo de Quine-McCluskey, las diferentes formas de
implementar expresiones sp o ps e incluso las realizaciones con puertas XOR . Para el lector
interesado, el diseo ayudado por computador (CAD) puede encontrarse en [Haye96, Katz94,

391

392

PROBLEMAS DE CIRCUITOS Y SISTEMAS DIGITALES

Nels95] y sobre todo [Hi1193] . Los distintos subsistemas combinacionales (Captulo 5) se


desarrollan en [Garc92, Nels95, Sand90, Wake94] . En el Captulo 6 se abordan los circuitos
aritmticos, materia que est bien desarrollada en [Garc92, Katz94] y sobre todo en [Nels95,
Wake94] .
Los circuitos y subsistemas secuenciales constituyen el siguiente bloque . En relacin al
Captulo 7, los elementos ms bsicos (biestables) estn muy bien tratados en [Haye96,
Katz94, Nels95, Unge89, Wake94] ; la descripcin mediante mquinas de estados finitos y el
anlisis de circuitos tanto a nivel de estados como a nivel temporal se desarrollan en [Garc92,
Haye96, Mano9l, Nels95, Wake94] . El diseo de circuitos secuenciales sncronos
(Captulo 8) est bien presentado en [Katz94, Nels95], los cuales incorporan tcnicas de CAD,
encontrndose los aspectos ligados a la optimizacin del circuito (reduccin de estados,
asignacin, eleccin del biestable) en [Haye96, L1or96, Mano91, Sand90] . En cuanto al
Captulo 9, dedicado a los subsistemas secuenciales, estos se explican adecuadamente en
[Katz94, Mano91, Nels95, Wake94], destacando su uso en el diseo en [A1mo94] . Por ltimo,
el tema de nuestro Captulo 10, memorias semiconductoras, est muy bien presentado en
[Haye86], teniendo tambin un adecuado desarrollo en [Garc92, Haye96, Mano9l l .
Los Captulos 11 y 12 tratan los sistemas digitales a nivel RT . Las principales
cuestiones, abordadas con un enfoque ms o menos genrico, pueden estudiarse en [Gree86,
Hi1193, Katz94, L1or96, Lync93, Mand9], Mano9l/91b, Pros87, Taub83, Unge89] .
Concretando ms, la descripcin a nivel RT se presenta bien en [Mano9lb] ; la interconexin
entre registros, en [Katz94] ; las cartas ASM, en [Gree86, Mano9l] ; un lenguaje de
descripcin, en [Hill93] ; estrategias de diseo de unidades de control, en [Gree86, Katz94,
Mand91, Mano91, Taub83] ; y un enfoque general especialmente bueno en [Katz94, Lync93] .
Adems, se pueden encontrar ejemplos de sistemas digitales especficos en [Pros87, Unge89] .
Asimismo, con un enfoque dirigido a los computadores, bien "sencillos" bien comerciales,
estn [Haye86/96, Llor96, Taub83] .
[A1mo94]

G . Almonacid

et al . : "Circuitos digitales programables por el usuario ". Univer-

sidad de Granada, 1994 .


[Cava86]

J .J .F. Cavanagh :

"Digital computer arithmetic : Design and implementation ".

McGraw-Hill, 1986 .

et al . : "Circuitos y sistemas Digitales ". Tebar Flores, 1992 .

[Garc92]

J .E . Garca

[Givo70]

D .B . Givone :

[Gree86]

D . Green :

[Haye86]

J .P. Hayes :

"Introduction to switching circuit theory " . McGraw-Hill, 1970 .

"Modem logic design ". Addison-Wesley, 1986 .


"Diseo de sistemas digitales y microprocesadores ". McGraw-Hill,

1986 .
[Haye96]

J .P. Hayes :

"Introduccin al Diseo Lgico Digital ". Addison-Wesley, 1996 .

BIBLIOGRAFA

[Hi1193]

393

F.J . Hill and G .R . Peterson : "Computer aided logical design with emphasis on
VLSI ". Wiley, 1993 .

[Katz94] R.H. Katz : "Contemporary Logic Design ". The Benjamin/Cummings Publishing
Company, 1994 .
[Llor96]

A . Lloris y A . Prieto : "Diseo Lgico" . McGraw-Hill Interamericana, 1996 .

[Lync93]

M . A. Lynch : "Microprogrammed State Machine Design ". CRC Press, Inc ., 1993 .

[Mand91] E . Mandado et. al . : "Sistemas electrnicos digitales ". Marcombo, 1991 .


[Mano91 ] M .M. Mano : "Digital design ". Prentice-Hall, 1991 .
[Mano9l b] M .M . Mano : "Ingeniera computacional . Diseo del harware ". Prentice-Hall,
1991 .
[Nels95]

V.P. Nelson et al . : "Digital Logic Circuit Analysis and Design" . Prentice Hall,
1995 .

[Pros87]

F.P. Prosser and D .E . Winkel : "The art of digital design : An introduction to


top - down design " . Prentice-Hall, 1987 .

[Sand90]

R.S . Sandige : "Modem digital design " . McGraw-Hill, 1990 .

[Taub83]

H. Taub : "Circuitos digitales y microprocesadores " . McGraw-Hill, 1983 .

[Unge89]

S.H. Unger : "The essence of logic circuits " . Prentice-Hall, 1989 .

[Wake94] J .F. Wakerly : "Digital Design : Principies and Practices ". Prentice-Hall, 1994 .

Se trata de un libro de problemas resueltos en el campo


del Diseo Lgico . Ha sido diseado para ensear cmo
se aplican los conceptos y herramientas a casos concretos, empleando los conocimientos previos adquiridos por
otras vas y resolver as problemas aplicados al respecto,
potenciando las capacidades de aplicacin de la teora .
El trmino Diseo Lgico alude a materias como los Circuitos y Sistemas Digitales o Teora de la Conmutacin,
donde se incluyen :

Fundamentos matemticos usuales : lgebra de Boole,


representaciones binarias de nmeros y su aritmtica,
codificacin binaria . . .

Presentacin, anlisis y diseo de circuitos combinacionales y secuenciales, a nivel de conmutacin .

Descripcin y realizacin de sistemas digitales a nivel


de transferencias entre registros (RT), organizando el
sistema de una unidad de procesado de datos y otra
de control .
La metodologa aplicada en el diseo del libro pasa por
la inclusin de dos tipos de ejercicios : aproximadamente,
la mitad de ellos estn resueltos con detalle, sobre los
cuales el lector aprender la metodologa de su resolucin, y los restantes son ejercicios propuestos con la solucin indicada . Adems se sigue una metodologa bottom-up,
es decir, los problemas se organizan en orden creciente de
dificultad .

9 788448 109660

ISBN: 84-481

También podría gustarte