Documentos de Académico
Documentos de Profesional
Documentos de Cultura
FechaCarlos
de entrega:
Jose Delgado
22 de sep.
Gutarra
2015
12190093
Diseo Digital
Ejercicios Propuestos
1. Implemente un multiplicador de 4 bits por 4 bits.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity MULTIPLICADOR is
Simulacin n1:
end Behavioral;
SIMULACION N2:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY PROB3 IS
PORT ( P : IN STD_LOGIC;
Q : IN STD_LOGIC;
R : IN STD_LOGIC;
Z : OUT STD_LOGIC);
END PROB3;
END SOLUCION;
SIMULACION N3
begin
SALIDA<= '1' when CORRIENTE='1' else
'1' when VOLTAJE = '0' else
'1' when POTENCIA= '1' else '0';
end Behavioral;
SIMULACION N4
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity DEC74139 is
Port ( PIN1,PIN2,PIN3,PIN8,PIN13,PIN14,PIN15,PIN16 : in std_logic;
DATA1,DATA2: out std_logic_vector (3 downto 0));
end DEC74139;
architecture Behavioral of DEC74139 is
signal SELECT1,SELECT2 : std_logic_vector (1 downto 0);
signal ENABLE1,ENABLE2 : std_logic_vector (2 downto 0);
signal AUX1,AUX2 : std_logic_vector (3 downto 0);
begin
SELECT1<=PIN2&PIN3;
SELECT2<=PIN14&PIN13;
ENABLE1<=PIN16&PIN8&PIN1;
ENABLE2<=PIN16&PIN8&PIN15;
Simulacin n5:
EJEMPLOS
TYPE INGREDIENTES IS (arroz,pollo,zanahoria,papa,camote,cebolla);
7. Asumiendo que:
ASSIGMENT
a<=x(2);
DIMENSION
ambos de 1 bit
b<=x(2);
ambos de 1 bit
b<=y(3,5);
ambos de 1 bit
b<=w(5)(3);
ambos de 1 bit
y(1)(0)<=z(7);
ambos de 1 bit
x(0)<=y(0,0);
ambos de 1 bit
x<="1110000";
x de 8 bits, el resto de 7
a<="0000000";
a es de 1 bit y el resto de
8
ambos de 8 bits
y(1)<=x;
w(0)<=y;
LEGAL OR ILLEGAL
error por ser que a es del tipo bit
y
x(2) es STD_LOGIC
Correcta asignacin de tipos
STD_LOGIC
Correcta asignacin de tipos
STD_LOGIC
Error. Elemento w(5)(3) no existe,
w
es matriz de 3 x 5
Correcta asignacin de tipos
STD_LOGIC
Correcta asignacin de tipos
STD_LOGIC
Error. Tamao de las expresiones
Error. X es un bit.
correcta y(1) es fila de la matriz,
tiene 8 elementos como x
Error. Tamao de las expresiones
w(1)<=(7=>'1', others=>'0');
w(0) de 8 bits, y es
matriz 4x8
ambos de 8 bits
ambos de 8 bits
ambos de 8 bits
ambos de 2 bits
ambos de 1 bit
y<=((others=>'0'),
(others=>'0'),
(others=>'0'),"10000001");
z(6)<=x(5);
ambos de 3 bits
de la izq de 3 bits,
el otro matriz 4x8
izq matriz 4x8, dere 3
bits
ambos de 8 bits
ambos de 1 bit
ambos de 1 bit